Социальные сети Рунета
Вторник, 16 апреля 2024

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Intel не будет выпускать 10-нм процессоры для настольных ПК В последние годы корпорация Intel выпускает свои десктопные процессоры по 14-нм технологическим нормам и, судя по всему, продолжит это делать ещё как минимум пару лет. Многострадальный 10-нм техпроцесс к настоящему моменту нашёл применение...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Лиза Су: будущее Zen лежит в развитии архитектуры, а не только техпроцесса На квартальном отчете генеральный директор AMD Лиза Су (Lisa Su) заявила, что успех процессорной микроархитектуры Zen будущих поколений зависит не сколько от внедрения передовых технологических процессов, сколько от развития самой архитектуры вычислительных ядер....

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

GlobalFoundries и ARM спроектировали тестовый чип с объёмной упаковкой Компания GlobalFoundries отказалась от гонки за техпроцессами и замерла на отметке 12 нм, но это не означает, что она не будет внедрять передовые технологии объёмной упаковки чипов. За счёт 3D-компоновок даже старый техпроцесс можно использовать таким образом, что результиру...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

TSMC стала самой дорогой компанией Азии, обойдя Samsung У тайваньской полупроводниковой кузницы TSMC дела идут отлично: в настоящее время компания является безоговорочным лидером производства чипов, технологически обходя даже Intel, которая годами обладала передовыми мощностями. Неудивительно, что TSMC недавно стала крупнейшей и ...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Samsung представила 7-нм SoC Exynos 990 для Galaxy S11 Samsung Electronics анонсировала мобильный процессор премиум-класса Exynos 990 и сверхбыстрый 5G-модем Exynos Modem 5123. Exynos 990Новый чипсет использует самый передовой 7-нм техпроцесс с использованием ультрафиолетового излучения (EUV). Чип включает в себя два…

Кристалл контроллера ввода-вывода AMD Ryzen 3000 (Matisse) изготавливается по нормам 12 нм, а не 14 нм Процессоры AMD Ryzen 3000 (Matisse) имеют многокристальную компоновку. Один или два кристалла с CPU Zen 2, изготавливаемые по нормам 7 нм, соседствуют с кристаллом контроллера ввода-вывода. В него встроен двухканальный контроллер памяти DDR4, корневой комплекс PCIe 4.0 ...

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

Samsung представила 3 нм техпроцесс Обсуждаем передовые технологии Samsung

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

TSMC запустит серийное производство по нормам 2 нм уже в 2024 году Компания TSMC сообщила о том, что она начала стадию исследований и разработок, связанных с 2-нанометровым технологическим процессом. TSMC первой на рынке сделала подобное заявление. В настоящее время конкретные детали процесса не разглашаются. Соответствующий завод рас...

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

SK Hynix анонсировала 16-гигабитные чипы DDR4, выпускаемые по нормам 1Z-нм Южнокорейский чипмейкер SK Hynix завершил разработку 16-гигабитных (2 ГБ) микросхем DDR4, для выпуска которых будет использоваться техпроцесс класса 1Z-нм. Об этом компания сегодня объявила в соответствующем пресс-релизе. Как отмечает вендор, благодаря новой технологии...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Intel продолжит использовать техпроцесс 14 нм даже при создании новейших дискретных мобильных видеокарт Как известно, в следующем году Intel выпустит на рынок дискретные видеокарты Xe с 10-нанометровыми GPU. Согласно последним данным, первые модели выйдут в середине года. Кроме того, в следующем году на рынок должны выйти и 10-нанометровые мобильные CPU Tiger Lake, содерж...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Процессоры Intel Comet Lake выйдут только через год Процессоры AMD Ryzen выходят летом текущего года, и Intel неплохо бы на это чем-то ответить, особенно учитывая ситуацию затягивающегося дефицита CPU. Но, увы, отвечать, похоже, будет совсем нечем: свежие процессоры Comet Lake, согласно только-только появившейся в Сети д...

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

Процессоры Intel Tiger Lake могут обеспечить технологический прорыв благодаря зрелому 10++ нм техпроцессу - wccftech Скоро Интел снова будет впереди планеты всей

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus Совсем недавно Intel продемонстрировала свои новые производительные мобильные процессоры 9-го поколения, а теперь компания уже готова рассказать о своих будущих чипах 10-го поколения Ice Lake, которые наконец будут изготавливаться по нормам 10-нанометрового технологического ...

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Графические процессоры станут для Intel второй категорией продуктов по важности На технологической конференции Citi для инвесторов интересы Intel представлял корпоративный вице-президент Джейсон Гриб (Jason Grebe), который отвечает за сегмент «облачных» решений, но подобная специализация не мешала ему отвечать на широкий спектр вопросов. Когда присутств...

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

Intel обещает, что CPU Cascade Lake-X по соотношению цены и производительности будут вдвое лучше предшественников Новые процессоры Intel HEDT поколения Cascade Lake-X выйдут уже в следующем месяце. Это та самая линейка, которая, судя по имеющимся данным, будет называться Core i9-10000. Также есть данные, что прирост производительности новых CPU составит всего 3-7%, что будет обусло...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Intel Tremont — совершенно новая микроархитектура для энергоэффективных процессоров Компания Intel только что представила новую процессорную микроархитектуру Tremont. Она ляжет в основу грядущих «атомных» процессоров компании, а также ядра Tremont будут частью гибридных процессоров Lakefield. Intel называет Tremont самой передовой процессо...

Qualcomm представила 8-нм чипсеты Snapdragon 730, 730G и 11-нм Snapdragon 665 Компания Qualcomm представила сразу три новые мобильные платформы - Snapdragon 665, Snapdragon 730 и Snapdragon 730G. Причём, если первый чипсет построен на 11-нм техпроцессе LPP, то два последних используют более современный 8-нм технологический процесс LPP. Подробнее об эт...

Intel представил самый мощный процессор Core На мероприятии Kickoff в воскресенье, 26 мая, перед одной из главных выставок для производителей микроэлектроники потребительского сегмента — Computex, — компания Intel приоткрыла завесу тайны и рассказала, какой процессор будет флагманом линейки Core для настольных компьюте...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

AMD: будущее — за чиплетами, не надо гнаться за нанометрами Исполнительный директор AMD Лиза Су (Lisa Su) на ежегодном собрании акционеров уже заявила, что передовые компоновочные решения вроде использования «чиплетов» станут одной из основ успеха компании в будущем. Технический директор Марк Пейпермастер (Mark Papermaster) в очередн...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

TSMC ускоряется — наймёт 8 тыс. новых сотрудников для внедрения 3-нм техпроцесса Компания TSMC намерена нанять дополнительные 8 тыс. сотрудников в новый научно-исследовательский центр, который будет создан в 2020 году. Он будет ориентирован на исследования и разработки технологий для 3-нанометрового и более тонких технологических процессов. По словам исп...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

AMD анонсировала новое поколение передовых решений Компания AMD анонсировала продукты на базе нового 7-нм техпроцесса и графические решения, которые обеспечат новый уровень производительности, функциональности и новые возможности для геймеров, энтузиастов и создателей контента ...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Слухи приписывают AMD намерения выпустить в этом году 7-нм гибридные процессоры Представительница AMD на технологической конференции Bank of America Merrill Lynch сообщила, что компания намеревается перевести на 7-нм техпроцесс изготовления и мобильные процессоры марки Ryzen, но когда это случится, она уточнять не стала. В конце концов, настольным 7-нм ...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Новая платформа не позволяет Samsung Galaxy Note10+ существенно превосходить Galaxy S10+ В основе смартфонов Samsung Galaxy Note10 и Galaxy Note10+ лежит однокристальная система Exynos 9825 (не для всех рынков). Эта SoC отличается от Exynos 9820 лишь частотами и тем, что производят её по техпроцессу 7 нм с использованием технологии EUV. Поэтому ожидать ско...

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

Технологическая себестоимость 7-нм кристаллов Ryzen не превышает $15 Представители AMD в последнее время часто по своей риторике напоминают руководителей Intel в лучшие, с точки зрения литографии, годы. При малейшей возможности речь заходит о 7-нм технологии и её преимуществах, но руководителей AMD понять можно — долгие годы отсиживаясь на «в...

GlobalFoundries продаёт американское предприятие Fab 10 Реструктуризация GlobalFoundries набирает обороты. Накануне контрактный чипмейкер сообщил о продаже завода Fab 10 в американском городе Ист-Фишкилл (штат Нью-Йорк) компании ON Semiconductor. Данная фабрика досталась GlobalFoundries в результате договора с IBM несколько лет.....

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

У Samsung готова 7-нанометровая технология EUV Компания TSMC первой среди производителей полупроводниковой продукции освоила выпуск продукции по нормам 7 нм. И хотя формально это 7-нанометровая продукция, ее характеристики они находятся на тех же уровнях, которые ожидаются от 10-нанометровой продукции Intel. В компа...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

TSMC: освоение 3 нм техпроцесса идет по плану. Появились первые клиенты Пока Intel освоит 10 нм техпроцесс, TSMC начнет выпускать чипы по 5 нм техпроцессу, а там и до 3 нм недалеко

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Услуги по трёхмерной компоновке TSMC сделает своим самым динамично растущим бизнесом Компания TSMC уже начала готовить почву для эволюционных изменений в полупроводниковой отрасли, которая вынуждена ради поддержания действия так называемого «закона Мура» повышать плотность размещения транзисторов за счёт использования трёхмерной компоновки. Приблизившись к п...

Видеокарты Radeon 625, Radeon 620 и Radeon 610, похоже, основаны на GPU родом из 2013 года Несколько дней назад мы писали о видеокартах Radeon RX 640, Radeon 630, Radeon 625, Radeon 620 и Radeon 610, нацеленных на OEM-производителей. Тогда мы говорили, что все адаптеры основаны на GPU Polaris, но, похоже, это не так. Такой графический процессор лежит лишь в о...

Французы предложили недорогую технологию производства экранов MicroLED любого размера Предполагается, что экраны с использованием технологии MicroLED станут следующим этапом развития дисплеев во всех проявлениях: от маленьких экранов для носимой электроники до больших телевизионных панелей. В отличие от LCD и даже OLED экраны MicroLED обещают лучшие разрешени...

Серьёзный инцидент на фабрике TSMC: производство остановлено, забраковано более 10000 пластин Тревожные новости приходят с Тайваня. Крупнейший контрактный производитель полупроводников, компания TSMC столкнулась с серьёзной аварией на одном из своих передовых заводов, в результате чего оказалось повреждено более десятка тысяч полупроводниковых пластин, а производстве...

Intel готовится к переходу на 7 нм техпроцесс и не верит в конкуренцию со стороны AMD Самое главное не паниковать, глядишь и пройдёт всё само

Qualcomm представила чипсет Snapdragon 712 для среднеуровневых смартфонов Компания Qualcomm анонсировала новый мобильный чипсет Snapdragon 712. Новинка основана на том же 10-нм техпроцессе FinFET, что и Snapdragon 710, но имеет более быстрый 8-ядерный процессор, который до 10% производительней, чем предшественник, а также улучшенные технологии ИИ....

Представлена линейка процессоров Intel Xeon W 3000 (Cascade Lake): до 28 ядер, до 64 линий PCIe и цена до $7453 Линейка серверных процессоров Intel разом пополнилась девятью новыми моделями — все они являются представителями серии Xeon W 3000 (семейство Cascade Lake). Ну а самый главный представитель линейки, Xeon W-3275M, вошел в перечень опционального оснащения новейшего ...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

Samsung освоила 5-нм техпроцесс Компания готова разослать клиентам образцы новых чипов.

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

TSMC удалось превзойти Samsung по капитализации Компания Taiwan Semiconductor Manufacturing Company (TSMC), известная как крупнейший контрактный производитель полупроводниковой продукции, стала еще и самой ценной компанией региона. Рост стоимости акций, продолжавшийся в течение десяти недель подряд, привел к ...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

TSMC не справляется с огромным числом заказов на 7-нм продукты: сроки выполнения выросли в 3 раза 7-нм техпроцесс пользуется огромным спросом среди клиентов TSMC. Конечно, это не может не радовать тайваньского контрактного производителя, но у этой медали есть и оборотная сторона. Подробнее об этом читайте на THG.ru.

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

Samsung поможет Intel справиться с дефицитом 14-нм процессоров Не было бы у компании Samsung счастья, да несчастье Intel помогло. Южнокорейское информационное агентство Yonhap распространило новость, что Samsung Electronics согласилась начать выпуск центральных процессоров для Intel. Неделю назад Intel намекнула на возможность подобного...

Новые микросхемы Samsung позволят снизить стоимость оперативной памяти DDR4 Как сообщает источник, компания Samsung вывела на рынок модули оперативной памяти DDR4, основанные на новых микросхема (A-Die вместо B-Die). Эти микросхемы производятся по 10-нанометровому техпроцессу вместо старого 20-нанометрового, который использовался все эти годы ...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

Высокий спрос на 7-нм и 5-нм чипы поможет росту TSMC в 2020 году TSMC планирует в 2019 году достичь ещё одного рекорда, но из-за разочаровывающих результатов в первой половине года чистая прибыль вряд ли побьёт результаты 2018 года. Однако, как считают источники, в 2020 году снова наметится рост общегодовой выручки и прибыли тайваньской п...

TSMC анонсирует начало разработки 2 нм техпроцесса Большие и мощные процессоры наконец-то начали выпускаться по 7 нм технологии, однако TSMC смотрит в будущее и уже готовится к разработкам 2 нм технологии.

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

Открытая архитектура RISC-V пополнилась интерфейсами USB 2.0 и USB 3.x Как подсказывают наши коллеги с сайта AnandTech, один из первых в мире разработчиков SoC на открытой архитектуре RISC-V, компания SiFive приобрела пакет интеллектуальной собственности в виде IP-блоков интерфейсов USB 2.0 и USB 3.x. Сделка совершена с компанией Innovative Log...

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

Квартальный отчёт Intel: выручка не изменилась, а все остальные основные финансовые показатели упали Компания Intel опубликовала отчёт по итогам первого квартала 2019 финансового года. И квартал этот был для компании далеко не самым успешным. Выручка компании осталась неизменной в годовом выражении — 16,1 млрд долларов. А вот все остальные основные финансовые по...

Память DDR4 скоро станет дешевле, поскольку DDR4 Samsung B-Die переходит на 10-нм техпроцесс Память DDR4 становится дешевле

Компания GlobalFoundries может быть продана, названы потенциальные покупатели Компания GlobalFoundries, уступающая на рынке контрактного производства полупроводниковой продукции только TSMC и Samsung, может быть продана новому владельцу. В прошлом году штат контрактного производителя был сокращен на 5%. А в конце января этого года стало известно...

Новейшая платформа Qualcomm Snapdragon 215 производится по техпроцессу 2012 года Сегодня мы уже писали об однокристальной системе Snapdragon 215, предназначенной для очень дешёвых смартфонов. И вот теперь Qualcomm представила данное решение. Никакого пресс-релиза нет, просто новинка появилась в списке линейки Snapdragon 200. Итак, новая однокристаль...

Поставки однокристальных систем MediaTek Helio P60 и P70 превысили отметку в 50 миллионов Пускай однокристальные системы MediaTek уже не пользуются у известных производителей смартфонов былой популярностью, но они по-прежнему востребованы у местных китайских производителей. И вот очередное тому доказательство: поставки SoC MediaTek серий Helio P60 и P70 прев...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

AMD представила свой самый производительный 64-ядерный процессор В начале августа AMD представила новые серверные процессоры Epyc. Новое поколение перешло на семинанометровый техпроцесс и архитектуру Zen 2. И на данный момент у этих CPU попросту нет прямых конкурентов из стана Intel. Особенно, если вспомнить, что даже топовый 64-ядер...

Видеокарты Nvidia Ampere будут основаны на семинанометровых GPU и выйдут в следующем году Ещё до выхода актуальных видеокарт Nvidia поколения Turing в Сети нередко появлялись данные о том, что поколение будет называться Ampere. В итоге мы получили иное название, но карты Ampere нас всё же ждут. Согласно новым слухам и данным, это будет новое поколение, главн...

Все заказы на модемы 5G пока достаются TSMC Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, уже получила заказы на выпуск модемов 5G, разработанных компаниями, не имеющими собственного производства. Более того, по данным отраслевых источников, пока что все заказы на вы...

Компания TSMC приступила к освоению 2 нм техпроцесса Технологии не стоят на месте, и это прекрасно!

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

Samsung разрабатывает технологию упаковки чипов в 3D-TSV с 12 слоями Компания Samsung Electronics Co., Ltd., мировой лидер в области передовых полупроводниковых технологий, объявила о разработке первой в отрасли 12-слойной технологии 3D-TSV (Through Silicon Via). Новая инновация считается одной из самых сложных технологий упаковки для массово...

У новых процессоров AMD интегрированные GPU будут работать на сумасшедших частотах В начале следующего года компания AMD представит мобильные гибридные процессоры Ryzen 4000. Это поколение будет называться Renoir и оно наконец-то перейдёт на семинанометровый техпроцесс и архитектуру Zen 2. Сегодня известный инсайдер поделился подробностями о графичес...

Intel может привлечь Samsung в качестве контрактного производителя своих дискретных GPU Раджа Кодури, возглавляющий проект Xe по созданию дискретных GPU в компании Intel, недавно посетил завод по производству кремниевых чипов компании Samsung Electronics. Напомним, буквально на днях Samsung заявила о грядущем запуске производства чипов по нормам 5-нанометрового...

Huawei инвестирует в новый завод в Бразилии 800 млн долларов Компания Huawei Technologies планирует построить в бразильском штате Сан-Паулу завод, выделив на этот проект в течение ближайших трех лет 800 млн долларов. Так китайский технологический гигант рассчитывает нарастить свое присутствие в Латинской Америке вопреки давлению ...

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

TSMC присматривается к 1-нанометровой технологии Компания Taiwan Semiconductor Manufacturing Company (TSMC), крупнейший контрактный производитель полупроводниковых микрочипов, рассказала о развитии технологических процессов.

Особый вид квантового туннелирования может изменить полупроводниковую отрасль Что такое парадокс Клейна, и как он может помочь дальше уменьшать техпроцесс чипов.

Представлена 7-нанометровая SoC Kirin 810 Сегодня Huawei анонсировала не только смартфоны серии Nova 5, но и новую однокристальную систему Kirin 810. Как и Qualcomm Snapdragon 855, Apple A12 и Kirin 980, новая SoC Kirin 810 производится по 7-нанометровому технологическому процессу. Поэтому Huawei стала единств...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

«Байкал Электроникс» представила новый российский процессор Baikal-M Новинка представляет собой выпускаемую по 28-нм техпроцессу систему на чипе. В её основе лежат восемь 64-битных ядер ARM Cortex-A57 (ARMv8-A) с поддержкой векторных расширений NEON и восьмиядерный графический процессор Mali-T628 (MP8) с аппаратным ускорением воспроизведения ...

Самая передовая мобильная платформа теперь не у Qualcomm, а у Mediatek. Представлена SoC MediaTek Helio M70 5G Только вчера компания Arm анонсировала новый процессор Arm Cortex-A77 и новый GPU Arm Mali-G77, а сегодня о создании однокристальной системы с этим набором компонентов заявила MediaTek. Новая SoC тайваньской компании называется MediaTek Helio M70 5G, и в ее обозначении ...

Большой гейминг начинается с больших возможностей: GPU NVIDIA серии GeForce RTX SUPER Компания NVIDIA представила новую линейку игровых GPU: GeForce RTX 2060 SUPER, GeForce RTX 2070 SUPER и GeForce RTX 2080 SUPER, которые обеспечивают лучшую в классе игровую производительность и трассировку лучей в реальном времени для существующих игр и проектов завтрашнего ...

Seagate рассчитывает удешевить и ускорить производство HDD с помощью HPE, Nvidia и искусственного интеллекта Компания Seagate объявила о сотрудничестве с HPE и Nvidia в разработке новой производственной платформы Project Athena, в которой будет использоваться искусственный интеллект. Ожидается, что новая платформа позволит сократить инвестиции в чистые помещения на 20%, а врем...

Qualcomm представила 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665 Однокристальную платформу Qualcomm со странным обозначением SM7150 приписывали самым разным смартфонам еще с прошлого года. И вот эта однокристальная платформа сегодня представлена официально – под названием Snapdragon 730 и… сразу в двух версиях. Если суди...

VIS договорилась о покупке завода GlobalFoundries в Сингапуре и MEMS-бизнеса Похоже, Саудовская Аравия потеряла интерес к передовому полупроводниковому бизнесу. По крайней мере, вслед за новостью об отказе GlobalFoundries от вложений в освоение передовых 7-нм норм последовало ещё одно подобное известие. Тайваньская Vanguard International Semiconducto...

TSMC утверждает, что все использующие 7-нм техпроцесс клиенты перейдут на 5-нм И AMD, хотя это имя и не упоминается открыто.

В сети появились подробности о готовящихся процессорах AMD Athlon 300GE и 320GE Техпроцесс меньше, частоты выше

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

Трамп лично убедил Foxconn вернуться к планам строительства завода в США Если бы вы случайно глубокой ночью попали бы на Пенсильвания-авеню 1600 в Вашингтоне (округ Колумбия), то наверняка обратили бы внимание на одиноко светящееся окошко в Овальном кабинете Белого дома. Не спит Дональд Трамп, работает. Не просто возвращать его Америке величие. К...

До 8 ядер и частота до 5,0 ГГц. Представлены бюджетные серверные процессоры Intel Xeon E-2200 Компания Intel сегодня представила линейку бюджетных серверных процессоров Xeon E-2200 – они пришли на смену моделям серии Xeon E-2100. Новинки – и тут никаких сюрпризов – базируются на микроархитектуре Coffee Lake Refresh и выполнены по нормам техпроц...

СКБ-банк внедрил новую технологию распознавания QR кодов в мобильном банке СКБ-банк расширил сотрудничество с компанией Smart Engines и внедрил технологию Smart IDReader для распознавания QR-кодов в мобильном приложении. Ранее банк уже начал использовать программные продукты Smart Engines для извлечения данных ...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Volkswagen выделит на цифровизацию до 4 млрд евро Компания Volkswagen AG намерена до 2023 года инвестировать до 4 млрд евро в проекты по цифровизации — в основном в области управления, но и в области производства тоже. Эти проекты включают внедрение таких решений для управления ресурсами предприятия, как платфор...

Кому процессор Intel за $15 500? Компания Intel сегодня без лишнего шума добавила в свой ассортимент новый процессор Intel Xeon Platinum 8284, который является самым производительным в линейке Xeon Platinum 8200 (Cascade Lake-SP). Новинка предназначена для серверов, включая многопроцессорные конфигурации. К...

Конкурент Qualcomm Snapdragon 855 Plus от MediaTek будет представлен 30 июля Однокристальная платформа Qualcomm Snapdragon 855 Plus, по словам создателей, создана специально для игровых смартфонов, но в MediaTek тоже готовят свою «первую по-настоящему игровую» SoC. Она называется Helio G90 и позиционируется в качестве альтернативы Sn...

TSMC объяснила разницу между технологическими нормами 7 нм, 6 нм и 5 нм Они будут внедряться в особом порядке.

Samsung поможет Intel справиться с дефицитом процессоров Компания Samsung Electronics согласилась поставлять Intel центральные процессоры для компьютеров, чтобы помочь решить проблему с их дефицитом. Об этом сегодня сообщили многие отраслевые источники. Как известно, компания Intel столкнулась с технологическими проблемами. ...

Samsung готовится заменить техпроцесс 7-нм FinFET на 3-нм MBCFET Он позволит уменьшить площадь кристалла на 45%, энергопотребление на 50% и увеличить производительность до 30%

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Японцы научились эффективно извлекать кобальт из выработанных аккумуляторов По сообщению японских источников, компания Sumitomo Metal разработала эффективный техпроцесс для извлечения кобальта из выработанных аккумуляторов для электрокаров и не только. Технология позволит в будущем избежать или смягчить дефицит этого крайне редко встречающегося на З...

Foxconn передумала выпускать в США ЖК-дисплеи для телевизоров Крупнейший в мире контрактный производитель Foxconn Technology Group пересмотрел планы по производству современных жидкокристаллических панелей для телевизоров в кампусе в Висконсине (США) и теперь планирует вместо завода создать здесь «технологический центр», который будет ...

В октябре Intel выпустит более быстрые процессоры для десктопов: Core i9-9900KS и Cascade Lake-X На специальном мероприятии «Real World Performance», которое Intel провела на IFA 2019, компания подтвердила свои намерения выпустить новые десктопные процессоры в следующем месяце. В октябре будут анонсированы 5-гигагерцевый восьмиядерник Core i9-9900KS, а также новое семей...

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

Смартфон Galaxy Note10 первым получит 7-нанометровую SoC Samsung В сети появились новые подробности о различиях флагманских смартфонов серии Galaxy S10 и Galaxy Note10, чей выпуск ожидается ближе к осени.  По данным китайских источников, в основу Galaxy Note10 ляжет не Exynos 9820, а более быстрая и совершенная SoC. Платформа E...

В ноябре Intel выпустит процессоры Comet Lake-U, которые всё ещё будут производиться по 14-нанометровому техпроцессу Как известно, в конце года Intel выпустит не только мобильные 10-нанометровые процессоры Ice Lake-U, но и линейку Comet Lake-U. Это будут 14-нанометровые процессоры, которые заменят нынешние CPU Intel в этом сегменте. При этом пока не очень понятно, как компания будет р...

Intel Ice Lake. 10 нм — в массы Итак, подходит к логическому завершению одна из самых долгоиграющих интриг в новейшей технологической истории Intel — «когда же, наконец, в серию пойдет 10 нм». Перипетии этой истории у нас еще в памяти, нет смысла их повторять. А завершается все, как ему и положено заверш...

Бывшие специалисты GlobalFoundries помогут Intel освоить 7-нм техпроцесс Начатое нужно доводить до конца.

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

Держись, Intel. Дорожная карта проливает свет на новые процессоры AMD О грядущих планах AMD относительно процессорного рынка мы знаем не так уж и мало. Есть даже официальные данные. К примеру, глава AMD уже пообещала нам мобильные Ryzen 4000 в начале следующего года. Кроме того, мы знаем, что в следующем году выйдут и настольные CPU Ryzen...

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

Bosch приступает к выпуску микросхем из карбида кремния Компания Bosch сообщила о запуске производства полупроводниковых изделий из карбида кремния (SiC), которые предназначены для электромобилей. По сравнению с кремниевыми аналогами, используемыми сегодня, они меньше, могут переключаться с большей скоростью и рассеивают гор...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

В рамках 5-нм техпроцесса EUV-литография будет использоваться гораздо активнее Популярность технологии растёт.

Подразделение GM, разрабатывающее автопилот, скоро получит $2,25 млрд инвестиций Это заняло больше года, но принадлежащая General Motors (GM) компания, занимающаяся созданием автопилота для машин, близка к получению гигантского денежного вливания. Cruise Automation подтвердила изданию Reuters, что Комитет по иностранным инвестициям в США (CFIUS) одобрил ...

MediaTek анонсировала чипсет Helio M70 с поддержкой сетей 5G Компания MediaTek работает на новым SoC Helio M70, который получит встроенный модем 5G и новый процессор AI. Новинка составит конкуренцию топовым предложениям от Qualcomm, Samsung и Huawei. MediaTek Helio M70 будет изготавливаться по 7-нм техпроцессу FinFET, и использовать…

Xiaomi скоро представит смартфон CC9 Pro с камерой на 108 Мп. Китайский производитель Xiaomi готовит еще один новый смартфон под названием CC9 Pro. Главной особенностью новинки станет камера на 108 МП. К смартфону также еще приписывают игровой процессор Snapdragon 730G, который разработан по 8-нанометровому техпроцессу и имеет два выс...

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

Foxconn изменила планы на пресловутую фабрику в США Летом прошлого года после череды слухов и заявлений компания Foxconn наконец-то приступила к строительству своей первой фабрики в США. Завод строят в штате Висконсин. Он должен создать до 15 000 новых рабочих мест, а стоимость объекта составит около 10 млрд долларо...

Российская Ангстрем-Т готова выпускать силовые транзисторы Trench MOSFET Очевидный курс массового автотранспорта на электромобили, солнечная энергетика и общее развитие электроники в сторону мобильности открыто намекают на важность развития силовой полупроводниковой электроники. В перспективе отрасль будет переходить на новые материалы из разряда...

Калифорния превентивно запретила внедрять технологию распознавания лиц в нательные камеры полицейских Законодатели одного из самых технологически развитых штатов США серьезно восприняли угрозу приватности, которую несут незаметные камеры на форме полицейских, и в результате Калифорния стала первым штатом в США, где запрещено использование полицией технологии распознавания ли...

Оцениваем планы AMD на ближайшие полтора года У компании AMD нет каких-то технических проблем с освоением новых техпроцессов и архитектур, как у […]

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

+2 CPU линейки Comet Lake. Intel выпустила двухъядерные Pentium Gold 6405U и Celeron 5205U для ноутбуков В официальной базе данных процессоров Intel прописались два новых процессора: Pentium Gold 6405U и Celeron 5205U. Новинки относятся к семейству Comet Lake и предназначены для применения в ноутбуках. Впрочем, ожидается их использование также и в мини-ПК NUC. Обе модели...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Параметры совершенно новых процессоров Intel Несколько дней назад мы говорили о том, что 24 октября Intel должна представить новую процессорную архитектуру Tremont, которая станет основой новых «атомных» процессоров. Ранее считалось, что новое поколение таких процессоров будут называться Jasper Lake, ...

Процессоры Intel Rocket Lake 11-го поколения получат до 8 ядер и устаревший 14 нм техпроцесс В последнее время Интел не радует своих клиентов

Появились первые результаты тестирования 18-ядерного флагмана Intel Core i9-10980XE Вчера мы узнали, что новым флагманом Intel в линейке HEDT будет процессор Core i9-10980XE. Кроме того, мы писали о том, что ПО Geekbench указывает на то, что модель Core i9-10900X не получит прибавки к частотам относительно предшественника. Сегодня у нас есть результат...

Официально: Redmi Note 8 получит Qualcomm Snapdragon 665 Redmi, суббренд китайской компании Xiaomi, ранее подтвердил, что новый смартфон Redmi Note 8 Pro будет базироваться на игровом чипе Helio G90T от MediaTek. А вот стандартная версия Redmi Note 8 получит совершенно другой процессор. Сегодня Redmi объявил о том, что Redmi Note ...

Vivo X30 на базе Exynos 980 дебютирует в декабре В сентябре Samsung представила флагманский чип Exynos 980, ставший первым процессором компании со встроенным 5G-модемом. Логично было предположить, что новинке уготована судьба стать «сердцем» премиальных устройств южнокорейского гиганта. Но, есть вероятность, чт...

Intel анонсировала 10-нм мобильные процессоры Ice Lake На ежегодной выставке Computex 2019 компания Intel представила процессоры Core 10-го поколения под кодовым названием Ice Lake, созданные с использованием 10-нм техпроцесса и архитектуры Sunny Cove. На текущий момент речь идёт только о моделях для ноутбуков и ультрабуков. Ож...

Мобильный AMD Athlon 300U не определился с принадлежностью к техпроцессу Противоречия присутствуют даже в официальных источниках.

LG Display существенно увеличит производство OLED для больших телевизоров Компания LG Display не смогла составить достойной конкуренции Samsung по выпуску небольших OLED для смартфонов, а снизившийся спрос на флагманские модели и вовсе грозит опустить доходы до предельно низкой отметки. Но в чём LG Display сильна, так это в производстве OLED для б...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

AMD готовит «убийцу Nvidia» — видеокарту на базе GPU Navi 23 Как пишет источник со ссылкой на хорошо информированных о планах AMD людей, компания готовит новую флагманскую 3D-карту, которая проходит под условным обозначением «Убийца Nvidia». Видимо, в AMD всерьез полагают, что новинка сможет похвастать производительно...

Компания Samsung планирует создать чипы по техпроцессу 3 нм Чипы, созданные по техпроцессу 3 нм, будут потреблять вдвое меньше энергии, чем современные, созданные по техпроцессу 7 нм. Производительность таких чипов вырастет на 35%. Размер чипа станет меньше на 45%.

[Перевод] Очередной шаг к концу закона Мура Samsung и TSMC переходят на технологический процесс 5 нм Два крупнейших производителя — Taiwan Semiconductor Manufacturing Co. (TSMC) и Samsung в апреле анонсировали о восходе на следующую ступеньку лестницы закона Мура. Сначала выступила TSMC, объявив о переходе техпроцесса...

Уже в этом году MediaTek выпустит флагманскую семинанометровую однокристальную систему с поддержкой 5G У компании MediaTek на данный момент самой производительной однокристальной системой является Helio P70, причём она очень мало отличается от Helio P60. Но ещё в декабре производитель анонсировал также Helio P90, которая должна появиться в смартфонах уже в нынешнем кварт...

От двухъядерного Celeron G5900 до 10-ядерного Core i9-10900. Стали известны параметры настольных CPU Intel Comet Lake Как известно, в ближайшее время нас ожидают новые настольные процессоры Intel. И если относительно 10-нананометровых пока мы можем лишь надеяться на лучшее, основываясь на сенсационном заявлении представителя компании, с 14-нанометровыми всё проще. CPU Comet Lake-S вый...

Intel Rocket Lake - это перенос ядер Willow Cove на 14-нм техпроцесс Процессорные ядра Willow Cove основываются на Sunny Cove, которые являются первыми ядрами Intel с действительно новым дизайном за последние 5 лет. Sunny Cove оснащают 10-нм процессоры Ice Lake, тогда как появление Willow Cove ожидается в 10-нм+ Tiger Lake. Сообщается, что In...

Kirin 990 в Huawei Mate X подтвержден Несколько дней назад пришла информация, что компания модернизировала складной Huawei Mate X, сменив Kirin 980 на Kirin 990. Сегодня руководитель подразделения Huawei в Канаде Янминг Ван подтвердил изданию Techradar, что коммерческий вариант гибкого мобильника Huawei действит...

AMD представила первую в мире видеокарту на базе нового 7-нм техпроцесса На выставке CES 2019, которая проходит с 8 по 11 января в Лас-Вегасе, компания AMD представила видеокарту Radeon VII. Это первая в мире видеокарта потребительского уровня на базе 7-нанометрового производственного процесса.

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

В этом квартале снижение контрактных цен на DRAM замедлилось По данным исследовательского подразделения DRAMeXchange компании TrendForce, в текущем квартале средняя цена микросхем памяти DRAM продолжает снижаться, но медленнее, чем раньше. В настоящий момент оно оценивается в 5%. В то же время, общий объем торгов в октябре значит...

Обзор процессора AMD Ryzen 5 3600X Компания AMD недавно начала продажи десктопных процессоров Ryzen 3-го поколения. Новые CPU имеют целый ряд структурных и функциональных улучшений. Это и архитектура Zen 2, и 7-нанометровый техпроцесс изготовления кристаллов, и радикально увеличенный объем кеш-памяти, и улучш...

Intel анонсировала Stratix 10 GX 10M на 14-нм техпроцессе Сегодня Intel анонсировали Stratix 10 GX 10M - программируемую логическую интегральную схему (ПЛИС), основанную на 14-нм техпроцессе. Новинка может предложить 43,3 миллиарда транзисторов, что делает ее самой большой матрицей данного типа в мире. Ранее самой большой интеграль...

Расходы на рекламу и разработки компании Intel удалось сократить Инвестиции в перспективные виды бизнеса и техпроцессы не пострадали.

AMD показала на CES 2019 процессоры Ryzen 3-го поколения Компания AMD провела презентацию нового чипа на базе техпроцесса 7нм, основанного на архитектуре Zen 2. Новинка под названием Ryzen предназначена для оснащения ПК и ноутбуков. В процессе презентации компания представила возможности своей новинки вместе с созданной новейшей в...

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Фотоэкскурсия по заводу производителя систем охлаждения ЦОД Nortek Компания Nortek Air Solutions занимается проектированием и выпуском современных систем охлаждения ЦОД. В начале года вендор провел экскурсию для журналистов по своей фабрике в США, которая называется Nortek Oklahoma Coil, чтобы показать наработки в области производства энерг...

Глава Xiaomi: компания и ее суббренды будут ... О планах Xiaomi на 2019 год на днях рассказал ее глава Лэй Цзюнь. В начале года уже случилось одно важное событие — на рынок вывели отдельный бренд Redmi, который будет заниматься выпуском массовых моделей. Таким шагом компания «развязала себе руки» и тепер...

Huawei Mate 30: характеристики и время анонса Huawei Mate 30 станет очередной попыткой компании на соперничество с флагманами Samsung или Apple. Ожидалось, что новое поколение имиджевого флагмана представят в октябре нынешнего года. Но теперь есть предсказания, что компания ускорилась, и анонс линейки Mate 30 должен сос...

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

AMD анонсировала свою 3D-архитектуру чипов, чтобы догнать Intel Foveros 3D Intel Foveros 3D Поскольку закон Мура больше не действует, разработчикам микросхем приходится искать иные способы повышения производительности. Одна из подходящих для этого технологий называется 3D-штабелирование (3D chip stacking). Это объёмная этажерочная архитектура чип...

Графические процессоры Navi 22 и Navi 23 упоминаются в драйверах для Linux Графические драйверы AMD для операционных систем на базе Linux в очередной раз стали источником информации о ещё не вышедших графических процессорах «красной» компании. На этот раз один из пользователей форума ресурса 3DCenter обнаружил в драйверах упоминания GPU Navi 22 и N...

Очередная революция AMD. Следующее поколение CPU Epyc может получить способность выполнять до четырёх потоков на ядро Как известно, в следующем году нас ждут процессоры AMD с архитектурой Zen 3. Компания уже завершила её разработку, новый техпроцесс там использоваться не будет, так что никаких задержек не ожидается. Однако новые CPU, которые будут использовать Zen 3, могут оказаться ку...

Двойной удар: стартуют продажи AMD Ryzen 3000 и Radeon RX 5700 Ради соответствия «магии чисел» компания AMD пошла на достаточно редкие условия — обзорам потребительских 7-нм процессоров Ryzen и видеокарт с архитектурой RDNA (Navi) суждено было появиться в выходной день, коим оказалось седьмое июля. Во всём виновата привязка к цифре «7»,...

Представлен Samsung Exynos 980 - первый чипсет компании со встроенным 5G-модемом Samsung Electronics представила свой первый чипсет со встроенный модемом 5G - Samsung Exynos 980. Новинка создана с применением 8-нм техпроцесса и включает в себя 8-ядерный процессор с двумя ядрами Cortex-A77 и шестью Cortex-A55 в паре с графикой Mali G76. Подробнее об этом ...

Представлены мобильные процессоры Intel Core 10 поколения (Comet Lake) Компания Intel сегодня пополнила 10 поколение процессоров Core очередной линейкой. Напомним, первыми представителями 10 поколения стали мобильные CPU Ice Lake. Теперь же представлены процессоры Comet Lake, которые также нацелены на мобильный сегмент, но при этом всё ещё...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Новые мобильные APU Ryzen получат очень мощные iGPU Уже в начале следующего года компания AMD представит новые мобильные процессоры Ryzen 4000. Они перейдут на семинанометровый техпроцесс и будут основаны на архитектуре Zen 2. Также ожидается удвоение количества ядер. При этом, если изначально слухи говорили о графически...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

TSMC о 6 нм: это техпроцесс не для всех Но для многих, кому не по карману 5 нм.

По словам генерального директора Intel, задержки с освоением 10-нм техпроцесса были связаны с излишними амбициями компании Но больше такого не повторится.

По мнению AMD, в ближайшие десять лет основным материалом для процессоров останется кремний Старший вице-президент AMD Форрест Норрод (Forrest Norrod), курирующий направление, связанное с вычислительными центрами, недавно прокомментировал перспективы перехода в процессорах от кремния к графену. По словам Форреста, хотя графен представляется очень перспективны...

Жизненный цикл 14-нм техпроцесса Intel продлила до 2021 года Он будет существовать бок о бок с 7-нм техпроцессом.

LG Signature — премиальные и технологичные решения компании Новая рекламная интернет-кампания бренда LG SIGNATURE демонстрирует передовые технологии премиального бренда, представленные в элегантной и функциональной продукции. Компания задает новые стандарты технологического прогресса с передовым OLED телевизором, стиральной машиной...

Foxconn по-прежнему намерена строить завод в Висконсине, хотя штат собирается уменьшить льготы Foxconn сообщила в пятницу, что по-прежнему готова к выполнению контракта на строительство в Висконсине завода по выпуску ЖК-панелей и научно-исследовательского центра. Заявление тайваньской компании поступило спустя несколько дней после того, как вступивший в должность в ян...

Huawei Mate 30 станет первым смартфоном с 7-нанометровой SoC Kirin 985 Источники утверждают, что первым смартфоном, который будет построен на базе однокристальной системы Huawei Kirin 985, станет флагман Huawei Mate 30. Ранее сообщалось, что однокристальная система Huawei Kirin 985 будет первой SoC для смартфонов, изготовленной с использов...

Helio P90 оказался производительнее Snapdragon 670 Две недели назад чипмейкер MediaTek представил очередное свое творение — Helio P90. В связи с отказом развивать линейку флагманских процессоров Helio X, этот чипсет стал самым мощным решением в модельном ряду тайваньской компании. Во время анонса MediaTek не стала гово...

GlobalFoundries раскрыла планы по выходу на публичное размещение акций В августе 2018 года компания GlobalFoundries, которая с момента своего основания в 2009 году являлась основным производителем центральных процессоров AMD, внезапно объявила об отказе от освоения 7-нм и более «тонких» технологических процессов. Своё решение она мотивировала в...

Samsung готовит 8-нанометровую платформу Exynos 9710, ее характеристики уже известны Компания Samsung работает над новой однокристальной системой среднего уровня: новинка, названная Exynos 9710, придет на смену модели Exynos 9610. SoC будет производиться по техпроцессу 8 нм и получит восьмиядерный CPU. Как пишет источник, Exynos 9710 получит классическ...

Realme X2 Pro: дата анонса и больше подробностей о ... Realme во всеуслышание заявила о своих планах выпустить самый доступный смартфон с флагманской аппаратной платформой Snapdragon 855+. Им станет Realme X2 Pro и теперь стало известно, что его премьера пройдет завтра, 4 октября.  Помимо озвучивания даты релиза новинки, ...

Преемник eFlash готов. Samsung Electronics начинает коммерческую поставку eMRAM Компания Samsung Electronics объявила о начале массового производства своего первого коммерческого продукта со встроенной магнитной памятью с произвольной выборкой (eMRAM) на основе 28-нанометрового технологического процесса 28FDS, построенного на использовании полность...

На октябрь запланирована церемония запуска в строй китайского LCD-завода Foxconn По слухам, частично подтверждённым поставщиками оборудования для нового LCD-завода Foxconn в Китае, производитель планирует отодвинуть сроки начала массового выпуска большеформатных панелей на поздний срок. Это связано с неопределённостью в торговой войне Китая с США и с зам...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

AMD представит новую линейку топовых видеокарт в начале января Неожиданная, но очень приятная новость пришла под самый конец воскресенья. Как пишет источник со ссылкой на ресурс Chiphell, правильно предсказавший ряд анонсов видеокарт AMD прошлых поколений, компания готовит большой анонс на выставке CES 2020. Это мероприятие, напомн...

Видеокарты Nvidia Ampere появятся в первой половине 2020 года Судя по последним слухам, уже в первой половине следующего года Nvidia выпустит новые видеокарты поколения Ampere. Новые GPU будут выполнены с использованием 7-нм техпроцесса и, как ожидается, предложат заметный прирост производительности по сравнению с предшественниками. По...

Процессоры AMD на архитектуре Zen 3 будут переведены на техпроцесс 7nm++ В продаже они появятся в 2020 году.

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

В третьем квартале выручка TSMC на 27% зависела от 7-нм техпроцесса Чем дальше, тем этот показатель будет выше.

Так-Так-Так и никакого Тика. Чем отличаются процессоры Intel Core разных поколений на основе одной архитектуры С появлением процессоров Intel Core седьмого поколения многим стало понятно, что стратегия «Тик-так», которой Интел следовал всё это время, дала сбой. Обещание уменьшить технологический процесс с 14 до 10 нм так и осталось обещанием, началась долгая эпоха «Така» Skylake, в...

Смартфоны Sony Xperia XA 2019 года — какими они будут? 7 января, за два дня до начала выставки CES 2019, компания Sony проведет собственное мероприятие. Ожидается, что в ее рамках она представит новые смартфоны Xperia XA3, Xperia XA3 Plus и Xperia XA3 Ultra, предыдущие модели которых были представлены на выставке прошлого года....

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

10-нанометровый процессор Intel совершенно нового поколения впервые засветился в тесте Процессоры Intel Tiger Lake придут на смену поколению Ice Lake в следующем году. Первые CPU Tiger Lake появятся в мобильном сегменте — о настольном пока можно даже не упоминать. Несмотря на то, что поколение Ice Lake только начало появляться на рынке в готовых про...

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

Презентация графической архитектуры Nvidia Ampere может состояться весной 2020-го Давно не секрет, что специалисты Nvidia в настоящее время трудятся над графическими процессорами с архитектурой Ampere. Эти GPU будут изготавливаться на заводах Samsung по 7-нанометровому техпроцессу на базе ультрафиолетовой литографии и, по предварительной...

Мощная новинка ZenFone Max Pro M2 Компания ASUS выпустила смартфон с отличной камерой. «Сердце» смартфона — мобильная платформа Qualcomm Snapdragon 660 с технологией искусственного интеллекта Qualcomm AI Engine, созданная по 14-нм техпроцессу и превосходящая прошлую модель на 11% по производительности процес...

Расходы на освоение 10-нм техпроцесса сильнее всего влияют на прибыль Intel в серверном сегменте Потому что в мобильном сегменте 10-нм процессоры уже приносят компании прибыль.

Новый процессор Snapdragon 712 Qualcomm анонсировала новое дополнение к своему ассортименту чипсетов - Snapdragon 712. Он построен на 10-нм техпроцессе и представляет собой скромное обновление популярного Snapdragon 710. Он имеет более быстрый восьмиядерный процессор, который повышает производительнос...

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

Samsung Galaxy A71 с процессором Exynos 980 Как оказывается первым смартфоном Samsung с процессором Exynos 980 может стать Galaxy A71 с номером SM-A7160 для китайского рынка и SM-A715F для международного. Смартфон Samsung Galaxy A71 получит поддержку 5G, это будет доступная модель с 5G и процессором который построен ...

Появились первые упоминания о настольных процессорах Intel Ice Lake Ходило много слухов о 10-нм процессорах Intel, и большинство из них указывали на то, что компания не планирует выпускать настольные потребительские процессоры, поскольку 10-нм техпроцесс находится не на нужной стадии. Впоследствии эти слухи были опровергнуты Intel. Подробнее...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

Прощай, LGA 1151. В следующем году процессоры Intel Comet Lake потребуют нового сокета Как известно, настольных процессоров Intel семейства Ice Lake в ближайшее время ждать не стоит. Судя по всем слухам и официальным заявлениям, они выйдут в лучшем случае в начале 2021 года, хотя сегодняшние слова главы Intel заставляют засомневаться в этом. Возможно, Int...

Sony сделает ещё один шаг к господству на рынке датчиков изображений Японская компания Sony давно задумала стать королём рынка датчиков изображений. Ещё в 2010 году для этих целей она выкупила у Toshiba один из своих заводов в префектуре Нагасаки, который выпускал процессоры Cell для PlayStation 3, и перепрофилировала его для производства дат...

В линейке смартфонов Moto появится пара моделей на базе однокристальной платформы Samsung Exynos Если ранее фирменные однокристальные платформы Samsung Exynos использовались в разных моделях разных компаний (особенно Meizu), то со временем эта практика сошла на нет, а предпочтение было отдано SoC Qualcomm и MediaTek. Тем интереснее новая информация: оказывается, Le...

AMD выпустила чип Ryzen CPU, изготовленный по техпроцессу 7 нм AMD представила новый чип Ryzen CPU, изготовленный по техпроцессу 7 нм и предназначенный для настольных ПК. Чип базируется на архитектуре Zen 2.

Генеральный директор Intel пообещал, что дефицита процессоров больше не случится Корпорация наращивает мощности по 14-нанометровому техпроцессу и планирует к новогоднему сезону выпустить больше 10-нанометровых чипов, чем предполагалось раньше

Dali — имя новой таинственной линейки гибридных процессоров AMD В начале следующего года нас ждёт выход гибридных процессоров AMD Renoir. Это будут APU Ryzen 4000, которые наконец-то перейдут на семинанометровый техпроцесс и архитектуру Zen 2. Правда, последние слухи указывают, что такие гибридные процессоры сохранят GPU Vega и не п...

ГТЛК и GenerationS запускают акселератор для инновационных проектов в транспортной отрасли Цель акселератора - способствовать развитию транспортной отрасли за счет поддержки технологических проектов, чьи разработки могут быть применены в проектировании, производстве и эксплуатации разных видов транспорта: воздушного, водного, железнодорожного, пассажирского и груз...

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

К 2021 году TSMC уже освоит второе поколение 5-нм техпроцесса Intel же будет всё ещё барахтаться в ранней стадии освоения 7-нм технологии.

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Intel анонсировала процессоры Coffee Lake серий U и Y Чипы на техпроцессе 14 нм предназначаются для ультрабуков и ноутбуков.

Samsung поделилась успехами в освоении 3-нм техпроцесса Она опередит конкурентов на год, как минимум.

NVIDIA пока не считает целесообразным переход на 7-нм техпроцесс Всё дело в экономике.

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Huawei инвестирует $800 млн в постройку нового завода в Бразилии Китайский технологический гигант Huawei Technologies Co Ltd намеревается построить новый завод в Бразилии. Проект, в рамках которого будет возведён завод в штате Сан-Паулу, потребует вложения $800 млн в течение следующих трёх лет.

MWC 2019: Xiaomi и Light наделят смартфоны камерами DSLR-уровня Стартап Light и китайская компания Xiaomi в ходе выставки мобильной индустрии Mobile World Congress (MWC) 2019 объявили о подписании соглашения о сотрудничестве. Речь идёт о совместной разработке и коммерциализации «новых решений в области формирования изображений для смартф...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

Samsung выпустит новый чипсет Exynos 9710 на 8-нм техпроцессе Компания Samsung работает над новым субфлагманским чипсетом Exynos 9710. Он выступит в роли преемника прошлогоднего Exynos 9610 и будет построен на 8-нм техпроцессе. Подробнее об этом читайте на THG.ru.

Samsung представила мобильный чипсет Exynos 9825 Samsung официально представила мобильный чипсет Exynos 9825, который будет лежать в основе будущих новинок Galaxy Note10 и Galaxy Note10+. Exynos 9825 является первым чипсетом компании, выполненным по 7-нм техпроцессу EUV. Подробнее об этом читайте на THG.ru.

Ethereum в кармане - браузер Opera внедрил поддержку криптовалют Пройдёт несколько лет и криптовалюты будут в вашем пользовании, как сейчас вы пользуетесь интернетом в смартфоне или домашнем ПК. Данная технология внедряется многими технологическими гигантами и её повсеместное распространение это лишь вопрос времени!

Отставить панику: настольные процессоры Intel c десятью ядрами выйдут в начале следующего года Презентация Dell, которой известный голландский сайт руководствовался при описании ближайших планов Intel по анонсу новых процессоров, первоначально концентрировала внимание на сегменте мобильных и коммерческих продуктов. Как справедливо отметили независимые эксперты, в потр...

AMD представила семейство графических карт Radeon RX 5000 на базе Navi Сегодня, на открытии выставки Computex 2019, компания AMD предварительно представила долгожданные игровые видеокарты семейства Navi. Серия новинок получила маркетинговое название Radeon RX 5000. Стоит напомнить, что вопрос брендирования был одной из самых главных интриг при ...

Kirin 990 не получил самое мощное ядро и вот почему Уже стало традицией, что свой очередной флагманский чип Huawei анонсирует на выставке IFA. В этом году широкой аудитории был представлен процессор Kirin 990, ключевой фишкой которого стал встроенный 5G‑модем.   Сам он производится по улучшенному 7-нанометровому техпро...

Глава NVIDIA намекнул, что 7-нм изделия конкурента ни на что не годятся Старый 12-нм техпроцесс лучше 7-нм двух?

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Рекордное количество клиентов обновили ПО компании Centric Software Ведущая компания на рынке систем управления жизненным циклом продукции (PLM) Centric Software с гордостью сообщает, что клиенты установили новый рекорд по количеству обновлений программного обеспечения Centric PLM. Обновление помогает добиться преимущества над конкурентами и...

На прирост быстродействия Matisse новый техпроцесс повлиял в меньшей степени Архитектурные изменения стали главным фактором.

Intel удаётся избежать сокращения затрат на разработки и исследования В новые техпроцессы и новые продукты приходится прилично вкладываться.

СИП мойки для предприятий пищевой отрасли Никто не станет спорить с тем, что сегодня очень сильно возросли требования к безопасности в разных областях жизни и производства. Это позволяет давать потребителям гарантии качества продукции, но очень сильно затрудняет жизнь производства любого масштаба. Санитарная обработ...

Qualcomm представила улучшенную платформу Snapdragon 712 для смартфонов среднего уровня Компания Qualcomm представила новую однокристальную платформу Snapdragon 712. Новинка является улучшенной версией платформы Snapdragon 710 и также ориентирована на использование в смартфонах среднего уровня. Платформа Snapdragon 712 будет производиться по тому же 10-нм техпр...

Оболочка ColorOS 6.0 уже доступна на одной из моделей Oppo Оболочку ColorOS 6.0 компания Oppo представила ещё в ноябре, но тогда анонс был заочным. Выход был запланирован на начало 2019 года. И вот теперь ColorOS 6.0 вышла на рынок. Оболочка стала доступна на смартфоне F11 Pro, а позже явно станет доступна и на других моделях. ...

Названы возможные причины, по которым Intel не будет использовать свой 10-нм техпроцесс для выпуска графических процессоров Корейское – значит "лучшее"?

Чипсет MediaTek 5G для смартфонов представят 26 ноября MediaTek сообщила о скором запуске нового 5G-чипсета, имеющего модельный номер MT6885Z, который состоится 26 ноября. В рамках Computex 2019 компания подтвердила, что новый MediaTek 5G будет изготовлен с применением 7-нм техпроцесса и получит встроенный модем MediaTek Helio M...

Intel вынуждена увеличивать расходы на литографию с прошлого года Фабрик мало, 10-нм техпроцесс поддаётся плохо.

Представлен российский процессор Baikal-M на 28 нм техпроцессе Пока все переходят на 7 нм, Байкал осваивает 28!

Intel в своём репертуаре: цены растут, объёмы реализации падают Зато с 10-нм техпроцессом всё не так плохо, как представляется многим.

Intel выпустила 2 новых процессора Comet Lake-U и снимает с производства NUC на базе чипов Cannon Lake, Braswell и Broadwell Компания Intel выпустила два новых процессора в рамках семейства Comet Lake-U, которые предназначены для применения в составе тонких и лёгких ноутбуков. Новинки представляют собой решения начального уровня. Чипы Intel Pentium Gold 6405U и Intel Celeron 5205U относятся к 10-м...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Завтра Huawei представит новый процессор Kirin Как вы уже наверняка знаете, заявления о том, что Huawei при производстве процессоров для своих смартфонов полностью полагается на себя, оказались неправдой. Да, ее «дочка» HiSilicon разработала часть важных компонентов для чипсетов самостоятельно, но это было сделано с исп...

Лидер Xiaomi пообещал очень интересные смартфоны от брендов Xiaomi, Redmi, Poco, Mito и Black Shark Исполнительный директор компании Xiaomi Лэй Цзюнь (Lei Jun) в ходе свежего интервью говорил о том, каких успехов удалось добиться его компании за последние годы, а также прошелся по планам на этот год. В начале этого года было объявлено о том, что подразделение Redmi ст...

Процессор Qualcomm Snapdragon 865 анонсируют в начале декабря Новый флагманский процессор Qualcomm Snapdragon 865 получит восемь ядер и будет построен по 7 либо по 5 нанометровому техпроцессу Samsung вместо TSMC. Процессор выйдет в двух версиях: со встроенным модемом Snapdragon X55 и без него. Также новый процессор будет поддерживать ...

AMD о ценах на процессоры: «больше производительности за те же деньги» Выступление на технологической конференции Bank of America Merrill Lynch вице-президента AMD Рут Коттер (Ruth Cotter) было достаточно содержательным, поскольку в её зону ответственности входит не только общение с инвесторами, но и определение политики компании в сфере маркет...

Спецификации Snapdragon 865 накануне официального анонса В начале декабря компания Qualcomm проведет мероприятие Snapdragon Tech Summit 2019, в рамках которого с большой долей вероятности представит новый флагманский чип Snapdragon 865, который станет прямым наследником Snapdragon 855 Plus. В сеть уже утекли спецификации новой од...

Nvidia представила GeForce GTX 1650 SUPER и GTX 1660 SUPER Nvidia выводит на рынок GeForce GTX 1650 SUPER и GTX 1660 SUPER - два решения для игр в формате Full HD на основе архитектуры Turing с техпроцессом 12 нм. GTX 1660 SUPER - это GTX 1660, теперь место 6 ГБ GDDR5 с пропускной способностью 8 Гбит/с, получаем 6 ГБ GDDR6 с п...

Samsung работает над новым чипом Exynos 9630 для смартфонов среднего уровня По сообщениям сетевых источников, следующей однокристальной системой среднего уровня южнокорейской компании Samsung станет Exynos 9630, которая в настоящее время находится на этапе разработки. Предположительно этот чип должен появиться в смартфонах Galaxy A51 и Galaxy A71. В...

Samsung представила процессор Exynos 990 и модем 5G Exynos Modem 5123 В сентябре в модельном ряду чипов Samsung появилась новинка Exynos 980, ставшая первым процессором производителя со встроенным 5G-модемом. А сегодня состоялся анонс его продолжения — Exynos 990. Но здесь решено отказаться от интеграции модуля связи для сетей пятого пок...

8000 человек помогут TSMC перейти к 3 нм Компания TSMC работает не только над 5-нанометровой технологией, но уже думает о технологии следующего поколения. Марк Лю (Mark Liu), исполнительный президент TSMC, объявил, что компания наймет 8000 сотрудников для нового центра исследований и разработок, который должен...

Процессоры AMD доминируют в розничной продаже через Amazon с США, Великобритании и Германии Компания AMD выпустила достаточно удачные в плане производительности процессоры, в то время как Intel продолжает топтаться на месте с освоением более передовых технологических процессов производства чипов. Такая ситуация привела к тому, что многие пользователи, самостоятельн...

Китайцы готовятся выпускать первую разработанную в стране память DRAM На днях с подачи интернет-ресурса DigiTimes мы сообщили, что китайская компания ChangXin Memory Technologies (ранее ― Innotron Memory) собирается в четвёртом квартале приступить к производству 19-нм 8-Гбит чипов LPDDR4 (или DDR4, в этом мнения разделились). Чуть подробнее о ...

Гуд бай, Токио: Samsung нашла источник фоторезиста в Бельгии Сайт Nikkei Asian Review поделился информацией о новом источнике фоторезиста для компании Samsung Electronics. Этот ключевой материал для производства чипов южнокорейская компания получала от партнёров в Японии, но с 4 июля поставки оказались под угрозой срыва из-за санкций ...

Intel работает над созданием 10-нм настольных процессоров Но конечных пользователей не должен беспокоить техпроцесс сам по себе.

Рассекречены характеристики отечественного ARM-процессора Он изготовлен по 28-нм техпроцессу и имеет восемь ядер ARM Cortex-A57

Определена приблизительная площадь кристалла 10-нм процессоров Intel Ice Lake AMD со своим 7-нм техпроцессом не так уж сильно вырвалась вперёд.

Сэкономленные на разработке модемов средства Intel направит на освоение 7-нм техпроцесса И на улучшение показателей 10-нм техпроцесса.

Соединённые Штаты препятствуют развитию китайских суперкомпьютеров Уже долгое время между США и Китаем продолжается торговая война, приведшая к ряду взаимных ограничений в технологической сфере. На днях стало известно, что правительство Соединённых Штатов отрезало доступ китайским производителям вычислительных систем к...

Intel ощутимо снизит цены на свои процессоры для конкуренции с новинками AMD Ryzen Компания AMD представила настольные процессоры Ryzen 3000, которые должны поднять производительность на новый уровень. Как известно, компании Intel в настольном сегменте в ближайшее время ответить будет нечем. Большинство утечек и слухов указывают на то, что новая архит...

В базе данных SiSoftware замечен 10-ядерный процессор Intel Cascade Lake-X (платформа HEDT Glacier Falls) На смену платформе Basin Falls для высокопроизводительных настольных компьютеров (HEDT) придет Glacier Falls — со своим чипсетом и процессорами. Случится это, ориентировочно, в третьем квартале текущего года, но в базе данных SiSoftware уже замечен один из предста...

MediaTek представила 12-нм чипсет Helio P65 с акцентом на геймеров Сегодня MediaTek представила новый мобильный чипсет, который получил название Helio P65. Новинка выполнена по 12-нм техпроцессу и обеспечивает в два раза большую производительность по сравнению с предшественником. Также при разработке чипа основной акцент был сделан на гейме...

Теперь официально: не ждите настольных 10-нанометровых CPU Intel минимум до 2021 года Пару дней назад мы смогли ознакомиться с дорожными картами Intel, которые вызвали множество вопросов. В частности, там вообще не было настольных 10-нанометровых процессоров. Сегодня Intel отчиталась за очередной финансовый квартал, заодно подтвердив своё намерение выпу...

Vodafone построит «Золотой кластер» сети в Харькове Vodafone Украина начал пилотный проект по тестированию новейших технологий на собственной сети для улучшения связи 3G и 4G. Пилотным городом станет Харьков, где компания протестирует передовые технологические решения в рамках проекта Vodafone Smart City Kharkiv.

AIDA64 получила поддержку процессоров Ryzen 4000-й серии В новую версию популярной утилиты AIDA64, предназначенной для диагностики, тестирования и получения информации о системе, была добавлена поддержка ряда готовящихся процессоров AMD. Это будущие гибридные процессоры AMD Renoir и настольные процессоры Ryzen четвёртого поколения...

Чиплеты обещают новый уровень вычислительных возможностей На примере Intel мы видим, как ей все сложнее и сложнее дается следовать закону Мура, переходя с одного техпроцесса на другой, пишет портал ZDNet. Существуют опасения, что когда-то он перестанет действовать в принципе, но пока что хоть и со скрипом ...

Опыт использования MacBook Air 2018: стоило ли перевыпускать классику? Пусть даже он не выделяется какими-то передовыми техническими решениями и занимает место самой доступной модели, при его использовании не возникает ни ощущения дешевизны, ни того что ты что-то недополучаешь.

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Apple выделит компании Corning еще 250 миллионов долларов Компания Apple заявила, что выплатит компании Corning 250 миллионов долларов. Средства будут взяты из фонда развития передового производственного в США, который располагает 5 млрд долларов. Corning поставляет защитное стекло для экранов смартфонов Apple iPhone и часов ...

Samsung представила систему на кристалле Exynos 9825, выполненную по 7-нм техпроцессу EUV Уже сегодня состоится презентация долгожданной линейки смартфонов Samsung Galaxy Note10. Но Samsung решила начать привлекать к нему внимание раньше и представила новую систему-на-кристалле Exynos 9825. Компания называет ее первой в мире однокристальной системой, выполненной...

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

Redmi Note 8 представлен официально: четырехмодульная камера, SoC Snapdragon 665, быстрая зарядка мощностью 18 Вт В это время в Китае проходит официальное мероприятие Redmi, на котором анонсируют новые устройства. И одно же полностью представлено официально – это Redmi Note 8. Смартфон стал заметно лучше в сравнении с предшественником, если оценивать в первую очередь камеры:...

Убытки TSMC после химического загрязнения на производстве оценены в $550 млн Январский инцидент на крупнейшей фабрике TSMC, когда из-за загрязнения одного из реактивов, применяемых для подготовки фоторезиста, компании пришлось отправить в утиль партию из нескольких тысяч полупроводниковых пластин, обойдется ей в 550 миллионов долларов убытков. Напомн...

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

Samsung анонсирует 7-нм процессор EUV Exynos 990 Компания Samsung анонсировала новый мобильный процессор под названием Exynos 990. Exynos 990 построен на 7-нм техпроцессе EUV, имеет восемь ядер в трехкластерной конфигурации 2+2+4. Про тактовые частоты Samsung умалчивает, но говорит что производительность ядер увеличи...

Председатель Foxconn уходит с поста и обдумывает участие в президентской гонке Терри Гоу (Terry Gou) планирует уйти со своего поста председателя совета директоров Foxconn, крупнейшего в мире контрактного производителя. Также магнат заявил, что рассматривает возможность участия в президентской гонке на Тайване, которая пройдёт в 2020 году. Об этом он ск...

Huawei подтвердила название SoC Kirin 990 и факт ее использования в Huawei Mate X. Honor 5G может получить SoC MediaTek В начале недели появилась информация о том, что в грядущем смартфоне с гибким экраном Huawei Mate X будет представлена новейшая однокристальная система Kirin 990. Сегодня Янминг Ван (Yanming Wang), президент Huawei в европейском регионе и Канаде, подтвердил Techradar, ч...

MediaTek анонсировала линейку 5G-чипсетов Dimensity: поддержка двух 5G-SIM, Wi-Fi 6 и Bluetooth 5.1 Компания MediaTek подготовила к выпуску новую линейку систем-на-чипе с поддержкой связи 5G. Эта серия получила название Dimensity. А первым чипсетом в рамках новой линейки стала модель Dimensity 1000 5G. Процессор MediaTek Dimensity 1000 5G изготавливается по нормам 7-наноме...

В MIT придумали гибкий материал для добычи энергии из сигнала Wi-Fi Вряд ли у кого-то вызовет удивление возможность добывать энергию из высокочастотного радиосигнала. Те же бесконтактные карты и RFID-метки придуманы и используются не одну пятилетку. Интересно другое ― сделать принимающую и преобразующую часть в виде антенны и полупроводников...

AMD: 7-нм EPYC Milan превзойдут 10-нм Intel Ice Lake-SP по соотношению производительности на ватт Серверные процессоры AMD EPYC 2-го поколения обладают внушительным уровнем вычислительной мощности, что подтверждают недавние рекорды. Не в последнюю очередь это заслуга 7-нм техпроцесса TSMC, благодаря которому «красные» добились высокого соотношения производите...

Intel прекращает выпуск практически всех настольных процессоров Core 7-го поколения Компания Intel опубликовала очередное «Уведомление об изменении продукта», получившее номер 117180-00. В нем производитель сообщает о прекращении выпуска практически всей серии процессоров Core 7-го поколения для настольных ПК (Kaby Lake). Это относится к пр...

Нужно сказать спасибо AMD. Новые CPU Intel Core i5 получат поддержку Hyper-Threading Недавно мы узнали, что процессор Core i3-10100 получит четыре ядра и будет поддерживать Hyper-Threading, что сделает его существенно интереснее предшественников. Судя по новым данным, подобное преображение ожидает и новые настольные CPU Core i5. В базу SiSoft Sandra по...

NVIDIA Ampere: цены, быстродействие, техпроцесс – всё что мы знаем о новом поколении на текущий момент Сидим и ждем новых слухов об NVIDIA Ampere

Intel забуксовала со своими дискретными видеокартами, главная проблема – в их невысокой эффективности Только ленивый не упрекает Intel в том, что она засиделась на 14-нанометровом техпроцессе в своих CPU, но выбор его же для 3D-карт сыграет с последними злую шутку еще до их выпуска: по эффективности они не смогут тягаться 12-нанометровыми решениями Nvidia и 7-нанометров...

РВК и Нижегородская область подписали соглашение о развитии НТИ В рамках Российского инвестиционного форума - 2019 в Сочи РВК и Правительство Нижегородской области договорились о реализации в регионе проектов Национальной технологической инициативы (НТИ). Документ подписали генеральный директор РВК Александр Повалко и губернатор Нижегоро...

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

Redmi K30 может все-таки получить процессор Snapdragon 735 и поддержку 5G Компания Redmi уже подтвердила, что Redmi K30 находится в разработке и показала его первую особенность — двойную фронтальную камеру, встроенную в дисплей. После этого начали появляться слухи о том, что за производительность новинки будет отвечать еще не анонсированная систем...

На заводе «Ангстрем-Т» освоена технология Trench MOSFET Российское предприятие «Ангстрем-Т» сообщило об освоении современной технологии полупроводникового производства. Речь идет о технологии силовых транзисторов Trench MOSFET. В настоящий момент в России только «Ангстрем-Т» может выпускать транзистор...

Новые APU AMD Ryzen 5 3400G и 3 3200G получат заметно более высокие тактовые частоты В отличие от десктопных 7-нм процессоров Ryzen 3000 на архитектуре Zen 2, новые APU AMD будут использовать микроархитектуру Zen+ и 12-нм техпроцесс FinFET. И недавно источникам удалось узнать некоторые характеристики двух пока не анонсированных гибридных процессоров AMD. Под...

Xiaomi выпустила передовой смарт-унитаз В ассортименте китайской компании Xiaomi появился новый смарт-унитаз, который стал самым передовым из подобных продуктов производителя. ***

Volkswagen начинает строительство нового завода по производству электромобилей в США Компания Volkswagen сообщила о начале строительства нового завода по производству электромобилей. Завод на юго-востоке США, в Чаттануга, штат Теннесси, станет североамериканской сборочной базой Volkswagen для электромобилей на модульной платформе MEB. К выпуску продукци...

Intel представила десятое поколение процессоров Ice Lake с шагом 10 нм После не самого впечатляющего анонса нового i9-9900KS на Computex от Intel, многие могли подумать, что компания окончательно сдала позиции и не привезла ничего впечатляющего в отличие от своего прямого конкурента — компании AMD, которая показала линейку процессоров Ryzen тре...

Новые Nintendo Switch и NVIDIA Shield TV получат улучшенную Tegra X1 На прошедшей игровой выставке E3 было представлено немало впечатляющих игр для гибридной портативной консоли Switch, но ожидания, что Nintendo покажет или расскажет о грядущих аппаратных новинках, не оправдались. Пока даже о формате устройств нет верных сведений, тем не мене...

GPU Nvidia Ampere будут основаны на 7-нм техпроцессе Samsung: релиз в 2020 году Графические процессоры Nvidia Ampere уже получили сертификат ECC, но до сих пор мы почти ничего не знали об их особенностях. Сегодня это изменилось. Подробнее об этом читайте на THG.ru.

MediaTek Helio P35 и его характеристики Mi Play стал первым смартфоном компании с каплевидным вырезом в экране. Помимо нового процессора он получил 4 ГБ оперативной памяти, накопитель на 64 ГБ с возможностью расширения, аккумулятор ёмкостью 3000 мАч, двойную камеру с разрешением 12 Мп и сканер отпечатков пальцев.В...

Предварительный обзор видеоускорителей AMD Radeon RX 5700 и 5700 XT: разбираем новую архитектуру Navi, смотрим на видеокарты Видеокарты семейства Radeon RX 5700, основанные на двух модификациях графического процессора Navi 10 новой архитектуры RDNA, заняли на рынке игровых видеокарт место Vega 56 и Vega 64, которые слишком дороги в производстве. В наших синтетических тестах новинки за редким исклю...

Intel планирует снижение цен, чтобы конкурировать с AMD Не так давно компания AMD показал рынку свои процессоры Ryzen 3000, которые задерут планку производительности ещё выше, что было очень позитивно воспринято энтузиастами и любителями компьютерного железа. Позитива сюда добавляет также то, что это усилит конкуренцию между 2 ...

Представлен процессор Intel NNP-T: 24 тензорных ядра, 32 ГБ памяти HBM2 и 27 млрд транзисторов Пару месяцев назад компания Intel представила новый продукт семейства Nervana — процессор NNP-I. Это решение основано на обычном процессоре Ice Lake, из которого «убрали всё лишнее» и добавили блоки DSP. Сегодня на мероприятии Hot Chips 31 компания Int...

Графический процессор AMD Navi оказался почти на 60% компактнее конкурирующего NVIDIA Turing 7-нм техпроцесс помогает AMD не только в сегменте центральных процессоров.

Xiaomi Mi Play — уже в Украине Mi Play работает на базе процессора MediaTek P35, который построен по 12 нм техпроцессу и имеет 8 ядер Cortex A53 с максимальной тактовой частотой 2,3 ГГц.

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

30 мая выйдет первый смартфон на базе нового чипа Snapdragon 665 Популярный индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) рассказал, что на этой неделе представят первый смартфон c новым процессором Qualcomm Snapdragon 665. По словам источника, мероприятие пройдёт 30 мая. К сожалению, о каком конкретно устройстве идёт речь, п...

Дональд Трамп: «Я хочу технологию 6G как можно скорее» На текущий момент ведущие производители телекоммуникационного оборудования и сотовые операторы активно готовятся к развёртыванию сетей пятого поколения, и президент США Дональд Трамп хочет, чтобы штаты как можно быстрее внедрили эту технологию. Более того, на своей странице ...

Как выдержать повышенные нагрузки на систему: рассказываем о масштабной подготовке к Черной Пятнице Привет, Хабр! В 2017 году во время Черной Пятницы нагрузки выросли почти в полтора раза, и наши сервера находились на пределе возможностей. За год количество клиентов значительно выросло, и стало понятно, что без тщательной предварительной подготовки платформа может просто...

Intel представила Lakefield: пятиядерный гибрид Core и Atom с трёхмерной компоновкой Intel представила свой первый гибридный процессор Lakefield, в котором объединено пять вычислительных ядер с различной архитектурой, работающих по принципу big.Little. Несмотря на то, что для изготовления Lakefield применяется 10-нм техпроцесс и технология 3D-компон...

Xiaomi готовит, возможно, самый дешевый смартфон с мощной камерой Модель Xiaomi Mi A3 получила 48-мегапиксельный модуль основной камеры - точно такой же, как используется во многих флагманских аппаратах. В основе смартфона лежит новый процессор среднего уровня, созданный на базе 8-нанометрого техпроцесса - Snapdragon 730.

Intel подтвердила подготовку Comet Lake: десятку ядер в массовом сегменте быть! Уже довольно давно циркулируют слухи, что компания Intel до 10-нм процессоров Ice Lake выпустит ещё одно семейство 14-нм процессоров, которое будет называться Comet Lake. И теперь эти слухи косвенно подтвердила сама Intel: упоминание о Comet Lake обнаружилось в новых графиче...

Планы AMD на ближайший год: настольные процессоры Ryzen 4000 выйдут в августе 2020 года, а мобильные — в начале того же года Вчера мы говорили о слухах, которые утверждали, что новые настольные APU AMD могут выйти уже в ноябре или декабре. Но также источник говорил, что есть вероятность анонса уже на CES 2020 в январе. Если верить неофициальной дорожной карте, которую опубликовал другой источ...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

Продажи фотошаблонов для полупроводникового производства в 2018 году достигли рекордного уровня Отраслевая ассоциация SEMI, в которую входят представители цепочки поставок в области производства и проектирования электроники, сообщила интересный факт: в 2018 году продажи фотошаблонов или масок для полупроводникового производства впервые превысили 4 млрд долларов. Г...

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

Intel представила новые HEDT-процессоры Core i9-10000 (Cascade Lake-X). Они не сильно быстрее старых, но зато вдвое дешевле! Intel сегодня представила новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000. Новинки семейства Cascade Lake-X являются лишь немного ускоренными вариантами прошлогодних CPU Skylake-X Refresh. То есть, техпроцесс — по-прежнему 14 нм, а архите...

Планы AMD: CPU с архитектурой Zen 4 — до 2022 года, GPU с архитектурой RDNA2 — до 2021 года Компания AMD опубликовала документ для инвесторов, в котором можно найти данные о ближайших планах производителя. Они в целом совпадают с тем, что мы уже знали, но есть немного новой информации. Итак, до 2022 года года мы увидим процессоры Ryzen не то...

LG Display сократит четверть руководящего и офисного персонала В пятницу южнокорейская компания LG Display сообщила о предстоящем масштабном разовом сокращении персонала. «Перегруппировке и оптимизации» подверглись руководящие и деловые кадры ― инструменты по принятию и проведению решений в жизнь производителя. Работы в компании лишится...

Представлен Oppo Reno Z — первый в мире смартфон с SoC MediaTek Helio P90 Компания Oppo представила смартфон Reno Z. Изначально ему приписывали SoC MediaTek Helio P90, затем источники стали утверждать, что новинка будет основана на Snapdragon 710 и будет стоить менее 200 долларов. В реальности оказалось, что Reno Z действительно стал первым и...

Samsung заключили сделку с производителями гибкого стекла. Его используют в Galaxy Fold 2? Galaxy Fold имеет все шансы стать лучше предшественника Первое складное устройство от Samsung в лице аппарата Samsung Galaxy Fold сложно назвать удачным. Ведь даже сам технологический гигант признал, что смартфон имеет ряд существенных недостатков. Однако южнокорейский новос...

Презентация новинок от Apple Всемирно известная компания Apple постоянно радует своих клиентов новыми гаджетами, которые всегда идут на шаг впереди всех. Усовершенствованный функционал, добавление дополнительных функций, которые раскрывают новые возможности перед пользователем, всё это присутствует в но...

Флагманский чипсет Snapdragon 875 будет выпускаться TSMC на 5-нм техпроцессе Ближе к концу года Qualcomm должна представить новый флагманский чипсет Snapdragon 865. Но ещё до этого события в сети появились первые слухи о его преемнике, который будет известен под названием Snapdragon 875. Подробнее об этом читайте на THG.ru.

Honor объяснила, чем отличаются линейки Honor M/N/V/X Вчера компания Honor официально представила новые смартфоны Honor 9X и Honor 9X Pro со стартовой ценой всего около 200 долларов. При этом президент Honor Чжао Минь (Zhao Ming) заявил, что компания стала одним из самых молодых и крупнейших мировых технологических брендов...

Турция создаст национальную блокчейн-платформу Власти Турции объявили о создании национальной блокчейн-инфраструктуры, в рамках которой технологию внедрят в госуправление. Соответствующие планы министерство науки, промышленности и технологий изложило в рамках презентации «Стратегии 2023». В следующем году блокч...

TSMC может столкнуться с нехваткой мощностей Всё больше технологических компаний переходят на 7 нм нормы производства. Чуть ли ни единственным производителем таких микросхем является TSMC, и по мнению аналитиков, это может привести к перегрузке заказами и увеличению сроков ожидания изготовления.

«Генри Форд перевернулся в гробу». Трамп разгневан намерениями автопроизводителей придерживаться стандартов по снижению выбросов Президент США раскритиковал автопроизводителей Ford, Volkswagen, BMW и Honda за то, что они заключили соглашение с властями штата Калифорния, которое предусматривает поддержку более высоких экологических стандартов. Эта сделка противоречит усилиям администрации президента за...

Intel представила 25 новых процессоров для настольных ПК Вместе с шестью мобильными процессорами для высокопроизводительных ноутбуков Intel представила 25 моделей CPU для настольных ПК. Все они относятся к девятому поколению процессоров Core (семейство Coffee Lake Refresh), но фундаментально базируются на архитектуре Skylake ...

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Крупная реорганизация в Ford приведёт к сокращению 12 000 рабочих мест По сообщениям сетевых источников, Ford запускает новую бизнес-модель и новое семейство автомобилей в рамках крупнейшей кампании по реорганизации за всю историю своего бизнеса в Европе. Кроме того, автопроизводитель намерен улучшить свои финансовые результаты в этом году, что...

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Таинственная SoC Samsung производится по нормам 8 нм Как мы уже сообщали, компания Samsung начала дразнить общественность скорым анонсом новой однкористальной системы семейства Samsung Exynos. Сам южнокорейский производитель не называет точное название однокристальной системы, однако инсайдеры считают, что речь идет о Sam...

Amazon объявила о двух новых проектах в области возобновляемых источников энергии в США и ЕС Компания Amazon представила два новых проекта, касающиеся возобновляемых источников энергии. Технологический гигант из Сиэтла объявил о планах строительства ветряной электростанции в Корке (Ирландия) и солнечной фермы в округе Питтсильвания (штат Виргиния, США). Westend61 / ...

Мобильные семинанометровые процессоры AMD Ryzen появятся на рынке к концу года или в начале следующего Недавно компания AMD поверхностно представила семинанометровые настольные CPU Ryzen третьего поколения. Они выйдут на рынок когда-то позже в этом году, но слухи говорят примерно о втором квартале. Чуть ранее AMD представила и новые мобильные процессоры Ryzen, только вот...

В штате Луизиана введено чрезвычайное положение из-за серии кибератак на школы К расследованию инцидентов были привлечены государственные чиновники, правоохранительные органы и ФБР. Тип вирусов-вымогателей, использованных при этих кибератаках, не разглашается, однако власти рассматривают атаки как "серьезные, преднамеренные действия по подрыву киб...

Неожиданно: первой платформой Qualcomm со встроенным модемом 5G станет 7-нанометровая Snapdragon 735 В Сети появились подробности о новой однокристальной платформе Qualcomm – Snapdragon 735. И самое интересное, что можно почерпнуть из опубликованного источником перечня ее характеристик, - наличие встроенного модема 5G. Такового нет даже во флагманской Snapdragon ...

«Передовые технологии за полцены». Завтра Xiaomi представит некий инновационный продукт, возможно это будет складной смартфон Сегодня на официальной странице Xiaomi в социальной сети Weibo появилась тизерная картинка, посвященная какому-то новому продукту. Увы, никаких намеков на то, что это могло бы быть, нет, но текст обещает нам «передовые технологии за полцены». Учитывая ценов...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Фотогалерея дня: видеокарты Radeon Navi в исполнении ASRock Вчера компания AMD номинально представила видеокарты поколения Navi. Нам рассказала о новой архитектуре, новом техпроцессе и новом принципе именования, но не раскрыли ни параметров, ни цен, ни каких-либо иных подробностей. А вот компания ASRock взяла и показала уже &laq...

Foxconn остановил ряд линий по сборке телефонов Huawei Китайский технологический гигант Huawei, чьи устройства оказались в центре разгорающейся торговой войны между правительствами США и Китая, сокращает заказы на сборку новых телефонов, сообщил местный ресурс The South China Morning Post. REUTERS/Tyrone Siu Согласно данным исто...

Новые подробности о Comet Lake: 10-ядерный флагман за $499 и процессорный разъём LGA 1159 В Сети появились данные об основных технических характеристиках и ценах настольных процессоров Intel Core десятого поколения, которые также известны под названием Comet Lake. Напомним, что данные чипы будут выполнены по улучшенному (в очередной раз) 14-нм техпроцессу и стан...

64-ядерный CPU Epyc 7742 оценён менее чем в 8000 долларов Процессоры AMD Epyc второго поколения должный выйти в третьем квартале. Напомним, эти серверные CPU перешли на семинанометровый техпроцесс и архитектуру Zen 2. Кроме того, количество ядер выросло вдвое, так что флагманы теперь 64-ядерные. И вот теперь в Сети появились ...

MediaTek предположительно готовит флагманский процессор для игр Helio G90 Только вчера состоялся анонс улучшенной версии процессора Snapdragon 855 Plus, как сегодня стало известно, что похожий чип, с акцентом на игровых возможностях, готовит компания MediaTek. Как вы можете знать, раньше именно слабый видеоускоритель был главной проблемой систем ...

Новая статья: Микроархитектура Zen 2: вот почему мы ждём Ryzen 3000 Через две недели с небольшим нас, по всей видимости, ожидает чудо. Такой вывод можно сделать, если обобщить все те предположения, которые высказывают пользователи в ожидании предстоящего анонса процессоров Ryzen третьего поколения. Но даже самые смелые высказывания о том, бу...

Оказалось, что технология быстрой зарядки в новых iPhone даже быстрее, чем заявляет Apple Компания Apple наделила поддержкой технологии быстрой зарядки свои смартфоны ещё с выходом iPhone 8. Однако до выхода новой линейки 2019 года купертинский гигант поставлял все свои смартфоны зарядными устройствами мощностью всего 5 Вт. Новое поколение iPhone под...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

Американские лазеры помогут бельгийским учёным с прорывом до 3-нм техпроцесса и дальше Как сообщает сайт IEEE Spectrum, с конца февраля по начало марта на базе бельгийского центра Imec совместно с американской компанией KMLabs была создана лаборатория для изучения проблем с полупроводниковой фотолитографией под воздействием EUV-излучения (в сверхжёстком ультра...

AMD будет продавать Radeon VII почти по себестоимости Уже совсем скоро, 7 февраля, начнутся продажи видеокарты AMD Radeon VII. Рекомендованная стоимость новинки составит $699, что, с учётом использования дорогой памяти HBM2, является вполне гуманным ценником. Поэтому ресурс Fudzilla решил выяснить себестоимость новинки и узнать...

SK Hynix в третьем квартале провалила всё что можно Южнокорейская компания SK Hynix опубликовала информацию о работе в третьем квартале 2019 календарного года, который закончился для неё 30 сентября. Квартальная выручка этого производителя памяти DRAM и NAND показала годовое снижение на 40 %, снижение чистой прибыли составил...

Самый быстрый автомобиль в мире от Bugatti разогнался до 490 километров в час, но рекорд не засчитали 490 километров в час — новый рекорд скорости для автомобиля Компания Bugatti Automobiles снова создала самый быстрый «почти серийный» автомобиль в мире, разогнав прототип своего купе Chiron до немыслимых 490 километров в час (почти 305 миль в час). И несмотря на то, чт...

Видеокарты NVIDIA Ampere поступят в продажу в первом полугодии 2020 года: нас ждет 7 нм техпроцесс и невероятная мощь Начинаем экономить уже сейчас, а иначе на новую видеокарту не накопить!

Представлен первый в мире смартфон с новым восьмиядерным процессором Им стала модель Reno Z. В её основе лежит производимый по 12-нанометровому техпроцессу чип MediaTek Helio P90. Он включает два ядра Cortex-A75, шесть ядер Cortex-A55 и графический процессор PowerVR GM 9946.

Fujitsu опубликовала полный список процессоров Coffee Lake Refresh На данный момент компания Intel официально представила не так уж много процессоров девятого поколения, также известных под кодовым названием Coffee Lake Refresh. О других процессорах пока что появлялись лишь слухи и утечки, однако компания Fujitsu «слила» информацию сразу о ...

Процессор Huawei Kirin 985 будет на 10-20% производительней чипа Kirin 980 Новый процессор станет на 10-20% производительней своего предшественника, но при этом он будет похож на него по архитектуре. Его построят по 7-нанометровому техпроцессу с методом обработки EUVL (Extreme ultraviolet lithography). Кроме этого, процессору приписывают встроен...

Архитектура Zen 3 и 7nm+ техпроцесс EUV увеличат плотность транзисторов на 20% Уже в следующем году AMD перейдёт на архитектуру Zen 3 и более совершенный техпроцесс 7nm+ с литографией в жёстком ультрафиолете (EUV). И сегодня нам удалось узнать, чего стоит ждать от этого перехода. Подробнее об этом читайте на THG.ru.

38-ядерные процессоры Intel Ice Lake будут иметь TDP 270 Вт В следующем году компания Intel выведет на рынок серверные процессоры в рамках платформы Whitley. Платформа будет включать как 14-нанометровые процессоры Cooper Lake, так и 10-нанометровые Ice Lake. И сегодня у нас есть подробности касательно и тех, и других. Итак, дан...

Intel делится планами по Optane и обещает энергонезависимые DIMM в рабочих станциях Сегодня утром в южнокорейском Сеуле Intel провела мероприятие, посвященное перспективным планам на рынке памяти и твердотельных накопителей. На нём представители компании рассказали о будущих моделях Optane, о прогрессе в разработке пятибитовой PLC NAND (Penta Level Cell) и ...

Тесты процессоров Ryzen 9 3900X и Ryzen 7 3700X демонстрируют их превосходство над конкурентами Вчера вечером мы смогли оценить первый тест видеокарт Radeon RX 5700 и RX 5700 XT, которые, к слову, уже подешевели, а сегодня в Сети появился обзор новых процессоров AMD. Если точнее, моделей Ryzen 9 3900X и Ryzen 7 3700X. Напомним, первая содержит 12 ядер с частотами...

[Перевод] AMD Ryzen Matisse третьего поколения: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК AMD Ryzen Matisse третьего поколения выйдет в середине 2019 года: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК Моргните, и вы уже рискуете пропустить это событие: основной доклад AMD в этом году стал вихрем анонсов прайм-тайма для компании. Идея ясна: AMD пообещала и...

Официально представлен новый процессор для флагманского смартфона Samsung Galaxy Note10 Чип Exynos 9825 стал улучшенной версией процессора Exynos 9820, который лежит в основе Galaxy S10. Благодаря применению 7-нанометрового техпроцесса EUV чипсет получил улучшенные показатели энергопотребления и производительности.

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Новый флагман Sony Xperia 1 первым получил «кинематографический» дисплей 4K OLED с соотношением 21:9 Линейка премиальных смартфонов Sony Xperia XZ трансформировалась, и теперь новым флагманом компании стала модель Sony Xperia 1, которая вобрала в себе самые передовые технологические решения. Смартфон Sony Xperia 1 получил 6,5-дюймовый CinemaWide дисплей на базе технологии O...

Предположительные характеристики видеокарт Radeon RX 5600 (XT) и RX 5800 (XT) Из различных слухов и утечек известно, что компания AMD сейчас готовит графические процессоры Navi 12 и Navi 14, которые лягут в основу новых видеокарт Radeon RX 5000-й серии. И ресурс 3DCenter поделился с общественностью новыми подробностями о будущих новинках, а также и ви...

Ядер — больше, частота — ниже: базовая частота 64-ядерного процессора AMD EPYC нового поколения составила всего 1,4 ГГц Компания AMD собирается представить серверные процессоры EPYC нового поколения Rome в середине текущего года. Одной из топовых моделей серии станет 64-ядерная, и она уже засветилась в базе данных SiSoftware Sandra, благодаря чему стали известны и характеристики CPU, и п...

32-ядерный AMD Ryzen Threadripper 2990WX возглавил рейтинг процессоров для настольных ПК. В Топ-5 — четыре CPU AMD и только один Intel Разработчики бенчмарка Master Lu опубликовали рейтинг производительности процессоров, используемых в настольных ПК. В трехмесячной выборке традиционно приняло участие свыше 500 000 тысяч настольных компьютеров, так что репрезентативность на уровне. Первое место рей...

GeForce RTX 3080 могут представить уже в июне На днях мы сообщали о том, что слухи указывают на задержку выхода видеокарт Nvidia следующего поколения, из-за чего модель GeForce RTX 2080 Ti Super всё же должна увидеть свет. Новые данные ничего не говорят о таком адаптере, зато проливают свет на следующее поколение в...

SoC Kirin 720, которая ляжет в основу Huawei Nova 5, представят завтра Компания Huawei на завтра назначила пресс-конференцию, которая посвящена анонсу новой однокристальной системы семейства Kirin. Источники считают, что речь может идти о новой флагманской однокристальной системе Kirin 985, которая будет производиться по нормам 7-нм технол...

Процессор Snapdragon 675 засветился в Geekbench и оказался мощнее субфлагманского Snapdragon 710 На официальном сайте популярного бенчмарка Geekbench появился отчёт о тесте ещё не анонсированного смартфона с кодовым названием vivo vivo 1818 (по слухам, за ним скрывается Vivo V15 Pro). Он работает под управлением недавно представленного процессора Snapdragon 675, который...

Huawei анонсировала 7-нм восьмиядерный процессор Kirin 810 с особым акцентом на ИИ Как вы уже знаете, китайский технологический гигант по имени Huawei сегодня провел презентацию, на которой представил несколько своих новинок. Мы уже рассказали про продолжение одного из лучших планшетов на Android, а теперь хотим рассказать про совершенно новый мобильной п...

Bosch разработала умный холодильник на базе блокчейн Иногда передовые технологии появляются в самых неожиданных решениях. Так произошло и с технологией блокчейн. Её интегрировали в холодильник. Конечно же, в умный холодильник. Так, компания Bosch в сотрудничестве с ведущим австрийским поставщиком энергоресурсов Wien Energie со...

AMD раскрыла характеристики новых процессоров Ryzen 3000 Вчера на выставке Computex глава AMD Lisa Su раскрыла характеристики нового поколения настольных процессоров Ryzen. Благодаря переходу на новый 7-нм техпроцесс удалось увеличить частоты в режиме boost до 4.6Ghz. Читать дальше →

Надежность изделий московского «Микрона» подтверждена международным сертификатом качества Как сообщает портал Mos.ru, независимое аудиторское бюро United Registrar of Systems Ltd. изучило технологические процессы, а также параметры качества продукции резидента Особой экономической зоны «Технополис “Москва”» – компании «Микрон». По результатам аудита, бюро выдало ...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

Глава Qualcomm рассказал, какие устройства будут после смартфонов Умные очки не заменят смартфоны полностью, но будут выполнять часть их функций Прямо сейчас рынок смартфонов претерпевает серьёзные изменения. Большинство пользователей больше не интересуют флагманские аппараты, и они покупают устройства среднего ценового сегмента, которые в...

Официально: видеокарты AMD Radeon Navi выйдут в третьем квартале 2019 года Компания AMD официально подтвердила информацию о том, что первые видеокарты на базе новой графической архитектуры Navi выйдут в третьем квартале 2019 года. Чипы будут выполнены по 7-нм техпроцессу TSMC. Стоит отметить, что Navi — это семейство графических процессоров, поэто...

Аппаратное ускорение трассировки лучей появится в видеокартах AMD в следующем году В том, что компания AMD внедрит в свои графические процессоры поддержку аппаратного ускорения трассировки лучей, не было никаких сомнений. Однако оставался вопрос: когда это произойдёт? И теперь в рамках выставки E3 компания поделилась подробностями на этот счёт. Анонсируя с...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

AMD была основана ровно 50 лет назад со стартовым капиталом в $50 тысяч Полупроводниковая индустрия довольно молода, и многим крупным компаниям в ней всего пару десятков лет. Но есть и ветераны, которые отмечают полувековые юбилеи. К таковым относится Intel (которая отпраздновала 50 лет со дня основания в прошлом году) и её многолетний конкурент...

Американские военные оплатили разработку устойчивых к радиационному поражению чипов Обычные полупроводники плохо реагируют на повышенный радиационный фон. Излучение приводит к спонтанным процессам в кремнии, что чревато сбоями и ошибками при работе с памятью. Это неприемлемо для ведения боевых действий в условиях радиационного поражения. Также устойчивость ...

Настольные процессоры AMD Ryzen 4000-й серии выйдут только к концу 2020 года Компания AMD сейчас работает над настольными процессорами нового поколения, которые будут представлены в серии Ryzen 4000. Согласно свежим данным ресурса MyDrivers, полученным из собственных источников, новые процессоры, а также новая платформа для них, дебютируют лишь к кон...

Фотографии чипсета AMD X570 пролили свет на его происхождение А вот техпроцесс изготовления на фотографиях не разглядишь.

Увеличение рентабельности за счет аутосорсинга Никто не станет отрицать, что в последнее время появилось много технологий, способных решить те или иные вопросы работы предприятия. Прогресс не стоит на месте и требуется постоянное изучение вариантов деятельности, которые помогут вам не только увеличить рентабельность ваше...

Штат Колорадо исключил криптовалюты из законодательства о ценных бумагах Губернатор Колорадо Джаред Полис подписал 8 марта «Закон о цифровых токенах», исключающий некоторые цифровые валюты из законодательства штата о ценных бумаг. Также новый закон при определенных обстоятельствах освобождает от лицензирования брокер-дилеров и торговцев криптовал...

Фотоэкскурсия по демонстрационному центру Lenovo Green Solutions Center Китайский вендор Lenovo организовал экскурсию для журналистов по своему демонстрационному центру Green Solutions Center в городе Роли, штат Северная Каролина (США), внутри которого представлены решения компании для ЦОД. Внутри демонстрационного центра Green Solutions Center ...

Улучшенная версия 7-нм техпроцесса TSMC поднимет быстродействие на 5% Шанс для AMD поднять производительность процессоров малой кровью.

В 2019 году выйдут новые Apple Watch и AirPods На сайте DigiTimes появилась информация, что система-на-кристалле Apple A13 будет производиться только TSMC по 7-нм техпроцессу и должна лечь в основу смартфонов нового поколения. Также, подтвердился факт, что в 2019 году выйдет новое поколение наушников...

LG запускает глобальные продажи передовых телевизоров новой серии 2019 года LG Electronics (LG) объявила, что продажи новых премиум-телевизоров из серии 2019 года с передовыми технологиями OLED и NanoCell стартует на этой неделе. В этом году модели OLED составят 20% высококлассного телевизионного портфолио компании. Ожидается рост спроса на OLED-тел...

Недорогой гибридный процессор AMD Ryzen 5 3400G будет работать на частоте до 4,2 ГГц Совсем скоро компания AMD представит новые семинанометровые настольные CPU Ryzen 3000, основанные на архитектуре Zen 2. Кроме них нас ждут модели линейки Ryzen 3000, которые будут использовать «старую» архитектуру Zen+ и 12-нанометровый техпроцесс. Такими б...

Qualcomm анонсировала мобильную платформу Snapdragon 712, которая на 10% быстрее Snapdragon 710 Компания Qualcomm продолжает расширять модельных ряд свои однокристлаьных систем для смартфонов. Сегодня она анонсировала новую платформу Snapdragon 712, которая получила ряд улучшений по сравнению с предшественником Snapdragon 710. В основном, речь идёт о приросте производи...

Китайский производитель отобрал у Samsung 11 % рынка гибких AMOLED С 2017 года, когда компания Samsung начала использовать в смартфонах гибкие (но тогда ещё не сгибающиеся) дисплеи AMOLED, ей принадлежал почти весь рынок подобных экранов. Точнее, если верить отчётам компании IHS Markit, то 96,5 % рынка гибких AMOLED. За прошедшее с тех пор ...

Анонсирован смартфон Redmi Note 7 Pro – на платформе Snapdragon 670 и с 48-мегапиксельным датчиком Sony Вместе со смартфоном Redmi Note 7 сегодня анонсирована и другая модель бренда – Redmi Note 7 Pro. Новинка выглядит в точности как Redmi Note 7, но имеет несколько важных отличий. Первое отличие касается платформы: если в Redmi Note 7 используется SoC Qualcomm Sna...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Xiaomi Mi A3 чипом Snapdragon 665, тройной камерой и ценником в 250 евро Компания Xiaomi официально представила свой новый смартфон в рамках программы Android One — Mi A3. Новинка стала не полной копией Xiaomi CC9, а его младшей версии CC9e. Смартфон получил стеклянный корпус с несколькими расцветками, а также плоский AMOLED-дисплей на 6 дюймов ...

AMD Radeon Pro W5700 — видеокарта для 3D-дизайнеров, архитекторов и инженеров AMD анонсировала видеокарту AMD Radeon Pro W5700 с первым в мире графическим процессором на базе 7-нм техпроцесса для профессиональных рабочих станций.

Флагманские линейки Samsung Galaxy S и Galaxy Note ждёт серьёзное удешевление Компания Samsung готовится выпустить доступные модели смартфонов с обеих своих флагманских сериях —  Samsung Galaxy S и Galaxy Note. Об этом рассказал хорошо себя зарекомендовавший сетевой информатор  Ишан Агарвал (Ishan Agarwal) через ресурс DroidShout....

Мобильные процессоры Intel 10 поколения обгоняют последние чипы AMD Процессоры под кодовым именем Ice Lake появлялись на свет долго и мучительно. Intel несколько лет подряд испытывает трудности с переходом на более современный техпроцесс 10 нанометров и менее. В тоже время главный конкурент — AMD, — в 2019 году успешно анонсировал и затем вы...

Intel расширяет линейку мобильных процессоров Intel Core 10-го поколения Ноутбуки и устройства «два в одном» на базе новых процессоров основные производители ПК планируют выпустить к праздничному сезону. Компания Intel представила восемь новых процессоров Intel Core 10-го поколения для мобильных компьютеров. Новые процессоры, ранее известн...

Huawei P Smart 2019 и его функции Компания Huawei выпустила современный смартфон. Сзади расположена стеклянная крышка, доступная в нескольких вариантах расцветки, включая градиентный с переходом от синего к бирюзовому. Смартфон оснащён классическим разъёмом micro-USB и 3,5-м аудиовыходом. Также он оборудова...

Антимонопольное расследование американских властей в отношении Google расширяется и затрагивает поисковик и Android Некоторое время назад стало известно о том, что генеральные прокуроры 50 штатов США начали расследование в отношении компании Google, которая была заподозрена в нарушении антимонопольного законодательства на рекламном рынке. Теперь же сетевые источники сообщают о том, что пр...

Обновлённая Nintendo Switch: краткий обзор изменений В начале сентября в российских магазинах начала появляться обновлённая Nintendo Switch. Не путать с «окончательно портативной» Switch Lite, которая поступит в продажу 20 сентября — её мы разберём позже, в отдельном материале. Главное новшество «обычной» Switch образца 2019...

Разработка ГК «Формула» внедрена на заводе «Мстатор» Группа компаний «Формула» разработала и внедрила автоматизированную систему «Цифровизация учета в электронной промышленности» на заводе «Мстатор», известном российском разработчике и производителе различных электромагнитных компонентов.

Intel отрицает привлечение Samsung для выпуска 14-нм процессоров Впервые информация о готовности Intel привлечь Samsung для контрактного выпуска продукции была озвучена ещё этим летом, и официальных комментариев по этому поводу мы так и не услышали. Единственное, о чём удалось узнать зарубежным коллегам — это убедиться в том, что с конвей...

Аппаратная трассировка лучей и игры в режиме 1080p/60 FPS: новые подробности о видеокартах Intel XE В Сети появляются новые подробности о 3D-картах Intel — и это хорошо, так как с каждым днем мы узнаем о них все больше. На этот раз новые данные пришли из Японии, где на мероприятии Intel Developer Conference 2019 в Токио компания рассказывала об интегрированных в...

Huawei представила однокристальную систему HiSilicon Kirin 990 5G На IFA 2019 компания Huawei представила новую систему-на-кристалле, которая получила название Kirin 990. Она дебютирует в Huawei Mate 30. Будет две версии: 4G и 5G. Главная особенность этой системы — встроенный чип для поддержки связи нового поколения. Напомним, что недавно...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Инновации от Huawei: компания мировой лидер по ... Чтобы выпускать новые и интересные продукты, Huawei необходимо постоянно инвестировать средства в разработку инноваций и различных технологий. Компания постоянно увеличивает финансирование своих исследовательских центров и неуклонно увеличивает собственный портфель патентов....

Индия запускает национальную блокчейн-программу Дотре сообщил, что блокчейн является одной из важнейших областей исследования для министерства электроники и информационных технологий и имеет огромный потенциал применения в таких секторах, как банковское дело, финансы, управление и кибербезопасность.В 2018 году правительст...

Технологическая платформа для «Тотального диктанта» от ЭЛАР Передовые отечественные технологии на службе просвещения: корпорация ЭЛАР предоставит технологическую платформу для крупнейших городов проведения Тотального диктанта - Москвы и Новосибирска, где суммарно текст напишут 30 тысяч участников на пятистах площадках.

Слух: Google покупает Fitbit. Зачем? Умные часы Fitbit. Возможно, совсем скоро ими будет владеть Google Новостное агентство Reuters утверждает, что компания Google ведет переговоры о приобретении Fitbit, известного производителя носимой электроники. И, хотя подтверждения тому, что покупка состоится на данный мо...

SoC Kirin 810 обходит даже Snapdragon 730 Месяц назад Huawei представила SoC Kirin 810. Данная однокристальная система производится по семинанометровому техпроцессу и уже показала, что имеет очень приличную производительность в AnTuTu. Теперь у нас есть возможность посмотреть, на что способна новинка в тесте Ma...

В этом году HiSilicon может сместить MediaTek с позиции крупнейшего азиатского разработчика микросхем HiSilicon, дочерняя компания Huawei, специализирующаяся на разработке микросхем, может в текущем году стать крупнейшим азиатским поставщиком этой продукции, сместив с позиции лидера тайваньскую компанию MediaTek. Этот прогноз основан на планах китайской компании, предус...

НОРБИТ внедрил CRM-систему bpm’online в Segezha Group Компания НОРБИТ (входит в группу компаний ЛАНИТ) внедрила CRM-систему bpm’online от Террасофт на двух предприятиях Segezha Group – «Сегежская упаковка» в Карелии и Вятский фанерный комбинат в Кирове. Развитие CRM-системы стало частью общей ИТ-стратегии компании Segez...

Самый дешёвый планшет Microsoft перейдёт на процессор Intel Core i3 Компания Microsoft готовится представить множество новых мобильных ПК семейства Surface. Среди них может быть и новая модель самого дешёвого планшета компании — Surface Go. Оригинальный Surface Go дебютировал прошлым летом по цене от 400 долларов. Он был основан н...

Samsung Galaxy Note 10 будет поставляться с проводной зарядкой 45 Вт Следующим флагманом, который намерена выпустить компания Samsung, станет Samsung Galaxy Note 10. Телефоны этой серии считаются одними из лучших смартфонов во всем мире. Поэтому неудивительно, что все инновации и модные функции собраны в каждом представителе Galaxy Note. Неск...

Arm Musca-S1 — необычная тестовая микросхема для IoT Компания Arm в сотрудничестве с Samsung Foundry, Cadence и Sondrel продемонстрировала тестовую микросхему Musca-S1 для устройств интернета вещей, изготовленную на мощностях Samsung по 28-нанометровому техпроцессу FD-SOI. Это первая микросхема такого рода, оснащенная вст...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)