Социальные сети Рунета
Среда, 24 апреля 2024

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Видеокарты Nvidia Ampere появятся в первой половине 2020 года Судя по последним слухам, уже в первой половине следующего года Nvidia выпустит новые видеокарты поколения Ampere. Новые GPU будут выполнены с использованием 7-нм техпроцесса и, как ожидается, предложат заметный прирост производительности по сравнению с предшественниками. По...

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Tesla разрешили начать производство электромобилей в Китае Компания Tesla добавлена ​​в китайский список одобренных правительством производителей электромобилей. Об этом заявило министерство промышленности Китая, предоставившее Tesla сертификат, необходимый для начала выпуска электромобилей в этой стране. Это означа...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Intel не будет выпускать 10-нм процессоры для настольных ПК В последние годы корпорация Intel выпускает свои десктопные процессоры по 14-нм технологическим нормам и, судя по всему, продолжит это делать ещё как минимум пару лет. Многострадальный 10-нм техпроцесс к настоящему моменту нашёл применение...

Графические процессоры станут для Intel второй категорией продуктов по важности На технологической конференции Citi для инвесторов интересы Intel представлял корпоративный вице-президент Джейсон Гриб (Jason Grebe), который отвечает за сегмент «облачных» решений, но подобная специализация не мешала ему отвечать на широкий спектр вопросов. Когда присутств...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

GlobalFoundries и ARM спроектировали тестовый чип с объёмной упаковкой Компания GlobalFoundries отказалась от гонки за техпроцессами и замерла на отметке 12 нм, но это не означает, что она не будет внедрять передовые технологии объёмной упаковки чипов. За счёт 3D-компоновок даже старый техпроцесс можно использовать таким образом, что результиру...

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

LG Display существенно увеличит производство OLED для больших телевизоров Компания LG Display не смогла составить достойной конкуренции Samsung по выпуску небольших OLED для смартфонов, а снизившийся спрос на флагманские модели и вовсе грозит опустить доходы до предельно низкой отметки. Но в чём LG Display сильна, так это в производстве OLED для б...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Бывшие специалисты GlobalFoundries помогут Intel освоить 7-нм техпроцесс Начатое нужно доводить до конца.

В Китае заработали линии по упаковке и тестированию 3D NAND и DRAM Три года назад мы стали свидетелями, как китайские компании начали нащупывать путь для доступа к технологиям производства флеш-памяти NAND. Прямой путь ― решить проблему большими деньгами ― ни к чему не привёл. Попытки китайского холдинга Tsinghua Unigroup купить до...

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

SK Hynix анонсировала 16-гигабитные чипы DDR4, выпускаемые по нормам 1Z-нм Южнокорейский чипмейкер SK Hynix завершил разработку 16-гигабитных (2 ГБ) микросхем DDR4, для выпуска которых будет использоваться техпроцесс класса 1Z-нм. Об этом компания сегодня объявила в соответствующем пресс-релизе. Как отмечает вендор, благодаря новой технологии...

В сентябре Foxconn откроет в Китае первую фабрику, которая будет выпускать только носимую электронику Компания Foxconn, являющаяся очень крупным, если не крупнейшим контрактным производителем электронных изделий, строит новое предприятие в Чэнду на юго-западе Китая. Эта фабрика будет выпускать только носимую электронику. Она должна быть открыта в сентябре. Учитывая, чт...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Samsung представила 3 нм техпроцесс Обсуждаем передовые технологии Samsung

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Новые микросхемы Samsung позволят снизить стоимость оперативной памяти DDR4 Как сообщает источник, компания Samsung вывела на рынок модули оперативной памяти DDR4, основанные на новых микросхема (A-Die вместо B-Die). Эти микросхемы производятся по 10-нанометровому техпроцессу вместо старого 20-нанометрового, который использовался все эти годы ...

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

[Перевод] AMD Ryzen Matisse третьего поколения: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК AMD Ryzen Matisse третьего поколения выйдет в середине 2019 года: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК Моргните, и вы уже рискуете пропустить это событие: основной доклад AMD в этом году стал вихрем анонсов прайм-тайма для компании. Идея ясна: AMD пообещала и...

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

TSMC: освоение 3 нм техпроцесса идет по плану. Появились первые клиенты Пока Intel освоит 10 нм техпроцесс, TSMC начнет выпускать чипы по 5 нм техпроцессу, а там и до 3 нм недалеко

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

Intel продолжит использовать техпроцесс 14 нм даже при создании новейших дискретных мобильных видеокарт Как известно, в следующем году Intel выпустит на рынок дискретные видеокарты Xe с 10-нанометровыми GPU. Согласно последним данным, первые модели выйдут в середине года. Кроме того, в следующем году на рынок должны выйти и 10-нанометровые мобильные CPU Tiger Lake, содерж...

В 2019 году выйдут новые Apple Watch и AirPods На сайте DigiTimes появилась информация, что система-на-кристалле Apple A13 будет производиться только TSMC по 7-нм техпроцессу и должна лечь в основу смартфонов нового поколения. Также, подтвердился факт, что в 2019 году выйдет новое поколение наушников...

Intel работает над созданием 10-нм настольных процессоров Но конечных пользователей не должен беспокоить техпроцесс сам по себе.

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

Samsung представила 7-нм SoC Exynos 990 для Galaxy S11 Samsung Electronics анонсировала мобильный процессор премиум-класса Exynos 990 и сверхбыстрый 5G-модем Exynos Modem 5123. Exynos 990Новый чипсет использует самый передовой 7-нм техпроцесс с использованием ультрафиолетового излучения (EUV). Чип включает в себя два…

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Процессоры Intel Comet Lake выйдут только через год Процессоры AMD Ryzen выходят летом текущего года, и Intel неплохо бы на это чем-то ответить, особенно учитывая ситуацию затягивающегося дефицита CPU. Но, увы, отвечать, похоже, будет совсем нечем: свежие процессоры Comet Lake, согласно только-только появившейся в Сети д...

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Флагманский чипсет Snapdragon 875 будет выпускаться TSMC на 5-нм техпроцессе Ближе к концу года Qualcomm должна представить новый флагманский чипсет Snapdragon 865. Но ещё до этого события в сети появились первые слухи о его преемнике, который будет известен под названием Snapdragon 875. Подробнее об этом читайте на THG.ru.

Volkswagen выделит на цифровизацию до 4 млрд евро Компания Volkswagen AG намерена до 2023 года инвестировать до 4 млрд евро в проекты по цифровизации — в основном в области управления, но и в области производства тоже. Эти проекты включают внедрение таких решений для управления ресурсами предприятия, как платфор...

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

Intel забуксовала со своими дискретными видеокартами, главная проблема – в их невысокой эффективности Только ленивый не упрекает Intel в том, что она засиделась на 14-нанометровом техпроцессе в своих CPU, но выбор его же для 3D-карт сыграет с последними злую шутку еще до их выпуска: по эффективности они не смогут тягаться 12-нанометровыми решениями Nvidia и 7-нанометров...

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

VIS договорилась о покупке завода GlobalFoundries в Сингапуре и MEMS-бизнеса Похоже, Саудовская Аравия потеряла интерес к передовому полупроводниковому бизнесу. По крайней мере, вслед за новостью об отказе GlobalFoundries от вложений в освоение передовых 7-нм норм последовало ещё одно подобное известие. Тайваньская Vanguard International Semiconducto...

Появились первые упоминания о настольных процессорах Intel Ice Lake Ходило много слухов о 10-нм процессорах Intel, и большинство из них указывали на то, что компания не планирует выпускать настольные потребительские процессоры, поскольку 10-нм техпроцесс находится не на нужной стадии. Впоследствии эти слухи были опровергнуты Intel. Подробнее...

Преемник eFlash готов. Samsung Electronics начинает коммерческую поставку eMRAM Компания Samsung Electronics объявила о начале массового производства своего первого коммерческого продукта со встроенной магнитной памятью с произвольной выборкой (eMRAM) на основе 28-нанометрового технологического процесса 28FDS, построенного на использовании полность...

Оцениваем планы AMD на ближайшие полтора года У компании AMD нет каких-то технических проблем с освоением новых техпроцессов и архитектур, как у […]

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Память DDR4 скоро станет дешевле, поскольку DDR4 Samsung B-Die переходит на 10-нм техпроцесс Память DDR4 становится дешевле

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

TSMC анонсирует начало разработки 2 нм техпроцесса Большие и мощные процессоры наконец-то начали выпускаться по 7 нм технологии, однако TSMC смотрит в будущее и уже готовится к разработкам 2 нм технологии.

Лиза Су: будущее Zen лежит в развитии архитектуры, а не только техпроцесса На квартальном отчете генеральный директор AMD Лиза Су (Lisa Su) заявила, что успех процессорной микроархитектуры Zen будущих поколений зависит не сколько от внедрения передовых технологических процессов, сколько от развития самой архитектуры вычислительных ядер....

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

Tesla рассчитывает начать выпуск электромобилей в Китае уже в этом месяце Китайский завод Tesla должен начать выпуск электромобилей уже в этом месяце. Об этом агентству Reuters сообщили неназванные источники, знакомые с вопросом. Информаторы добавили, что пока неясно, когда будут достигнуты показатели производительности, запланированные на ко...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

Redmi K30 может все-таки получить процессор Snapdragon 735 и поддержку 5G Компания Redmi уже подтвердила, что Redmi K30 находится в разработке и показала его первую особенность — двойную фронтальную камеру, встроенную в дисплей. После этого начали появляться слухи о том, что за производительность новинки будет отвечать еще не анонсированная систем...

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

Китайский производитель отобрал у Samsung 11 % рынка гибких AMOLED С 2017 года, когда компания Samsung начала использовать в смартфонах гибкие (но тогда ещё не сгибающиеся) дисплеи AMOLED, ей принадлежал почти весь рынок подобных экранов. Точнее, если верить отчётам компании IHS Markit, то 96,5 % рынка гибких AMOLED. За прошедшее с тех пор ...

Совет директоров TSMC одобрил выделение на развитие почти 4 млрд долларов Вчера совета директоров TSMC провел заседание. На этом заседании были приняты решения по финансовым вопросам. Во-первых, утверждены ассигнования на капитальные вложения в размере 3,9798 млрд долларов. Эти средства будут направлены на модернизацию и расширение произ...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Видео дня: невероятные темпы строительства завода Tesla Gigafactory 3 в Китае Компания Tesla близка к завершению строительства завода Gigafactory 3 в Китае. Это будет первая в Поднебесной фабрика по производству электромобилей, полностью принадлежащая иностранной компании. Для Tesla иметь своё производство в Китае крайне важно, так как эт...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Прощай, LGA 1151. В следующем году процессоры Intel Comet Lake потребуют нового сокета Как известно, настольных процессоров Intel семейства Ice Lake в ближайшее время ждать не стоит. Судя по всем слухам и официальным заявлениям, они выйдут в лучшем случае в начале 2021 года, хотя сегодняшние слова главы Intel заставляют засомневаться в этом. Возможно, Int...

LG Chem инвестирует более 1 млрд долларов в расширение производства аккумуляторов в Китае Южнокорейская компания LG Chem сообщила, что планирует инвестировать в общей сложности 1,07 млрд долларов в расширение двух своих заводов, расположенных в Китае и занятых выпуском аккумуляторных батарей. Расширение производства должно быть завершено в 2020 году, чтобы п...

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus Совсем недавно Intel продемонстрировала свои новые производительные мобильные процессоры 9-го поколения, а теперь компания уже готова рассказать о своих будущих чипах 10-го поколения Ice Lake, которые наконец будут изготавливаться по нормам 10-нанометрового технологического ...

Китайцы готовятся выпускать первую разработанную в стране память DRAM На днях с подачи интернет-ресурса DigiTimes мы сообщили, что китайская компания ChangXin Memory Technologies (ранее ― Innotron Memory) собирается в четвёртом квартале приступить к производству 19-нм 8-Гбит чипов LPDDR4 (или DDR4, в этом мнения разделились). Чуть подробнее о ...

Nokia 8.2 5G первый смартфон с процессором Snapdragon 735 Nokia 8.2 5G станет первым смартфонов на рынке, который оснастят процессором Snapdragon 735, хотя еще этот процессор официально не представлен. Nokia 8.2 приписывают основную камеру с несколькими модулями и главным сенсором на 64 Мп, а также выезжающую фронтальную. Смартфон...

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

Кристалл контроллера ввода-вывода AMD Ryzen 3000 (Matisse) изготавливается по нормам 12 нм, а не 14 нм Процессоры AMD Ryzen 3000 (Matisse) имеют многокристальную компоновку. Один или два кристалла с CPU Zen 2, изготавливаемые по нормам 7 нм, соседствуют с кристаллом контроллера ввода-вывода. В него встроен двухканальный контроллер памяти DDR4, корневой комплекс PCIe 4.0 ...

Катастрофа на рынке 3D NAND: завод Western Digital и Toshiba обесточен вторую неделю Вот оно, случилось! То, о чём регулярно вспоминали в комментариях к новостям об ожидаемом снижении цен на флеш-память, стало реальностью. На одном из крупнейших предприятий по производству 3D NAND ― на совместном заводе компаний Western Digital и Toshiba в Японском городе Йо...

LG Display начала массовое производство OLED-панелей в Китае В пятницу руководство компании LG Display торжественно ввело в строй завод по выпуску панелей OLED в Китае в городе Гуанчжоу. Первоначально планировалось, что этот завод будет обрабатывать подложки для выпуска LCD-панелей. Однако год назад перед началом установки производств...

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

Убытки TSMC после химического загрязнения на производстве оценены в $550 млн Январский инцидент на крупнейшей фабрике TSMC, когда из-за загрязнения одного из реактивов, применяемых для подготовки фоторезиста, компании пришлось отправить в утиль партию из нескольких тысяч полупроводниковых пластин, обойдется ей в 550 миллионов долларов убытков. Напомн...

Уже в этом году MediaTek выпустит флагманскую семинанометровую однокристальную систему с поддержкой 5G У компании MediaTek на данный момент самой производительной однокристальной системой является Helio P70, причём она очень мало отличается от Helio P60. Но ещё в декабре производитель анонсировал также Helio P90, которая должна появиться в смартфонах уже в нынешнем кварт...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Видеокарты Radeon 625, Radeon 620 и Radeon 610, похоже, основаны на GPU родом из 2013 года Несколько дней назад мы писали о видеокартах Radeon RX 640, Radeon 630, Radeon 625, Radeon 620 и Radeon 610, нацеленных на OEM-производителей. Тогда мы говорили, что все адаптеры основаны на GPU Polaris, но, похоже, это не так. Такой графический процессор лежит лишь в о...

Большой гейминг начинается с больших возможностей: GPU NVIDIA серии GeForce RTX SUPER Компания NVIDIA представила новую линейку игровых GPU: GeForce RTX 2060 SUPER, GeForce RTX 2070 SUPER и GeForce RTX 2080 SUPER, которые обеспечивают лучшую в классе игровую производительность и трассировку лучей в реальном времени для существующих игр и проектов завтрашнего ...

Sony сделает ещё один шаг к господству на рынке датчиков изображений Японская компания Sony давно задумала стать королём рынка датчиков изображений. Ещё в 2010 году для этих целей она выкупила у Toshiba один из своих заводов в префектуре Нагасаки, который выпускал процессоры Cell для PlayStation 3, и перепрофилировала его для производства дат...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

Qualcomm представила 8-нм чипсеты Snapdragon 730, 730G и 11-нм Snapdragon 665 Компания Qualcomm представила сразу три новые мобильные платформы - Snapdragon 665, Snapdragon 730 и Snapdragon 730G. Причём, если первый чипсет построен на 11-нм техпроцессе LPP, то два последних используют более современный 8-нм технологический процесс LPP. Подробнее об эт...

AMD анонсировала новое поколение передовых решений Компания AMD анонсировала продукты на базе нового 7-нм техпроцесса и графические решения, которые обеспечат новый уровень производительности, функциональности и новые возможности для геймеров, энтузиастов и создателей контента ...

MediaTek представила первую однокристальную систему Dimensity 1000 со встроенным 5G модемом Как и планировалось, компания MediaTek представила новую однокристальную систему под названием Dimensity 1000. Это первая платформа производителя с поддержкой связи нового поколения 5G за счет встроенного модема. Dimensity построена на 7-нм техпроцессу и разбита на два клас...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

На октябрь запланирована церемония запуска в строй китайского LCD-завода Foxconn По слухам, частично подтверждённым поставщиками оборудования для нового LCD-завода Foxconn в Китае, производитель планирует отодвинуть сроки начала массового выпуска большеформатных панелей на поздний срок. Это связано с неопределённостью в торговой войне Китая с США и с зам...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Samsung представила систему на кристалле Exynos 9825, выполненную по 7-нм техпроцессу EUV Уже сегодня состоится презентация долгожданной линейки смартфонов Samsung Galaxy Note10. Но Samsung решила начать привлекать к нему внимание раньше и представила новую систему-на-кристалле Exynos 9825. Компания называет ее первой в мире однокристальной системой, выполненной...

LG Display дополнительно инвестирует 3 трлн. вон в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея. Благодаря этим инвестициям компания у...

Volkswagen и JAC построят в Китае электромобильный завод Совместное предприятие немецкого автопроизводителя Volkswagen AG и китайской компании Anhui Jianghuai Automobile (JAC) планирует инвестировать 750 млн долларов в новый завод по производству электромобилей. Производство будут размещено в восточном китайском городе Хэфэй....

Intel прекращает выпуск практически всех настольных процессоров Core 7-го поколения Компания Intel опубликовала очередное «Уведомление об изменении продукта», получившее номер 117180-00. В нем производитель сообщает о прекращении выпуска практически всей серии процессоров Core 7-го поколения для настольных ПК (Kaby Lake). Это относится к пр...

TSMC о 6 нм: это техпроцесс не для всех Но для многих, кому не по карману 5 нм.

Компания TSMC приступила к освоению 2 нм техпроцесса Технологии не стоят на месте, и это прекрасно!

Жизненный цикл 14-нм техпроцесса Intel продлила до 2021 года Он будет существовать бок о бок с 7-нм техпроцессом.

GeForce RTX 3080 могут представить уже в июне На днях мы сообщали о том, что слухи указывают на задержку выхода видеокарт Nvidia следующего поколения, из-за чего модель GeForce RTX 2080 Ti Super всё же должна увидеть свет. Новые данные ничего не говорят о таком адаптере, зато проливают свет на следующее поколение в...

38-ядерные процессоры Intel Ice Lake будут иметь TDP 270 Вт В следующем году компания Intel выведет на рынок серверные процессоры в рамках платформы Whitley. Платформа будет включать как 14-нанометровые процессоры Cooper Lake, так и 10-нанометровые Ice Lake. И сегодня у нас есть подробности касательно и тех, и других. Итак, дан...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Японцы научились эффективно извлекать кобальт из выработанных аккумуляторов По сообщению японских источников, компания Sumitomo Metal разработала эффективный техпроцесс для извлечения кобальта из выработанных аккумуляторов для электрокаров и не только. Технология позволит в будущем избежать или смягчить дефицит этого крайне редко встречающегося на З...

В третьем квартале выручка TSMC на 27% зависела от 7-нм техпроцесса Чем дальше, тем этот показатель будет выше.

Процессоры AMD на архитектуре Zen 3 будут переведены на техпроцесс 7nm++ В продаже они появятся в 2020 году.

Vivo X30 на базе Exynos 980 дебютирует в декабре В сентябре Samsung представила флагманский чип Exynos 980, ставший первым процессором компании со встроенным 5G-модемом. Логично было предположить, что новинке уготована судьба стать «сердцем» премиальных устройств южнокорейского гиганта. Но, есть вероятность, чт...

Японский ветеран вошёл в руководство китайского производителя памяти Китайская компания Tsinghua Unigroup получила в руки ценный кадр. На работу в компанию на должность старшего вице-президента, а также главы японского подразделения Tsinghua Unigroup принят 72-летний Юкио Сакамото (Yukio Sakamoto), бывший генеральный директор компании Elpida ...

Samsung поможет Intel справиться с дефицитом 14-нм процессоров Не было бы у компании Samsung счастья, да несчастье Intel помогло. Южнокорейское информационное агентство Yonhap распространило новость, что Samsung Electronics согласилась начать выпуск центральных процессоров для Intel. Неделю назад Intel намекнула на возможность подобного...

Формы-заготовки iPhone 2019 года подтверждают наличие необычной тройной камеры Следующие iPhone выйдут лишь в сентябре, но утечки о новых смартфонах Apple начали поступать ещё в прошлом году. Уже публиковались якобы попавшие в Сеть прямо с завода схемы iPhone XI и iPhone XI Max (будем называть их так). Теперь речь идёт якобы о заготовках аппаратов буду...

Презентация графической архитектуры Nvidia Ampere может состояться весной 2020-го Давно не секрет, что специалисты Nvidia в настоящее время трудятся над графическими процессорами с архитектурой Ampere. Эти GPU будут изготавливаться на заводах Samsung по 7-нанометровому техпроцессу на базе ультрафиолетовой литографии и, по предварительной...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Samsung освоила 5-нм техпроцесс Компания готова разослать клиентам образцы новых чипов.

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

К 2021 году TSMC уже освоит второе поколение 5-нм техпроцесса Intel же будет всё ещё барахтаться в ранней стадии освоения 7-нм технологии.

В рамках 5-нм техпроцесса EUV-литография будет использоваться гораздо активнее Популярность технологии растёт.

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

NVIDIA пока не считает целесообразным переход на 7-нм техпроцесс Всё дело в экономике.

Intel анонсировала процессоры Coffee Lake серий U и Y Чипы на техпроцессе 14 нм предназначаются для ультрабуков и ноутбуков.

Samsung поделилась успехами в освоении 3-нм техпроцесса Она опередит конкурентов на год, как минимум.

TSMC утверждает, что все использующие 7-нм техпроцесс клиенты перейдут на 5-нм И AMD, хотя это имя и не упоминается открыто.

+2 CPU линейки Comet Lake. Intel выпустила двухъядерные Pentium Gold 6405U и Celeron 5205U для ноутбуков В официальной базе данных процессоров Intel прописались два новых процессора: Pentium Gold 6405U и Celeron 5205U. Новинки относятся к семейству Comet Lake и предназначены для применения в ноутбуках. Впрочем, ожидается их использование также и в мини-ПК NUC. Обе модели...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

UMC отказывается от намерения выпускать DRAM совместно с китайским партнером После недавних обвинений в экономическом шпионаже со стороны США тайваньская компания United Microelectronics Corp (UMC) намерена свернуть проект, предусматривавший разработку и выпуск памяти DRAM совместно с китайским партнером. По сообщению источника, почти половина ...

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

Возвращение Rockchip. SoC RK3588 предложит восьминанометровый техпроцесс и ядра Cortex-A76 и Cortex-A55 Компания Rockchip на данный момент редко мелькает в новостях тематических ресурсов. Даже китайские производители планшетов сейчас используют платформы Rockchip достаточно редко. Однако вскоре компания сможет предложить производителям устройств весьма современную SoC. Од...

Расходы на рекламу и разработки компании Intel удалось сократить Инвестиции в перспективные виды бизнеса и техпроцессы не пострадали.

Глава NVIDIA намекнул, что 7-нм изделия конкурента ни на что не годятся Старый 12-нм техпроцесс лучше 7-нм двух?

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

Гуд бай, Токио: Samsung нашла источник фоторезиста в Бельгии Сайт Nikkei Asian Review поделился информацией о новом источнике фоторезиста для компании Samsung Electronics. Этот ключевой материал для производства чипов южнокорейская компания получала от партнёров в Японии, но с 4 июля поставки оказались под угрозой срыва из-за санкций ...

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

Nvidia представила GeForce GTX 1650 SUPER и GTX 1660 SUPER Nvidia выводит на рынок GeForce GTX 1650 SUPER и GTX 1660 SUPER - два решения для игр в формате Full HD на основе архитектуры Turing с техпроцессом 12 нм. GTX 1660 SUPER - это GTX 1660, теперь место 6 ГБ GDDR5 с пропускной способностью 8 Гбит/с, получаем 6 ГБ GDDR6 с п...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Panasonic удвоит производство аккумуляторов для электромобилей в Китае По сообщению издения Nikkei, компания Panasonic планирует почти удвоить производство аккумуляторов для электромобилей в Китае, чтобы воспользоваться потенциалом растущего рынка. В публикации говорится, что японская компания планирует потратить «сотни миллионов дол...

64-ядерный CPU Epyc 7742 оценён менее чем в 8000 долларов Процессоры AMD Epyc второго поколения должный выйти в третьем квартале. Напомним, эти серверные CPU перешли на семинанометровый техпроцесс и архитектуру Zen 2. Кроме того, количество ядер выросло вдвое, так что флагманы теперь 64-ядерные. И вот теперь в Сети появились ...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

Производство автомобилей Lotus переносится в Китай В эксклюзивном материале источник утверждает, что Geely Holding Group — китайский владелец легендарной британской марки автомобилей Lotus — планирует начать производство спортивных автомобилей Lotus в Китае. Их будут собирать на новом заводе Geely стоимостью...

Названы возможные причины, по которым Intel не будет использовать свой 10-нм техпроцесс для выпуска графических процессоров Корейское – значит "лучшее"?

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

Интеллектуальные технологии - ключ к цифровой трансформации SAP SE анонсировал результаты исследования, проведенного совместно с Forrester Consulting, об интеллектуальных технологиях и их значении для цифровой трансформации предприятия. Чтобы принять участие в исследовании, компании должны были соответствовать двум важным критериям...

Мобильный AMD Athlon 300U не определился с принадлежностью к техпроцессу Противоречия присутствуют даже в официальных источниках.

Intel в своём репертуаре: цены растут, объёмы реализации падают Зато с 10-нм техпроцессом всё не так плохо, как представляется многим.

Представлен российский процессор Baikal-M на 28 нм техпроцессе Пока все переходят на 7 нм, Байкал осваивает 28!

Intel вынуждена увеличивать расходы на литографию с прошлого года Фабрик мало, 10-нм техпроцесс поддаётся плохо.

В сети появились подробности о готовящихся процессорах AMD Athlon 300GE и 320GE Техпроцесс меньше, частоты выше

Bosch приступает к выпуску микросхем из карбида кремния Компания Bosch сообщила о запуске производства полупроводниковых изделий из карбида кремния (SiC), которые предназначены для электромобилей. По сравнению с кремниевыми аналогами, используемыми сегодня, они меньше, могут переключаться с большей скоростью и рассеивают гор...

В Foxconn задумались о продаже нового ЖК-предприятия в Китае Речь о заводе "Gen 10.5", который строится в городе Гуанчжоу на юге КНР и будет специализироваться на выпуске крупноформатных ЖК-панелей.

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

По словам генерального директора Intel, задержки с освоением 10-нм техпроцесса были связаны с излишними амбициями компании Но больше такого не повторится.

Процессоры Intel Tiger Lake могут обеспечить технологический прорыв благодаря зрелому 10++ нм техпроцессу - wccftech Скоро Интел снова будет впереди планеты всей

BMW и Great Wall строят завод по производству электромобилей BMW и Great Wall учредили новое совместное предприятие, основная цель которого – строительство в Китае завода по производству 160 000 электромобилей в год. На нем будет налажено производство разнообразных моделей BMW, в том числе и электрического Mini. Трехдверны...

AMD выпустила чип Ryzen CPU, изготовленный по техпроцессу 7 нм AMD представила новый чип Ryzen CPU, изготовленный по техпроцессу 7 нм и предназначенный для настольных ПК. Чип базируется на архитектуре Zen 2.

Рассекречены характеристики отечественного ARM-процессора Он изготовлен по 28-нм техпроцессу и имеет восемь ядер ARM Cortex-A57

Определена приблизительная площадь кристалла 10-нм процессоров Intel Ice Lake AMD со своим 7-нм техпроцессом не так уж сильно вырвалась вперёд.

Сэкономленные на разработке модемов средства Intel направит на освоение 7-нм техпроцесса И на улучшение показателей 10-нм техпроцесса.

AMD представила первую в мире видеокарту на базе нового 7-нм техпроцесса На выставке CES 2019, которая проходит с 8 по 11 января в Лас-Вегасе, компания AMD представила видеокарту Radeon VII. Это первая в мире видеокарта потребительского уровня на базе 7-нанометрового производственного процесса.

Поставки однокристальных систем MediaTek Helio P60 и P70 превысили отметку в 50 миллионов Пускай однокристальные системы MediaTek уже не пользуются у известных производителей смартфонов былой популярностью, но они по-прежнему востребованы у местных китайских производителей. И вот очередное тому доказательство: поставки SoC MediaTek серий Helio P60 и P70 прев...

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

30 мая выйдет первый смартфон на базе нового чипа Snapdragon 665 Популярный индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) рассказал, что на этой неделе представят первый смартфон c новым процессором Qualcomm Snapdragon 665. По словам источника, мероприятие пройдёт 30 мая. К сожалению, о каком конкретно устройстве идёт речь, п...

Samsung представила мобильный чипсет Exynos 9825 Samsung официально представила мобильный чипсет Exynos 9825, который будет лежать в основе будущих новинок Galaxy Note10 и Galaxy Note10+. Exynos 9825 является первым чипсетом компании, выполненным по 7-нм техпроцессу EUV. Подробнее об этом читайте на THG.ru.

«Байкал Электроникс» представила новый российский процессор Baikal-M Новинка представляет собой выпускаемую по 28-нм техпроцессу систему на чипе. В её основе лежат восемь 64-битных ядер ARM Cortex-A57 (ARMv8-A) с поддержкой векторных расширений NEON и восьмиядерный графический процессор Mali-T628 (MP8) с аппаратным ускорением воспроизведения ...

Qualcomm представила 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665 Однокристальную платформу Qualcomm со странным обозначением SM7150 приписывали самым разным смартфонам еще с прошлого года. И вот эта однокристальная платформа сегодня представлена официально – под названием Snapdragon 730 и… сразу в двух версиях. Если суди...

SK Hynix в третьем квартале провалила всё что можно Южнокорейская компания SK Hynix опубликовала информацию о работе в третьем квартале 2019 календарного года, который закончился для неё 30 сентября. Квартальная выручка этого производителя памяти DRAM и NAND показала годовое снижение на 40 %, снижение чистой прибыли составил...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Intel готовится к переходу на 7 нм техпроцесс и не верит в конкуренцию со стороны AMD Самое главное не паниковать, глядишь и пройдёт всё само

Samsung готовится заменить техпроцесс 7-нм FinFET на 3-нм MBCFET Он позволит уменьшить площадь кристалла на 45%, энергопотребление на 50% и увеличить производительность до 30%

Конкурент Qualcomm Snapdragon 855 Plus от MediaTek будет представлен 30 июля Однокристальная платформа Qualcomm Snapdragon 855 Plus, по словам создателей, создана специально для игровых смартфонов, но в MediaTek тоже готовят свою «первую по-настоящему игровую» SoC. Она называется Helio G90 и позиционируется в качестве альтернативы Sn...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

AMD Radeon Pro W5700 — видеокарта для 3D-дизайнеров, архитекторов и инженеров AMD анонсировала видеокарту AMD Radeon Pro W5700 с первым в мире графическим процессором на базе 7-нм техпроцесса для профессиональных рабочих станций.

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

Процессор Qualcomm Snapdragon 865 анонсируют в начале декабря Новый флагманский процессор Qualcomm Snapdragon 865 получит восемь ядер и будет построен по 7 либо по 5 нанометровому техпроцессу Samsung вместо TSMC. Процессор выйдет в двух версиях: со встроенным модемом Snapdragon X55 и без него. Также новый процессор будет поддерживать ...

Появились первые результаты тестирования 18-ядерного флагмана Intel Core i9-10980XE Вчера мы узнали, что новым флагманом Intel в линейке HEDT будет процессор Core i9-10980XE. Кроме того, мы писали о том, что ПО Geekbench указывает на то, что модель Core i9-10900X не получит прибавки к частотам относительно предшественника. Сегодня у нас есть результат...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Смартфон LG V50 ThinQ с двумя экранами и поддержкой 5G выйдет 10 мая Компания LG должна была начать продажи своего первого смартфона с поддержкой 5G, который получил название LG V50 ThinQ, еще 19 апреля, однако дату выхода перенесли на 10 мая этого года. Устройство будет конкурировать с Samsung Galaxy S10 5G, пользователи которого столкн...

Расходы на освоение 10-нм техпроцесса сильнее всего влияют на прибыль Intel в серверном сегменте Потому что в мобильном сегменте 10-нм процессоры уже приносят компании прибыль.

Открытая архитектура RISC-V пополнилась интерфейсами USB 2.0 и USB 3.x Как подсказывают наши коллеги с сайта AnandTech, один из первых в мире разработчиков SoC на открытой архитектуре RISC-V, компания SiFive приобрела пакет интеллектуальной собственности в виде IP-блоков интерфейсов USB 2.0 и USB 3.x. Сделка совершена с компанией Innovative Log...

GPU Nvidia Ampere будут основаны на 7-нм техпроцессе Samsung: релиз в 2020 году Графические процессоры Nvidia Ampere уже получили сертификат ECC, но до сих пор мы почти ничего не знали об их особенностях. Сегодня это изменилось. Подробнее об этом читайте на THG.ru.

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Intel удаётся избежать сокращения затрат на разработки и исследования В новые техпроцессы и новые продукты приходится прилично вкладываться.

На прирост быстродействия Matisse новый техпроцесс повлиял в меньшей степени Архитектурные изменения стали главным фактором.

Xiaomi Mi Play — уже в Украине Mi Play работает на базе процессора MediaTek P35, который построен по 12 нм техпроцессу и имеет 8 ядер Cortex A53 с максимальной тактовой частотой 2,3 ГГц.

Графический процессор AMD Navi оказался почти на 60% компактнее конкурирующего NVIDIA Turing 7-нм техпроцесс помогает AMD не только в сегменте центральных процессоров.

Samsung готовит 8-нанометровую платформу Exynos 9710, ее характеристики уже известны Компания Samsung работает над новой однокристальной системой среднего уровня: новинка, названная Exynos 9710, придет на смену модели Exynos 9610. SoC будет производиться по техпроцессу 8 нм и получит восьмиядерный CPU. Как пишет источник, Exynos 9710 получит классическ...

На конференции в Тайбэе показали рабочий интерфейс PCI Express 5.0 Как известно, куратор интерфейса PCI Express межиндустриальная группа PCI-SIG спешит наверстать длительное отставание от графика по выводу на рынок новой версии шины PCI Express с использованием спецификаций версии 5.0. Финальная версия спецификаций PCIe 5.0 утверждена этой ...

Компания Samsung планирует создать чипы по техпроцессу 3 нм Чипы, созданные по техпроцессу 3 нм, будут потреблять вдвое меньше энергии, чем современные, созданные по техпроцессу 7 нм. Производительность таких чипов вырастет на 35%. Размер чипа станет меньше на 45%.

Видеокарты NVIDIA Ampere поступят в продажу в первом полугодии 2020 года: нас ждет 7 нм техпроцесс и невероятная мощь Начинаем экономить уже сейчас, а иначе на новую видеокарту не накопить!

Видеокарты семейства “Ampere” от NVIDIA могут появиться на рынке в первой половине 2020 года По сети уже гуляют слухи о том, что следующее поколение видеокарт от NVIDIA может быть анонсировано в первой половине 2020 года. Ожидается переход на более современный техпроцесс (с 12 нм на 7 нм), а значит и увеличение кол-ва транзисторов, а также показателя энергоэффектив...

Adidas закрывает роботизированные фабрики в Германии и США Компания Adidas объявила сегодня о намерении закрыть свои высокотехнологичные «роботизированные» заводы, расположенные на территории США и Германии. Эти фабрики создавались с целью приблизить производство к клиентам. Однако теперь представители компании объявили о том, что в...

Видеокарты Nvidia Ampere будут основаны на семинанометровых GPU и выйдут в следующем году Ещё до выхода актуальных видеокарт Nvidia поколения Turing в Сети нередко появлялись данные о том, что поколение будет называться Ampere. В итоге мы получили иное название, но карты Ampere нас всё же ждут. Согласно новым слухам и данным, это будет новое поколение, главн...

Особый вид квантового туннелирования может изменить полупроводниковую отрасль Что такое парадокс Клейна, и как он может помочь дальше уменьшать техпроцесс чипов.

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

Процессоры Intel Rocket Lake 11-го поколения получат до 8 ядер и устаревший 14 нм техпроцесс В последнее время Интел не радует своих клиентов

Немецкий электромобиль Sono Sion будут производить в Швеции на бывшем заводе SAAB. Модель за €25,5 тыс. получит батарею на 35 кВтч и запас хода 255 км (WLTP) Немецкая компания Sono Motors объявила, что ее первый электромобиль Sion будет производиться компанией NEVS (National Electric Vehicle Sweden) на бывшей фабрике SAAB в Тролльхеттане (Швеция). При этом на сборочных линиях будет использоваться исключительно энергия из возобнов...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Онлайн-магазины утверждают, что у процессора Ryzen 9 3800X будет 16 ядер при частотах 3,9-4,7 ГГц До анонса процессоров AMD Ryzen третьего поколения осталось совсем немного. Напомним, это должно произойти на выставке Computex в конце месяца. Несмотря на это, достоверных данных о процессорах до сих пор нет, причём это касается и частот, и ядер. И вот сегодня в Сети п...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Sharp перемещает производство из Китая вслед за другими поставщиками Apple Sharp стала одним из последних поставщиков Apple, который объявил о планах вывести часть производства продукции из Китая в связи с продолжающейся торговой войной между Вашингтоном и Пекином. REUTERS/Toru Hanai Генеральный директор Apple Тим Кук (Tim Cook) в ходе недавнего кв...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

TSMC ускоряется — наймёт 8 тыс. новых сотрудников для внедрения 3-нм техпроцесса Компания TSMC намерена нанять дополнительные 8 тыс. сотрудников в новый научно-исследовательский центр, который будет создан в 2020 году. Он будет ориентирован на исследования и разработки технологий для 3-нанометрового и более тонких технологических процессов. По словам исп...

Foxconn начнет производство iPhone X в Индии в июле Foxconn собирается начать производство iPhone X в Индии в июле этого года, согласно сообщению The Economic Times. Производство будет осуществляться на заводе Foxconn в Ченнае в восточной Индии. По словам чиновника, знающего о планах компании, Foxconn надеется увеличить произ...

Слухи приписывают AMD намерения выпустить в этом году 7-нм гибридные процессоры Представительница AMD на технологической конференции Bank of America Merrill Lynch сообщила, что компания намеревается перевести на 7-нм техпроцесс изготовления и мобильные процессоры марки Ryzen, но когда это случится, она уточнять не стала. В конце концов, настольным 7-нм ...

Российские сотовые операторы начнут внедрять отечественную криптографию Сотовые операторы и производители оборудования начали тестировать использование российской криптографии. Как сообщил гендиректор Института точной механики и вычислительной техники имени С.А. Лебедева (ИТМиВТ) Александр Князев, в ближайшем будущем она появится и...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Официально: видеокарты AMD Radeon Navi выйдут в третьем квартале 2019 года Компания AMD официально подтвердила информацию о том, что первые видеокарты на базе новой графической архитектуры Navi выйдут в третьем квартале 2019 года. Чипы будут выполнены по 7-нм техпроцессу TSMC. Стоит отметить, что Navi — это семейство графических процессоров, поэто...

Производство электрических грузовиков Tesla Semi перенесено на следующий год Электрические грузовики Tesla Semi, согласно первоначальному плану компании, должны были бы поступить в производство в текущем году. Однако, как это нередок бывает у Tesla, планы изменились. Компания теперь говорит о старте производства в следующем году. Более того, на ...

Samsung Galaxy A71 с процессором Exynos 980 Как оказывается первым смартфоном Samsung с процессором Exynos 980 может стать Galaxy A71 с номером SM-A7160 для китайского рынка и SM-A715F для международного. Смартфон Samsung Galaxy A71 получит поддержку 5G, это будет доступная модель с 5G и процессором который построен ...

Qualcomm представила чипсет Snapdragon 712 для среднеуровневых смартфонов Компания Qualcomm анонсировала новый мобильный чипсет Snapdragon 712. Новинка основана на том же 10-нм техпроцессе FinFET, что и Snapdragon 710, но имеет более быстрый 8-ядерный процессор, который до 10% производительней, чем предшественник, а также улучшенные технологии ИИ....

Volkswagen и JAC построят в Китае завод по производству электромобилей Совместное предприятие немецкого автопроизводителя Volkswagen AG и китайской автомобильной компании Anhui Jianghuai Automobile Co (JAC) планирует инвестировать 5,06 млрд юаней ($750,8 млн) в строительство нового завода по производству электромобилей в восточной части Хэфэя. ...

Средний бизнес лидирует в цифровизации рабочих мест В ходе исследования, проведенного компанией Aruba, входящей в состав Hewlett Packard Enterprise, и направленного на изучение того, как компании среднего бизнеса по всему миру внедряют технологии на рабочих местах, было опрошено более 2700 сотрудников, занимающих как руководя...

У Samsung готова 7-нанометровая технология EUV Компания TSMC первой среди производителей полупроводниковой продукции освоила выпуск продукции по нормам 7 нм. И хотя формально это 7-нанометровая продукция, ее характеристики они находятся на тех же уровнях, которые ожидаются от 10-нанометровой продукции Intel. В компа...

Core i9-10980XE — 18-ядерный флагман новой линейки процессоров Intel HEDT поколения Cascade Lake-X (+ результат теста Geekbench для 10-ядерного Core i9-10900X) В следующем месяце Intel должна представить новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000 (Cascade Lake-X). От нынешних Skylake-X новые Cascade Lake-X будут отличаться весьма незначительно: ни архитектура, ни техпроцесс не изменятся, ли...

Архитектура AMD Zen — это надолго. Компания уже работает над Zen 5 Как известно, в следующем году компания AMD выпустит процессоры Ryzen и Epyc, основанные на архитектуре Zen 3. Такие CPU будут производиться по улучшенному семинанометровому техпроцессу и сохранят имеющееся сейчас количество ядер. За Zen 3 выйдет Zen 4, а затем и Zen 5....

Intel признаётся, что будет разрабатывать 10-нм настольные процессоры Те немногочисленные утечки о планах Intel, которые будоражат общественность в последние месяцы, чаще всего упоминают о 10-нм процессорах только для мобильного и серверного применения, а настольный сегмент остаётся за кадром. Даже на недавней квартальной отчётной конференции ...

В Китае заработала новая фабрика SK Hynix, выпускающая микросхемы памяти DRAM В 2004 году компания SK Hynix подписала контракт с городом Уси в Китае на постройку в этом городе предприятия по выпуску микросхем памяти DRAM. Фабрика C2, рассчитанная на 300-миллиметровые пластины, была введена в строй в 2006 году. Она сыграла важную роль в развитии к...

Volkswagen запустит производство мобильных зарядных станций для электромобилей Автопроизводитель Volkswagen (VW) намерен начать выпуск аккумуляторов для электромобилей, а также зарядных станций у себя на родине в Германии, поскольку он готовится к старту массового производства электромобилей. Также будет проведена реорганизация подразделения по произво...

Samsung закрывает центр по производству процессорных ядер. Что будет с чипом Exynos? Что будет с процессорами Samsung? Южнокорейский технологический гигант Samsung закрывает научно-исследовательский центр по разработке модифицированных процессорных ядер, расположенный в штате Техас. Занимался центр, как нетрудно догадаться, совершенствованием линейки процесс...

Toshiba и Western Digital совместно инвестируют в завод по выпуску флеш-памяти Toshiba Memory и Western Digital заключили соглашение о совместном инвестировании в завод K1, который Toshiba Memory в настоящее время строит в Китаками (префектура Ивате, Япония). Завод K1 будет производить 3D флеш-память для удовлетворения растущего спроса на решения для х...

Держись, Intel. Дорожная карта проливает свет на новые процессоры AMD О грядущих планах AMD относительно процессорного рынка мы знаем не так уж и мало. Есть даже официальные данные. К примеру, глава AMD уже пообещала нам мобильные Ryzen 4000 в начале следующего года. Кроме того, мы знаем, что в следующем году выйдут и настольные CPU Ryzen...

8000 человек помогут TSMC перейти к 3 нм Компания TSMC работает не только над 5-нанометровой технологией, но уже думает о технологии следующего поколения. Марк Лю (Mark Liu), исполнительный президент TSMC, объявил, что компания наймет 8000 сотрудников для нового центра исследований и разработок, который должен...

Квартальный отчёт Intel: выручка не изменилась, а все остальные основные финансовые показатели упали Компания Intel опубликовала отчёт по итогам первого квартала 2019 финансового года. И квартал этот был для компании далеко не самым успешным. Выручка компании осталась неизменной в годовом выражении — 16,1 млрд долларов. А вот все остальные основные финансовые по...

MediaTek анонсировала чипсет Helio M70 с поддержкой сетей 5G Компания MediaTek работает на новым SoC Helio M70, который получит встроенный модем 5G и новый процессор AI. Новинка составит конкуренцию топовым предложениям от Qualcomm, Samsung и Huawei. MediaTek Helio M70 будет изготавливаться по 7-нм техпроцессу FinFET, и использовать…

В 2018 году компании США побили рекорд по установке роботов для работы В прошлом году компании США установили больше роботов, чем когда-либо прежде. Роботы стали более дешёвыми и более гибкими в использовании, что делает их доступными для любых предприятий в различных сферах экономики, помимо традиционного использования на предприятиях автомоби...

Туристов в Китае начнут обслуживать роботы Власти южной провинции Китая Хайнань решили построить на острове сеть автодорожных остановок для туристов. Там их будут обслуживать роботы с искусственным интеллектом.

Intel представила Lakefield: пятиядерный гибрид Core и Atom с трёхмерной компоновкой Intel представила свой первый гибридный процессор Lakefield, в котором объединено пять вычислительных ядер с различной архитектурой, работающих по принципу big.Little. Несмотря на то, что для изготовления Lakefield применяется 10-нм техпроцесс и технология 3D-компон...

SK Hynix сократит выпуск флеш-памяти NAND более чем на 10% Как мы уже сообщали, сегодня компания SK Hynix опубликовала квартальный отчет. По сравнению с предшествующим кварталом доход и операционная прибыль сократились на 32% и 69%. По словам производителя, причина в том, что цены на его продукцию снижались «быстрее, чем ...

Фотографии чипсета AMD X570 пролили свет на его происхождение А вот техпроцесс изготовления на фотографиях не разглядишь.

Пентагону нужны скоростные вертолёты-разведчики Проект разработки перспективного ударно-разведывательного вертолёта под названием Future Attack Reconnaissance Aircraft запущен Армией США в рамках более масштабного тендера FVL, предполагающего разработку и производство пяти типов новых летательных аппаратов для армейской а...

NVIDIA Ampere: цены, быстродействие, техпроцесс – всё что мы знаем о новом поколении на текущий момент Сидим и ждем новых слухов об NVIDIA Ampere

Улучшенная версия 7-нм техпроцесса TSMC поднимет быстродействие на 5% Шанс для AMD поднять производительность процессоров малой кровью.

LG Display сократит четверть руководящего и офисного персонала В пятницу южнокорейская компания LG Display сообщила о предстоящем масштабном разовом сокращении персонала. «Перегруппировке и оптимизации» подверглись руководящие и деловые кадры ― инструменты по принятию и проведению решений в жизнь производителя. Работы в компании лишится...

#Мнение: Apple не сильно пострадает из-за войны США с Huawei Несколько дней назад конгресс США выступил против лицензирования компанией Google ОС Android для смартфонов Huawei. Поводом для конфликта Huawei и правительства США послужила стойкая связь производителя с Коммунистической партией Китая, а также возможные попытки Huawei, как...

#CES | AMD представила новую флагманскую видеокарту и процессоры Ryzen 3-го поколения Компания AMD представила на выставке CES-2019 две новинки, которые ждали очень многие. Во-первых, компания анонсировала первую в мире графическую карту, работающую на базе GPU, построенном с использованием 7-нм технологического процесса. А во-вторых, AMD представила 3-е пок...

Украина создала электромагнитное оружие В четверг, 28 марта, на официальном сайте предприятия появилась информация о том, что электромагнитное оружие нового поколения прошло первые испытания и завод готов начать серийное производство. Первоначально оно будет поставляться на экспорт в Индию, однако компания готова ...

Калужский электромеханический завод внедрил Appius-PLM Российский разработчик PLM-решений на платформе «1С:Предприятие 8», компания АППИУС, завершила внедрение системы …

Власти Петербурга намерены создать план интеллектуальной транспортной системы к 2021 году Об этом сообщил председатель городского комитета по транспорту Александр Головин на форуме SmartTRANSPORT - 2019."Мы сейчас должны задумываться уже о перспективе пятилетней, десятилетней, что будет с Петербургом в плане улично-дорожной сети, в плане трафика, и поэтому с...

Intel Ice Lake. 10 нм — в массы Итак, подходит к логическому завершению одна из самых долгоиграющих интриг в новейшей технологической истории Intel — «когда же, наконец, в серию пойдет 10 нм». Перипетии этой истории у нас еще в памяти, нет смысла их повторять. А завершается все, как ему и положено заверш...

Samsung выпустит новый чипсет Exynos 9710 на 8-нм техпроцессе Компания Samsung работает над новым субфлагманским чипсетом Exynos 9710. Он выступит в роли преемника прошлогоднего Exynos 9610 и будет построен на 8-нм техпроцессе. Подробнее об этом читайте на THG.ru.

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Huawei инвестирует в новый завод в Бразилии 800 млн долларов Компания Huawei Technologies планирует построить в бразильском штате Сан-Паулу завод, выделив на этот проект в течение ближайших трех лет 800 млн долларов. Так китайский технологический гигант рассчитывает нарастить свое присутствие в Латинской Америке вопреки давлению ...

Завершено строительство первой в мире линии серийной печати панелей OLED Компания JOLED сообщила, что завершила строительство первой в мире производственной линии, предназначенной для серийного изготовления панелей OLED методом струйной печати. Предприятие расположено в городе Номи в префектуре Исикава. К выпуску продукции оно должно приступ...

Представлен первый в мире смартфон с новым восьмиядерным процессором Им стала модель Reno Z. В её основе лежит производимый по 12-нанометровому техпроцессу чип MediaTek Helio P90. Он включает два ядра Cortex-A75, шесть ядер Cortex-A55 и графический процессор PowerVR GM 9946.

Завтра Huawei представит новый процессор Kirin Как вы уже наверняка знаете, заявления о том, что Huawei при производстве процессоров для своих смартфонов полностью полагается на себя, оказались неправдой. Да, ее «дочка» HiSilicon разработала часть важных компонентов для чипсетов самостоятельно, но это было сделано с исп...

AMD развеяла миф о четырёх потоках на ядро в процессорах с архитектурой Zen 3 Самым настойчивым слухом последних месяцев, имеющим отношение к будущим процессорам AMD, можно считать переход в рамках архитектуры Zen 3 от двух потоков на ядро к четырём. Предполагалось, что подобная метаморфоза принесёт пользу в серверном сегменте, где производительность ...

Китайцы выбрали город для тестов пассажирских беспилотников С момента премьерного показа беспилотного летательного аппарата EHang 184, способного перевозить как пассажиров, так и грузы, на шоу CES-2016 в Лас-Вегасе компания провела более двух тысяч испытательных вылетов на своём закрытом полигоне. Сейчас китайский стартап EHang объяв...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

Intel представил самый мощный процессор Core На мероприятии Kickoff в воскресенье, 26 мая, перед одной из главных выставок для производителей микроэлектроники потребительского сегмента — Computex, — компания Intel приоткрыла завесу тайны и рассказала, какой процессор будет флагманом линейки Core для настольных компьюте...

Чипсет MediaTek 5G для смартфонов представят 26 ноября MediaTek сообщила о скором запуске нового 5G-чипсета, имеющего модельный номер MT6885Z, который состоится 26 ноября. В рамках Computex 2019 компания подтвердила, что новый MediaTek 5G будет изготовлен с применением 7-нм техпроцесса и получит встроенный модем MediaTek Helio M...

Компания Fujitsu завершила проектирование суперкомпьютера Post-K и приступила к его изготовлению Компания Fujitsu объявила, что совместно с институтом RIKEN (Институт физико-химических исследований — крупный научно-исследовательский институт в Японии, почти полностью финансируется правительством Японии) она завершила проектирование суперкомпьютера Post-K, кот...

С преемником Ан-12 определятся в конце ноября Разработанный в 1950-е годы военно-транспортный самолёт Ан-12 до сих пор находится на вооружение армий нескольких стран мира, включая Россию, но в ближайшее время должна начаться работа по созданию его преемника. С концепцией нового самолёта и его создателями планируют опред...

В Гааге полное покрытие 5G будет в 2020 году Гаага станет первым голландским городом, получившим полное покрытие 5G. Голландский филиал T-Mobile объявил о планах начать внедрение этой технологии в этом году. T-Mobile заявил, что внедрение технологии 5G позволит городу развернуть несколько проектов "умного город...

Фотогалерея дня: видеокарты Radeon Navi в исполнении ASRock Вчера компания AMD номинально представила видеокарты поколения Navi. Нам рассказала о новой архитектуре, новом техпроцессе и новом принципе именования, но не раскрыли ни параметров, ни цен, ни каких-либо иных подробностей. А вот компания ASRock взяла и показала уже &laq...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

MediaTek Helio P35 и его характеристики Mi Play стал первым смартфоном компании с каплевидным вырезом в экране. Помимо нового процессора он получил 4 ГБ оперативной памяти, накопитель на 64 ГБ с возможностью расширения, аккумулятор ёмкостью 3000 мАч, двойную камеру с разрешением 12 Мп и сканер отпечатков пальцев.В...

Процессор Intel Core i9-9900KS обнажил прирост в базовой частоте и TDP О способности процессора Core i9-9900KS, который выйдет в октябре, сохранять активность всех восьми ядер на частоте 5,0 ГГц было известно изначально, а вот о прочих характеристиках до сих пор умалчивается. Однако уже в конце августа производители материнских плат начали внед...

Мобильные семинанометровые процессоры AMD Ryzen появятся на рынке к концу года или в начале следующего Недавно компания AMD поверхностно представила семинанометровые настольные CPU Ryzen третьего поколения. Они выйдут на рынок когда-то позже в этом году, но слухи говорят примерно о втором квартале. Чуть ранее AMD представила и новые мобильные процессоры Ryzen, только вот...

Планы AMD: CPU с архитектурой Zen 4 — до 2022 года, GPU с архитектурой RDNA2 — до 2021 года Компания AMD опубликовала документ для инвесторов, в котором можно найти данные о ближайших планах производителя. Они в целом совпадают с тем, что мы уже знали, но есть немного новой информации. Итак, до 2022 года года мы увидим процессоры Ryzen не то...

TSMC не справляется с огромным числом заказов на 7-нм продукты: сроки выполнения выросли в 3 раза 7-нм техпроцесс пользуется огромным спросом среди клиентов TSMC. Конечно, это не может не радовать тайваньского контрактного производителя, но у этой медали есть и оборотная сторона. Подробнее об этом читайте на THG.ru.

AMD: будущее — за чиплетами, не надо гнаться за нанометрами Исполнительный директор AMD Лиза Су (Lisa Su) на ежегодном собрании акционеров уже заявила, что передовые компоновочные решения вроде использования «чиплетов» станут одной из основ успеха компании в будущем. Технический директор Марк Пейпермастер (Mark Papermaster) в очередн...

Intel анонсировала мобильные процессоры Core 10-го поколения Ice Lake Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus. В основе процессоров 10-го поколения – структура Intel Sunny Cove, которая содержит четыре ядра с восемью потоками и частотой до 4,1 ГГц. Для…

Долгожданная телевизионная приставка Nvidia Shield TV Pro выйдет 28 октября по цене 200 долларов Телевизионная приставка Nvidia Shield TV существует на рынке уже несколько лет, при этом до сих пор многими считается лучшей в своём роде. И похоже, вскоре у неё появится долгожданная смена. На сайте Amazon сначала появилась, а потом была удалена страничка с при...

Представлен Samsung Exynos 980 - первый чипсет компании со встроенным 5G-модемом Samsung Electronics представила свой первый чипсет со встроенный модемом 5G - Samsung Exynos 980. Новинка создана с применением 8-нм техпроцесса и включает в себя 8-ядерный процессор с двумя ядрами Cortex-A77 и шестью Cortex-A55 в паре с графикой Mali G76. Подробнее об этом ...

Applied Materials выпустила оборудование для массового производства MRAM, ReRAM и PCRAM Компания Applied Materials ― один из ведущих поставщиков производственного оборудования для выпуска полупроводников ― начала поставлять передовые и уникальные машины для обработки кремниевых пластин. Это установки Endura Clover и Endura Impulse. Каждая из них представляет со...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Настольные CPU Intel Comet Lake с разъёмом LGA 1200 и 10-ядерными флагманами появятся в начале 2020 года Несколько дней назад Intel представила мобильные процессоры Comet Lake. Они относятся к 10 поколению процессоров Core, но при этом, в отличие от Ice Lake, производятся по старому 14-нанометровому техпроцессу. Согласно новым данным, уже в первом квартале следующего года ...

Настольные процессоры AMD Ryzen 4000-й серии выйдут только к концу 2020 года Компания AMD сейчас работает над настольными процессорами нового поколения, которые будут представлены в серии Ryzen 4000. Согласно свежим данным ресурса MyDrivers, полученным из собственных источников, новые процессоры, а также новая платформа для них, дебютируют лишь к кон...

До 8 ядер и частота до 5,0 ГГц. Представлены бюджетные серверные процессоры Intel Xeon E-2200 Компания Intel сегодня представила линейку бюджетных серверных процессоров Xeon E-2200 – они пришли на смену моделям серии Xeon E-2100. Новинки – и тут никаких сюрпризов – базируются на микроархитектуре Coffee Lake Refresh и выполнены по нормам техпроц...

Отставить панику: настольные процессоры Intel c десятью ядрами выйдут в начале следующего года Презентация Dell, которой известный голландский сайт руководствовался при описании ближайших планов Intel по анонсу новых процессоров, первоначально концентрировала внимание на сегменте мобильных и коммерческих продуктов. Как справедливо отметили независимые эксперты, в потр...

Генеральный директор Intel пообещал, что дефицита процессоров больше не случится Корпорация наращивает мощности по 14-нанометровому техпроцессу и планирует к новогоднему сезону выпустить больше 10-нанометровых чипов, чем предполагалось раньше

Samsung развернёт новые производственные мощности в Индии Южнокорейский гигант Samsung, по сообщениям интернет-источников, намерен сформировать два новых предприятия в Индии, которые займутся производством компонентов для смартфонов. Фотографии Reuters В частности, подразделение Samsung Display намерено ввести в строй новый завод в...

Новые APU AMD Ryzen 5 3400G и 3 3200G получат заметно более высокие тактовые частоты В отличие от десктопных 7-нм процессоров Ryzen 3000 на архитектуре Zen 2, новые APU AMD будут использовать микроархитектуру Zen+ и 12-нм техпроцесс FinFET. И недавно источникам удалось узнать некоторые характеристики двух пока не анонсированных гибридных процессоров AMD. Под...

Для выпуска GPU следующего поколения Nvidia может использовать 7-нм техпроцесс Samsung Как сообщает японское издание My Navi News со ссылкой на источники в корпорации Samsung, руководство Nvidia всерьез рассматривает южнокорейского гиганта в качестве производителя графических процессоров следующего поколения. По предварительной информации, для этого будет...

[Перевод] Срочная новость: крупнейший в Западном полушарии завод по производству солнечных батарей начал работу Hanwha Q Cells начали отгрузку первых солнечных батарей со своего 1,7ГВт завода на границе Джорджии и Теннесси. Это второй крупный завод на территории США, выпускающий солнечные модули, запустивший производство на этой неделе. Подробности о солнечной энергетике США

Intel анонсировала 10-нм мобильные процессоры Ice Lake На ежегодной выставке Computex 2019 компания Intel представила процессоры Core 10-го поколения под кодовым названием Ice Lake, созданные с использованием 10-нм техпроцесса и архитектуры Sunny Cove. На текущий момент речь идёт только о моделях для ноутбуков и ультрабуков. Ож...

AMD будет продавать Radeon VII почти по себестоимости Уже совсем скоро, 7 февраля, начнутся продажи видеокарты AMD Radeon VII. Рекомендованная стоимость новинки составит $699, что, с учётом использования дорогой памяти HBM2, является вполне гуманным ценником. Поэтому ресурс Fudzilla решил выяснить себестоимость новинки и узнать...

Polestar построил в Китае завод для производства электромобилей, который выглядит как музей современного искусства [галерея, видео] Принадлежащий Volvo Car Group и Zhejiang Geely Holding автопроизводитель Polestar построил в Чэнду (Китай) завод, на котором будет собирать все свои модели, включая карбоновое гибридное купе Polestar 1, «батарейный» седан Polestar 2 и будущий электрический внедорож...

Тайваньские компании выводят производство модулей памяти из Китая Некоторые тайваньские производители модулей памяти срочно перемещают производственные линии с континентального Китая, чтобы защититься от новых тарифов на импорт китайской продукции в США. Как известно, повышение тарифов с 10% до 25%, то есть в два с половиной раза всту...

Появились первые тесты производительности MediaTek Dimensity 1000 В просторах интернета появились первые тесты нового топового процессора MediaTek Dimensity 1000. Процессор MediaTek Dimensity 1000 уже успел побывать во всех популярных бенчмарк-тестах. В тесте Geekbench процессор набирает 3811 баллов в одноядерном режиме и 13136 баллов в ...

Кристалл контроллера ввода-вывода AMD Ryzen 3000 построен на 12-нм техпроцессе Как мы знаем, процессоры AMD Ryzen 3000 (Matisse) используют многокристальную компоновку с одним или двумя 7-нм чиплетами CPU Zen 2 и кристаллом контроллера ввода-вывода. И, хотя предполагалось, что он построен на 14-нм технологиях, последние данные говорят о другом. Подробн...

Власти США разрешили Microsoft продавать Huawei программное обеспечение Представитель Microsoft подтвердил, что Министерство торговли США удовлетворило запрос компании на получение лицензии на экспорт Huawei программного обеспечения для массового рынка. Это означает, что Huawei вновь сможет начать выпуск ноутбуков под управлением Windows, а так...

На севере России построят сеть ЦОДов стоимостью 10 миллиардов Об этом пишет CNews со ссылко на информацию ТАСС. Агентство, в свою очередь, цитирует сообщение ректора Петрозаводского госуниверситета (ПетрГУ) Анатолия Воронина, прозвучавшее на выездном заседании дискуссионного клуба Проектного офиса развития Арктики "Стратегические ...

Фабрика производства смартфонов 5G Ген. директор Xiaomi Лэй Цзюнь сообщил, что компания строит огромную фабрику 5G для производства смартфонов. Фабрика строится в Пекине. С помощью автоматизированных производственных линий и больших данных завод сможет производить 60 смартфонов в минуту. Строительство заверши...

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

MediaTek представила 12-нм чипсет Helio P65 с акцентом на геймеров Сегодня MediaTek представила новый мобильный чипсет, который получил название Helio P65. Новинка выполнена по 12-нм техпроцессу и обеспечивает в два раза большую производительность по сравнению с предшественником. Также при разработке чипа основной акцент был сделан на гейме...

AMD: 7-нм EPYC Milan превзойдут 10-нм Intel Ice Lake-SP по соотношению производительности на ватт Серверные процессоры AMD EPYC 2-го поколения обладают внушительным уровнем вычислительной мощности, что подтверждают недавние рекорды. Не в последнюю очередь это заслуга 7-нм техпроцесса TSMC, благодаря которому «красные» добились высокого соотношения производите...

Архитектура Zen 3 и 7nm+ техпроцесс EUV увеличат плотность транзисторов на 20% Уже в следующем году AMD перейдёт на архитектуру Zen 3 и более совершенный техпроцесс 7nm+ с литографией в жёстком ультрафиолете (EUV). И сегодня нам удалось узнать, чего стоит ждать от этого перехода. Подробнее об этом читайте на THG.ru.

Huawei Mate 30: характеристики и время анонса Huawei Mate 30 станет очередной попыткой компании на соперничество с флагманами Samsung или Apple. Ожидалось, что новое поколение имиджевого флагмана представят в октябре нынешнего года. Но теперь есть предсказания, что компания ускорилась, и анонс линейки Mate 30 должен сос...

Китайские учёные разработали 3-нм транзистор По сообщению китайского издания South China Morning Post, группа китайских исследователей из Института микроэлектроники китайской академии наук разработала транзистор, который можно будет выпускать в рамках 3-нм техпроцесса. В отличие от 3-нм структуры транзистора компании S...

США готовится наказывать несговорчивых за «дружбу» ... Huawei прошла долгий и сложный путь на рынке к тому, чтобы стать серьезным игроком. Но рост влияния компании и увеличение популярности ее продуктов явно не входили в планы США. В своей попытке ослабить и даже уничтожить Huawei, Америка использует грубую и неприкрытую силу. &...

Власти объяснили, почему Россию невозможно победить в гонке вооружений Пресс-секретарь президента Российской Федерации Дмитрий Песков выступил с официальным заявлением, согласно которому в Кремле опасаются нового витка гонки вооружений. Правда представитель Владимира Путина сразу же уточнил, что Россия создала успешный задел на будущее в плане ...

Будущие Zen ориентируются на изменения архитектуры Будущее процессоров Zen связано с изменениями архитектуры, а не только техпроцесса производства. Об этом сообщила исполнительный директор AMD Лиза Су.

Как на «ГАЗе» конкурента Toyota Land Cruiser Prado создавали Необычный концепт ГАЗ-3106 «Атаман II» вспомнили в сети. По сути, этот опытный образец, которому так и не суждено было запуститься в серийное производство, представлял собой классическую «Волгу», только вот по размерам она могла посоперничать с Toyota Land Cruiser Prado тех...

Представлен процессор Intel NNP-T: 24 тензорных ядра, 32 ГБ памяти HBM2 и 27 млрд транзисторов Пару месяцев назад компания Intel представила новый продукт семейства Nervana — процессор NNP-I. Это решение основано на обычном процессоре Ice Lake, из которого «убрали всё лишнее» и добавили блоки DSP. Сегодня на мероприятии Hot Chips 31 компания Int...

AMD раскрыла характеристики новых процессоров Ryzen 3000 Вчера на выставке Computex глава AMD Lisa Su раскрыла характеристики нового поколения настольных процессоров Ryzen. Благодаря переходу на новый 7-нм техпроцесс удалось увеличить частоты в режиме boost до 4.6Ghz. Читать дальше →

Intel Rocket Lake - это перенос ядер Willow Cove на 14-нм техпроцесс Процессорные ядра Willow Cove основываются на Sunny Cove, которые являются первыми ядрами Intel с действительно новым дизайном за последние 5 лет. Sunny Cove оснащают 10-нм процессоры Ice Lake, тогда как появление Willow Cove ожидается в 10-нм+ Tiger Lake. Сообщается, что In...

Apple выпустила четвертые беты iOS 12.1.3 и macOS 10.14.3 Сегодня, 10 января, Apple выпустила четвертые бета-версии iOS 12.1.3 и macOS 10.14.3. Это уже второй раз за неделю, когда компания выпускает обновления фирменных операционных систем. Правда, на сей раз в Купертино приняли решение начать распространение апдейтов не только ср...

Технологическая себестоимость 7-нм кристаллов Ryzen не превышает $15 Представители AMD в последнее время часто по своей риторике напоминают руководителей Intel в лучшие, с точки зрения литографии, годы. При малейшей возможности речь заходит о 7-нм технологии и её преимуществах, но руководителей AMD понять можно — долгие годы отсиживаясь на «в...

Авторы Dragon Hills и Daddy Was A Thief анонсировали забавный 2D-шутер Cookies Must Die Студия Rebel Twins известна игрокам серией Dragon Hills, игрой Daddy Was A Thief и еще несколькими проектами. Сегодня они анонсировали Cookies Must Die — развлечение на тему восстания умных роботов с фирменной мультяшной графикой. Главным героем Cookies Must Die выступает б...

Чипсет Intel B365 нашёл применение в пяти материнских платах ASRock Модельный ряд системных плат ASRock для платформы Intel LGA1151-v2 пополнился пятью изделиями, созданными на базе набора логики B365. Данный чипсет, напомним, был представлен в прошлом месяце, производится по 22-нм техпроцессу и может обеспечить...

От двухъядерного Celeron G5900 до 10-ядерного Core i9-10900. Стали известны параметры настольных CPU Intel Comet Lake Как известно, в ближайшее время нас ожидают новые настольные процессоры Intel. И если относительно 10-нананометровых пока мы можем лишь надеяться на лучшее, основываясь на сенсационном заявлении представителя компании, с 14-нанометровыми всё проще. CPU Comet Lake-S вый...

Цифровые технологии в управлении жизненного цикла двигателей Игорь Лучанский, начальник управления информационных систем и технологий "Протон-ПМ": "Наше предприятие постоянно совершенствует производственные процессы, проводит масштабную модернизацию и техническое перевооружение. Это требует оперативности на всех этапах ...

Сообщение о приостановке строительства фабрики Foxconn в Висконсине опровергнуто В конце января тематические ресурсы распространили информацию, что компания Foxconn изменила планы относительно фабрики в штате Висконсин, США. Однако по сведениям источника, указанное сообщение был резко опровергнуто должностными лицами компании, госслужащими и предста...

Планы AMD на ближайший год: настольные процессоры Ryzen 4000 выйдут в августе 2020 года, а мобильные — в начале того же года Вчера мы говорили о слухах, которые утверждали, что новые настольные APU AMD могут выйти уже в ноябре или декабре. Но также источник говорил, что есть вероятность анонса уже на CES 2020 в январе. Если верить неофициальной дорожной карте, которую опубликовал другой источ...

Две трети промышленных компаний замалчивают произошедшие киберинциденты Соответствие различным нормам и требованиям является обязательным для современных индустриальных компаний и даже служит одним из главных факторов инвестиций в информационную безопасность, однако предприятия нередко соблюдают не все правила.К примеру, в случае с сокрытием киб...

Глава Samsung Display подтвердил намерение начать производство панелей QD-OLED В то время как компания Samsung Display, являющаяся дочерним предприятием Samsung Electronics, намеревается сокращать производство жидкокристаллических дисплеев, прилагаются немалые усилия для запуска производства органических светодиодных панелей с квантовыми точками. В одн...

Завод «Агстрем – Т» теперь банкрот Предприятие, специализирующееся на производстве микроэлектроники, «Ангстрем – Т» официально считается банкротом. Решение принял Арбитражный суд. Процедура началась в январе 2019 года после заявления кредитора, госкорпорации «ВЭБ.РФ». Возможно, спустя какое-то время предприят...

Toshiba Memory и Western Digital совместно инвестируют средства в новую фабрику по выпуску флэш-памяти Компании Toshiba Memory и Western Digital заключили соглашение о совместном инвестировании в производственное предприятие K1, которое Toshiba Memory в настоящее время строит в Китаками, префектура Иватэ, Япония. Фабрика K1 будет производить флеш-память с объемной...

Что будет, если Apple выгонят из Китая Мы уже разобрались с тем, как Apple удалось обезопасить себя от запрета производства фирменной техники на территории Китая. Компания обеспечила работой сотни тысяч китайцев, фактически подмяв под себя изрядную часть местного рынка и финансовой системы. Однако не следует иск...

Volkswagen начинает строительство нового завода по производству электромобилей в США Компания Volkswagen сообщила о начале строительства нового завода по производству электромобилей. Завод на юго-востоке США, в Чаттануга, штат Теннесси, станет североамериканской сборочной базой Volkswagen для электромобилей на модульной платформе MEB. К выпуску продукци...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Кому процессор Intel за $15 500? Компания Intel сегодня без лишнего шума добавила в свой ассортимент новый процессор Intel Xeon Platinum 8284, который является самым производительным в линейке Xeon Platinum 8200 (Cascade Lake-SP). Новинка предназначена для серверов, включая многопроцессорные конфигурации. К...

Высокий спрос на 7-нм и 5-нм чипы поможет росту TSMC в 2020 году TSMC планирует в 2019 году достичь ещё одного рекорда, но из-за разочаровывающих результатов в первой половине года чистая прибыль вряд ли побьёт результаты 2018 года. Однако, как считают источники, в 2020 году снова наметится рост общегодовой выручки и прибыли тайваньской п...

Чиплеты обещают новый уровень вычислительных возможностей На примере Intel мы видим, как ей все сложнее и сложнее дается следовать закону Мура, переходя с одного техпроцесса на другой, пишет портал ZDNet. Существуют опасения, что когда-то он перестанет действовать в принципе, но пока что хоть и со скрипом ...

Samsung выиграет на рынке планшетов от торговой войны между США и Китаем Аналитики Digitimes Research ожидают, что южнокорейская компания Samsung Electronics выиграет от повышения Соединенными Штатами тарифа на планшеты, импортируемые из Китая, до 25%. Дело в том, что почти все планшеты Samsung Electronics изготавливаются на собственных прои...

Foxconn начала подготовку к массовому производству новых iPhone Если верить недавним слухам, то официальный анонс нового поколения iPhone состоится 10 сентября. Во второй половине месяца Apple должна начать продавать свои новинки. Сейчас же партнеры яблочной компании готовятся к старту производства новых моделей. Например, компания Foxco...

Apple может начать производство iPhone XS и XR в Индии уже в следующем году Как сообщает издание Reuters, с 2019 года Apple начнет производство своих самых дорогих смартфонов в Индии, включая iPhone X, XS и XR. Устройства будут собираться на заводе в Сриперамбудере, под контролем фирмы-производителя техники Foxconn, которая уже попадала в заголовки ...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

К моменту запуска первый завод Foxconn в США создаст лишь 1500 рабочих мест Уже в мае следующего года в США начнёт работу первый в этой стране завод Foxconn. Фабрика строится в Висконсине. Изначально говорили о том, что фабрика создаст от 10 000 до 15 000 новых рабочих мест, а производить там будут экраны для смартфонов. Затем планы ...

Новая платформа не позволяет Samsung Galaxy Note10+ существенно превосходить Galaxy S10+ В основе смартфонов Samsung Galaxy Note10 и Galaxy Note10+ лежит однокристальная система Exynos 9825 (не для всех рынков). Эта SoC отличается от Exynos 9820 лишь частотами и тем, что производят её по техпроцессу 7 нм с использованием технологии EUV. Поэтому ожидать ско...

Официально представлен новый процессор для флагманского смартфона Samsung Galaxy Note10 Чип Exynos 9825 стал улучшенной версией процессора Exynos 9820, который лежит в основе Galaxy S10. Благодаря применению 7-нанометрового техпроцесса EUV чипсет получил улучшенные показатели энергопотребления и производительности.

Samsung закрывает китайское производственное предприятие в связи с падением продаж Samsung объявила о планах закрыть свой завод по сборке смартфонов в Хуэйчжоу, Китай, всего через год после того, как они попрощались со своим заводом в Тяньцзине. Поскольку в этом месяце в Китае не было ни одного завода по выпуску смартфонов, Samsung практически отказалась о...

Intel Tremont — совершенно новая микроархитектура для энергоэффективных процессоров Компания Intel только что представила новую процессорную микроархитектуру Tremont. Она ляжет в основу грядущих «атомных» процессоров компании, а также ядра Tremont будут частью гибридных процессоров Lakefield. Intel называет Tremont самой передовой процессо...

Глава Qualcomm рассказал, какие устройства будут после смартфонов Умные очки не заменят смартфоны полностью, но будут выполнять часть их функций Прямо сейчас рынок смартфонов претерпевает серьёзные изменения. Большинство пользователей больше не интересуют флагманские аппараты, и они покупают устройства среднего ценового сегмента, которые в...

Японские санкции приведут к полной остановке заводов Samsung и SK Hynix через два месяца Как мы сообщали, с 4 июля японское правительство ввело ограничения на поставку в Южную Корею сырья и материалов японского производства. Например, это касается поставок фоторезиста, фтористого водорода, также называемого «газом для травления», и фторированных полиимидов. Все ...

Представлена однокристальная платформа MediaTek Dimensity 1000, которая лучше Snapdragon 855 Plus и Kirin 990 Компания MediaTek обещала представить сегодня свою новую флагманскую однокристальную платформу со встроенным модемом 5G, и она это сделала. Новинка получила особое название — Dimensity 1000. Накануне Dimensity 1000 разгромила топовые платформы Kirin 990 и Snapdra...

Intel планирует снижение цен, чтобы конкурировать с AMD Не так давно компания AMD показал рынку свои процессоры Ryzen 3000, которые задерут планку производительности ещё выше, что было очень позитивно воспринято энтузиастами и любителями компьютерного железа. Позитива сюда добавляет также то, что это усилит конкуренцию между 2 ...

Seagate рассчитывает удешевить и ускорить производство HDD с помощью HPE, Nvidia и искусственного интеллекта Компания Seagate объявила о сотрудничестве с HPE и Nvidia в разработке новой производственной платформы Project Athena, в которой будет использоваться искусственный интеллект. Ожидается, что новая платформа позволит сократить инвестиции в чистые помещения на 20%, а врем...

Китай опасается, что искусственный интеллект в гонке вооружений приведёт к войне Китайские политики и военные эксперты обеспокоены тем, что стремление интегрировать искусственный интеллект в военную технику и оружие может случайно привести к войне между странами. Согласно новому отчету, опубликованному Центром новой американской безопасности (CNAS), кита...

Дефицит процессоров Intel продлится до декабря текущего года В конце прошлого года еще встречались относительно позитивные прогнозы по ситуации нехватки процессоров Intel на рынке: мол, в 2019 году, если не в первом квартале, то уж к середине года точно, ситуация должна разрешиться. Судя по новым данным, дефицит CPU если и исчезн...

В продаже появились абсолютно новые «Бычки» «Бычки» были произведены в период с 2010 по 2014 годы и все это время хранились на территории завода. Дешевле всего обойдется шасси без кузова с кабиной оборудованной спальным местом, а самый дорогой лот — гидроподъемник. Все выставленные на продажу автомобили оснащены дизел...

Производители чипов в 2019 году будут экономить, но в 2020-м развернутся Группа наблюдения за полупроводниковой промышленностью организации SEMI, которая отслеживает свыше 1300 заводов по обработке кремниевых пластин, опубликовала свежий отчёт-прогноз о динамике затрат на развитие и расширение производств. Увы, 2019 год в этом плане будет годом э...

Ростех внедряет в двигателестроении технологии Индустрии 4.0 Внедрение системы позволит контролировать загруженность оборудования и упреждать его повреждения, что снизит амортизационные издержки производственного комплекса. В рамках проекта впервые был внедрен "Цифровой двойник цеха", который позволяет на визуальном 3D-плане...

Вице-президент Honor подтвердил, что в смартфоне Honor 9X будет использоваться новейшую 7-нанометровая SoC Kirin 810 Сегодня Huawei официально представила Kirin 810 — свою вторую однокристальную систему, выполненную по техпроцессу 7 нм. И практически сразу после этого вице-президент Honor Сюн Цзюньминь (Xiong Junmin), отвечающий за новые продукты, сообщил, что новая платформа бу...

Apple начнёт выпуск для Китая бюджетного iPhone со сканером отпечатков пальцев В китайской прессе появились сообщения о планах Apple начать выпуск новой, более дешёвой версии iPhone специально для китайского рынка, которая будет оснащена менее дорогим сенсорным датчиком отпечатков пальцев Touch ID вместо сканера лица Face ID. Сообщается, что сканер Tou...

AMD показала на CES 2019 процессоры Ryzen 3-го поколения Компания AMD провела презентацию нового чипа на базе техпроцесса 7нм, основанного на архитектуре Zen 2. Новинка под названием Ryzen предназначена для оснащения ПК и ноутбуков. В процессе презентации компания представила возможности своей новинки вместе с созданной новейшей в...

Обзор процессора AMD Ryzen 5 3600X Компания AMD недавно начала продажи десктопных процессоров Ryzen 3-го поколения. Новые CPU имеют целый ряд структурных и функциональных улучшений. Это и архитектура Zen 2, и 7-нанометровый техпроцесс изготовления кристаллов, и радикально увеличенный объем кеш-памяти, и улучш...

В Астраханской области будут реализованы проекты в сфере «Умного города» Подписи под документом поставили губернатор Астраханской области Игорь Бабушкин и заместитель генерального директора Госкорпорации Ростех Александр Назаров.В соответствии с соглашением Ростех будет содействовать региону в реализации проектов, направленных на создание комфорт...

Искусственный интеллект избавит российские мегаполисы от пробок Для эффективной работы системы необходим обмен данными о дорожной обстановке в реальном времени, а большая часть автотранспорта в городе должна быть оснащена модулями связи V2X — «автомобиль-все вокруг» (технологии связи, обеспечивающие обмен данными между транспортом и инфр...

3М выпустила первый 3D-принтер, печатающий фторопластом Фторопласт используют в широком спектре отраслей: от потребительских товаров до аэрокосмической промышленности. На днях компания 3М продемонстрировала на выставке Formnext опытный образец 3D-принтера, который в качестве материала использует политетрафторэтилен. Его примен...

Вскоре в Индии начнут производить актуальные модели iPhone Apple уже несколько лет при помощи своих партнёров производит смартфоны на территории Индии. Это позволяет избежать дополнительных налогов и получить прочие преференции. Однако на данный момент в Индии производят лишь старые модели iPhone. Ранее уже появлялись слухи о ...

Volkswagen с партнёрами готовится к строительству огромных аккумуляторных заводов Компания Volkswagen подталкивает своих партнёров по совместному предприятию, в том числе SK Innovation (SKI), к началу строительства заводов по производству аккумуляторных батарей для электромобилей. Как сообщил журналистам Reuters исполнительный директор компании Герберт Ди...

Плавучие ЦОД появятся в Ирландии и Сингапуре Плавучий ЦОД от Nautilus Data Centers в Ирландии Компания Nautilus Data Centers в начале сентября получила разрешение на строительство плавучего ЦОД в доках города Фойнс, графство Лимерик (Ирландия). Разрешение было выдано местными властями после того, как местные предприят...

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

В базе данных SiSoftware замечен 10-ядерный процессор Intel Cascade Lake-X (платформа HEDT Glacier Falls) На смену платформе Basin Falls для высокопроизводительных настольных компьютеров (HEDT) придет Glacier Falls — со своим чипсетом и процессорами. Случится это, ориентировочно, в третьем квартале текущего года, но в базе данных SiSoftware уже замечен один из предста...

К 2025 году Исландия намерена уменьшить вдвое количество АЗС в столице, а к 2050 году полностью отказаться от ископаемого топлива Многие европейские страны уже утвердили планы относительно сокращения количества автомобилей с ДВС в обозримом будущем. Это и запреты на продажи новых авто, не являющихся электрическими либо гибридными, и различные налоговые преференции для «зелёных» авто, и...

MediaTek предположительно готовит флагманский процессор для игр Helio G90 Только вчера состоялся анонс улучшенной версии процессора Snapdragon 855 Plus, как сегодня стало известно, что похожий чип, с акцентом на игровых возможностях, готовит компания MediaTek. Как вы можете знать, раньше именно слабый видеоускоритель был главной проблемой систем ...

Ростех и Астраханская область реализуют совместные проекты в сфере «Умного города» Подписи под документом поставили губернатор Астраханской области Игорь Бабушкин и заместитель генерального директора Госкорпорации Ростех Александр Назаров. В соответствии с соглашением, Ростех будет содействовать региону в реализации проектов, направленных на созд...

Украина в первом чтении приняла нормы FATF для регулирования криптовалютного рынка Депутаты Верховной Рады приняли в первом чтении законопроект о внедрении норм FATF для противодействия отмыванию денег и финансированию терроризма при регулировании криптовалютного рынка. Об этом сообщил председатель депутатского объединения Blockchain4Ukraine, народный депу...

Процессор Huawei Kirin 985 будет на 10-20% производительней чипа Kirin 980 Новый процессор станет на 10-20% производительней своего предшественника, но при этом он будет похож на него по архитектуре. Его построят по 7-нанометровому техпроцессу с методом обработки EUVL (Extreme ultraviolet lithography). Кроме этого, процессору приписывают встроен...

В Китае появятся туристические остановки с ИИ-технологиями Об этом говорится в техническом руководстве по созданию особой инфраструктуры, опубликованном местной администрацией.Как следует из документа, на который ссылается ТАСС, на таких объектах планируется разместить столовые и магазины с автоматизированным "беспилотным&quo...

На одном из заводов Samsung по производству DRAM произошла авария Как стало известно накануне, несколько недель назад на одном из небольших заводов Samsung возникли проблемы с производством микросхем DRAM. Предприятие Giheung Plant находится в Южной Корее и занимается выпуском 200-мм полупроводниковых пластин по...

AMD анонсировала свою 3D-архитектуру чипов, чтобы догнать Intel Foveros 3D Intel Foveros 3D Поскольку закон Мура больше не действует, разработчикам микросхем приходится искать иные способы повышения производительности. Одна из подходящих для этого технологий называется 3D-штабелирование (3D chip stacking). Это объёмная этажерочная архитектура чип...

Маск пообещал инвесторам начать массовое производство Model Y в следующем году Массовое производство электрического кроссовера Tesla Model Y, о котором глава американской компании Илон Маск впервые рассказал еще в 2015 году, начнется к концу 2020 года, говорится в корпоративном письме, разосланном акционерам компании на этой неделе в среду, сообщает п...

Xiaomi готовит, возможно, самый дешевый смартфон с мощной камерой Модель Xiaomi Mi A3 получила 48-мегапиксельный модуль основной камеры - точно такой же, как используется во многих флагманских аппаратах. В основе смартфона лежит новый процессор среднего уровня, созданный на базе 8-нанометрого техпроцесса - Snapdragon 730.

В этом году Ford выпустит в Европе восемь моделей электромобилей Ford Motor заявила во вторник, что в этом году начнёт продажи восьми моделей электромобилей в Европе, что является ключевым шагом в выполнении компанией задачи добиться к концу 2022 года преобладания в её общем объёме продаж транспортных средств на электрическом ходу. REUTE...

Новейшая SoC Snapdragon 665 во всех тестах опережает SoC Kirin 710 Два дня назад компания Xiaomi представила смартфон Xiaomi CC9e — первый аппарат на рынке с SoC Snapdragon 665. Напомним, данная однокристальная система была представлена в апреле. Она производится по 11-нанометровому техпроцессу и является чуть улучшенной версией ...

Новые Nintendo Switch и NVIDIA Shield TV получат улучшенную Tegra X1 На прошедшей игровой выставке E3 было представлено немало впечатляющих игр для гибридной портативной консоли Switch, но ожидания, что Nintendo покажет или расскажет о грядущих аппаратных новинках, не оправдались. Пока даже о формате устройств нет верных сведений, тем не мене...

Смартфон Huawei NLE-AL00 с чипом Kirin 990 и 8 Гбайт ОЗУ замечен в базе Geekbench Китайская компания Huawei должна представить новые флагманские смартфоны серии Mate 19 сентября. В преддверии этого события в бенчмарке Geekbench был протестирован аппарат с кодовым именем NLE-AL00. На данный момент неизвестно, под каким названием это устройство выйдет на ры...

Китай откажется от антикоррупционной ИИ-системы Zero Trust из-за ее высокой эффективности Китай старается использовать высокие технологии для решения многих проблем. Это и социальное взаимодействие граждан, их послушание закону, соблюдение правил общения в сети и многое другое. Вообще говоря, управлять жизнью граждан в Китае должны чиновники, но их уж очень м...

Компания Huawei разорвала отношения с компанией Flextronics, захватившей ее собственность стоимостью более 100 млн долларов Как известно, в мае США включили Huawei в черный список компанией, которыми американские компании не могут поставлять свои товары и услуги. Этот запрет весьма рьяно принялась исполнять компания Flextronics International (Flex). Flex является вторым по величине по...

Samsung Display инвестирует 10,85 млрд долларов в производство панелей QD-OLED По данным источника, компания Samsung Display (SDC) официально объявила о решении инвестировать 10,85 млрд долларов США в исследования, разработку и производство телевизионных панелей QD-OLED. Инвестиционный план рассчитан на 6 лет — с 2019 по 2025 год. Первым шаг...

ЦП AMD Ryzen 4000-ой серии и чипсет X670 появятся в конце 2020 года Следующее поколение процессоров AMD Ryzen будет создано на базе 7 нм+ техпроцесса (архитектура Zen 3). Ожидается, что эти решения появятся на рынке вместе с новым чипсетом Х670 в конце следующего года. Специалисты предполагают, что свежие ЦП будут еще мощнее (больше ядер, в...

Google пообещала упростить обновление смартфонов с выходом Android Q Несмотря на незавидную частоту, с которой выходят новые версии Android, Google регулярно выпускает так называемые патчи безопасности, выходящие в первую неделю каждого месяца. Этот тип обновлений, как правило, не включает новых функций, поскольку сосредоточен на исправлении...

Передовая экипировка солдата «Сотник» появится в 2025 году Государственная корпорация Ростех сообщает о том, что уже в следующем году в нашей стране начнутся работы по созданию общевойсковой экипировки третьего поколения. Фотографии Ростех / Антон Тушин Проект носит название «Сотник». Предполагается, что эта передовая экипировка при...

Американские лазеры помогут бельгийским учёным с прорывом до 3-нм техпроцесса и дальше Как сообщает сайт IEEE Spectrum, с конца февраля по начало марта на базе бельгийского центра Imec совместно с американской компанией KMLabs была создана лаборатория для изучения проблем с полупроводниковой фотолитографией под воздействием EUV-излучения (в сверхжёстком ультра...

Samsung закрыла завод, на котором работали 2600 человек Компания Samsung продолжает лидировать на мировом рынке смартфонов, но в Китае ее доля составляет около 1%. Как сообщают местные СМИ, южнокорейский гигант закрыл завод по производству своей продукции, расположенный в китайском городе Тяньцзинь. Закрытие было осуществле...

Представлены мобильные процессоры Intel Core 10 поколения (Comet Lake) Компания Intel сегодня пополнила 10 поколение процессоров Core очередной линейкой. Напомним, первыми представителями 10 поколения стали мобильные CPU Ice Lake. Теперь же представлены процессоры Comet Lake, которые также нацелены на мобильный сегмент, но при этом всё ещё...

Утвержден Перспективный план стандартизации НТИ Министерство промышленности и торговли России и Росстандарт утвердили Перспективный план стандартизации в области передовых производственных технологий на 2018 - 2025 гг., предложенный рабочей группой по совершенствованию законодательства и устранению административных барьер...

Xiaomi скоро представит смартфон CC9 Pro с камерой на 108 Мп. Китайский производитель Xiaomi готовит еще один новый смартфон под названием CC9 Pro. Главной особенностью новинки станет камера на 108 МП. К смартфону также еще приписывают игровой процессор Snapdragon 730G, который разработан по 8-нанометровому техпроцессу и имеет два выс...

Nissan готовится к массовому выпуску электрокаров и подключённых автомобилей Компания Nissan объявила о планах по переоборудованию своих заводов по всему миру в рамках подготовки к массовому производству электрифицированных, интеллектуальных и подключаемых автомобилей. Отмечается, что в эпоху электрификации и интеллектуальных систем автопроизводители...

Intel на CES 2019: новая серия Ice Lake и обновления в Coffee Lake-S Refresh Как один из крупнейших производителей процессоров в мире, корпорация Intel попросту не могла пропустить всемирную выставку потребительской электроники Consumer Electronics Show (CES) 2019. В рамках этого мероприятия американская компания презентовала новые процессоры серии C...

В январе AMD может рассказать о графике поколения RDNA2 с трассировкой лучей Подробное изучение изменений, произошедших в презентации AMD для инвесторов за период с сентября по ноябрь, позволило нам выяснить, что компания не желает, чтобы начинка игровых консолей Sony и Microsoft нового поколения ассоциировалась у общественности с архитектурой RDNA в...

Foxconn уже строит крупную фабрику по производству телевизионных панелей 8K На сегодняшний день телевизоры 8K являются невероятно дорогой и в целом бесполезной диковинкой. Однако аналитики указывают на то, что определённую популярность такие модели наберут уже по итогам текущего года, а уж спустя несколько лет и вовсе начнёт переход с 4K на 8K....

Китай захватит 70% рынка 5G-смартфонов в 2020 году Несмотря на то, что сети пятого поколения изначально появились и начали стремительно разворачиваться на территории Южной Кореи, уже в следующем году звание безоговорочного лидера на рынке смартфонов 5G должен занять Китай. Такое заявление сделали представители компании ...

Samsung закрывает свою последнюю фабрику в Китае Тот день, когда со смартфонов Samsung исчезнет надпись «Made in China» вот уже скоро наступит. Компания приняла решение закрыть свой последний завод на территории Поднебесной до конца текущего месяца. Сама фабрика находится в китайской провинции Гуандун и начала ...

OneWeb привлекла $1,25 млрд инвестиций для массового производства спутников В раунде финансирования, о котором было объявлено 18 марта 2019 года, участвовали SoftBank, Qualcomm, правительство Руанды, а также мексиканская группа компаний Grupo Salinas. В число других инвесторов также вошли Intelsat, Bharti Enterprises и Virgin Group."Этот раунд ...

Dali — имя новой таинственной линейки гибридных процессоров AMD В начале следующего года нас ждёт выход гибридных процессоров AMD Renoir. Это будут APU Ryzen 4000, которые наконец-то перейдут на семинанометровый техпроцесс и архитектуру Zen 2. Правда, последние слухи указывают, что такие гибридные процессоры сохранят GPU Vega и не п...

Смартфон Meizu с 5G выйдет во втором квартале следующего года Компания Meizu сейчас находится далеко не в лучшем положении, но это не значит, что компания не строит планов на будущее. В частности, есть у компании и планы относительно внедрения поддержки 5G. Как сообщает источник, в текущем квартале Meizu будет заниматься тестами м...

Стало известно, где Daimler возьмет аккумуляторы для увеличения выпуска электромобилей Компания Daimler договорилась о поставках литий-ионных аккумуляторов с китайско-американской компанией Farasis Energy. Farasis уже строит соответствующий завод в восточной Германии. Сделка поможет увеличить производство электромобилей Mercedes-Benz. Об этом сообщил Марк...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Утечка дает представление о процессорах Intel Ice Lake-SP и Cooper Lake-SP, включая сроки выхода В сети появились сведения о новейших серверных процессорах Intel. Выход процессоров Cooper Lake-SP, которые станут первыми представителями новой платформы Whitley, планируется во втором квартале 2020 года. Для Cooper Lake-SP будет характерно значение TDP 300 Вт. Ядер бу...

Мощный смартфон Redmi Pro 2 Компания м выпустила компактный смартфон. Xiaomi Redmi Pro 2 получит новый восьмиядерный процессор Snapdragon 675. Этот чипсет выполнен по 11-нм техпроцессу и оснащён двумя мощными ядрами с тактовой частотой 2 ГГц и шестью ядрами на 1,7 ГГц. За графику отвечает Adreno 612, к...

Параметры совершенно новых процессоров Intel Несколько дней назад мы говорили о том, что 24 октября Intel должна представить новую процессорную архитектуру Tremont, которая станет основой новых «атомных» процессоров. Ранее считалось, что новое поколение таких процессоров будут называться Jasper Lake, ...

AMD представила первую в мире 7-нм потребительскую видеокарту Radeon VII Компания AMD на выставке CES 2019 сделала сразу несколько анонсов, в том числе представила первую в мире потребительскую видеокарту, построенную по 7-нм техпроцессу — Radeon VII. В её основе лежит графический процессор на архитектуре Vega второго поколения, который включает...

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

[Обновлено] Apple выпустила iOS 13.2 beta 1. Что нового? iPhone 11, 11 Pro и 11 Pro Max — единственные iPhone с поддержкой Deep Fusion Что бы ни делала Apple, обязательно найдутся хейтеры, которые поспешат раскритиковать её действия. Это те самые, кто сначала обвиняли компанию в непонимании рыночных тенденций и производстве ...

AMD прекращает передачу архитектур x86 китайским партнерам Генеральный директор AMD Лиза Су (Lisa Su) подтвердила источнику на недавней выставке Computex 2019, что компания прекращает дальнейшее лицензирование архитектур x86-совместмых микропроцессоров совместному предприятию с участием Китая. Это означает, что СП будет огранич...

Haier открыл Индустриальный парк в Набережных Челнах. Самое крупное несырьевое предприятие площадью в 127 Га 28 августа в городе Набережные Челны состоялось открытие первого объекта Индустриального парка, а именно завода по производству стиральных машин. В рамках данного проекта будет привлечено в 1 млрд. долларов инвестиций. Мы лично приехали на место развития событий и узнали, за...

VW рассчитывает скоро превзойти Tesla на рынке электромобилей Компания Volkswagen (VW) к концу 2022 года планирует увеличить выпуск электромобилей примерно до 1 млн штук в год. Это позволит немецкому автомобилестроителю превзойти Tesla по объемам выпуска и сделать Китай ключевым полем битвы. Volkswagen готовит два китайских завода...

Теперь официально: не ждите настольных 10-нанометровых CPU Intel минимум до 2021 года Пару дней назад мы смогли ознакомиться с дорожными картами Intel, которые вызвали множество вопросов. В частности, там вообще не было настольных 10-нанометровых процессоров. Сегодня Intel отчиталась за очередной финансовый квартал, заодно подтвердив своё намерение выпу...

Tesla сократит 3000 человек и сосредоточится на производстве Model 3 Tesla сокращает 7% своей рабочей силы. Компания сообщила об уменьшении численности персонала в письме всем сотрудникам, а также разместила информацию на своем веб-сайте. В электронном послании генеральный директор компании Илон Маск говорит, что основное внимание должно быт...

Xiaomi Mi A3 чипом Snapdragon 665, тройной камерой и ценником в 250 евро Компания Xiaomi официально представила свой новый смартфон в рамках программы Android One — Mi A3. Новинка стала не полной копией Xiaomi CC9, а его младшей версии CC9e. Смартфон получил стеклянный корпус с несколькими расцветками, а также плоский AMOLED-дисплей на 6 дюймов ...

Toyota и Panasonic объединились для создания сверхъемких аккумуляторов для электромобилей Компании Toyota Motors и Panasonic объединяют свои усилия, чтобы разработать эффективные твердотельные литиевые аккумуляторы, промышленное производство которых еще не налажено, поскольку требует огромных инвестиций и передового технического опыта. Теоретически они должны быт...

Intel ощутимо снизит цены на свои процессоры для конкуренции с новинками AMD Ryzen Компания AMD представила настольные процессоры Ryzen 3000, которые должны поднять производительность на новый уровень. Как известно, компании Intel в настольном сегменте в ближайшее время ответить будет нечем. Большинство утечек и слухов указывают на то, что новая архит...

Чип Exynos 980 в серии Vivo X30 подтвержден Как и было обещано, сегодня в Китае компании Vivo и Samsung провели совместную пресс-конференцию. Целью ее было рассказать о том, какими впечатляющими будут новинки серии Vivo X30 и все благодаря установке чипа Exynos 980 с интегрированный 5G-модемом. Сами смартфоны представ...

Представлена 12-нанометровая восьмиядерная платформа MediaTek Helio P65 Однокристальные платформы MediaTek серии P в общем, и Helio P60 в частности, достаточно популярны у производителей смартфонов в Китае, поэтому новую платформу линейки они должны встретить с энтузиазмом. Новинка, названная Helio P65, обеспечивает в два раза большую произ...

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

Заводы по производству смартфонов Huawei начали останавливать работу СМИ сообщили, что один из подрядчиков Huawei по сборке смартфонов Flex приостановил производство на юге Китая. Рабочих завода отправили в отпуск до 30 мая.

Heineken: опыт внедрения 3D-печати Heineken — один из крупнейших производителей пива, имеет более 150 пивоваренных заводов по всему миру. Одна из пивоварен, находящихся в Севилье, Испания, производит такие известные сорта, как Cruzcampo, Desperados, Heineken и Amstel. Пиво варится, упаковывается, а затем отпр...

Смартфоны Samsung с этикеткой Made in China скоро исчезнут Как пишет источник, компания Samsung, начала увольнять персонал со своего единственного китайского завода по производству смартфонов: на предприятии Huizhou Samsung Electronics Co. запущена программа компенсаций при сокращении. Все увольнения осуществляются на доброволь...

Apple установит солнечные батареи на крыше тайванской пивоварни Завод по производству пива и известного соевого соуса перейдет на альтернативную энергию. Компания Apple Inc. совместно с пивоварней Wan Ja Shan Brewery Co. разрабатывает проект по оснащению крыши завода солнечными батареями. Площадь предприятия составляет 50 000 квадр...

Госкомпании запустили процесс перехода на отечественный софт Российские государственные компании начали обсуждать грядущий переход на отечественное программное обеспечение, сообщают «Ведомости». Обсуждение связано с соответствующей директивой, подписанной первым вице-премьером Антоном Силуановым. Директива обязала госкомпании провес...

ASRock анонсировала видеокарты линейки Phantom Gaming Alliance ASRock Phantom Gaming Alliance - это линейка продуктов компании, направленных на предоставление высококлассного игрового оборудования для геймеров и энтузиастов. Последним дополнением к линейке Phantom Gaming Alliance является пара новых видеокарт на базе графического пр...

Intel постоянно пересматривает спецификации CPU Cooper Lake в попытках не отставать от AMD Epyc В конце текущего года мы наконец-то должны получить 10-нанометровые процессоры Intel Ice Lake, которые, судя по первым тестам, порадуют производительностью. Но такие CPU выйдут лишь в мобильном сегменте. В настольном, судя по всем утечкам и данным Intel, таких процессор...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

В Китае 39 бирж нарушили запрет на криптовалютный трейдинг Народный банк Китая совместно со Службой экономических расследований выявили в городе Шэньчжэнь 39 биткоин-бирж, продолжавших свою деятельность, несмотря на запрет криптотрейдинга в стране. Об этом сообщает Cointelegraph. Какое наказание понесут нарушители пока неизвестно. О...

Анонсирован смартфон Redmi Note 7 Pro – на платформе Snapdragon 670 и с 48-мегапиксельным датчиком Sony Вместе со смартфоном Redmi Note 7 сегодня анонсирована и другая модель бренда – Redmi Note 7 Pro. Новинка выглядит в точности как Redmi Note 7, но имеет несколько важных отличий. Первое отличие касается платформы: если в Redmi Note 7 используется SoC Qualcomm Sna...

Компания ERA внедрила на производстве «1С:ERP» Компания 1С:Апрель Софт полностью завершила проект по комплексной автоматизации на базе решения 1С:Предприятие 8. ERP Управление предприятием 2 (далее 1С:ERP) в компании ERA. Ранее компания ERA работала в программе 1С:Управление ...

Qualcomm: 2020 год обещает стать годом телефонов 5G Чипы Snapdragon с интегрированной поддержкой сетей связи пятого поколения начнут поставляться во втором квартале 2019 года, а количество мобильных аппаратов 5G должно достичь критической массы примерно через год. Компания Qualcomm выдвинула еще один довод в пользу того, ч...

Первые кадры сверхбыстрого вертолета SB>1Defiant, который может прийти на смену знаменитому Black Hawk С 2015 года Sikorsky и Boeing совместно разрабатывают новый многоцелевой вертолет среднего класса для армии США — SB>1Defiant, который должен поступить на вооружение в 2030-х годах. Сообщается, что в нем будут учтены наработки, полученные при создании опытных моделей с...

Новейшая платформа Qualcomm Snapdragon 215 производится по техпроцессу 2012 года Сегодня мы уже писали об однокристальной системе Snapdragon 215, предназначенной для очень дешёвых смартфонов. И вот теперь Qualcomm представила данное решение. Никакого пресс-релиза нет, просто новинка появилась в списке линейки Snapdragon 200. Итак, новая однокристаль...

Быстрее ожидаемого. 5G-версия Xiaomi Mi Mix 3 поступит в продажу совсем скоро Компания Xiaomi представила в Барселоне на выставке Mobile World Congress 2019 новую версию флагманского смартфона-слайдера Xiaomi Mi Mix 3, которая получила поддержку сетей пятого поколения. Китайский производитель сотрудничает с европейскими операторами 3, Orange, Sun...

Samsung Galaxy Fold: время старта продаж и страны, где он ... Одним из заметных событий апреля должен был стать старт продаж складного смартфона Galaxy Fold. Во время его презентации в феврале было объявлено, что на прилавки магазинов он придет 26 апреля. Но сегодня появились более точные подробности о сроках появления новинки на рынке...

Индийский завод Foxconn выпускает только 1 млн iPhone в год Производство iPhone в Индии на заводе Foxconn было официально запущено в августе. Теперь же стало известно о том, что из-за проблем Apple с экспортом из Индии производственная линия завода Foxconn будет обслуживать только местный рынок.

Внедряем IdM. Взгляд со стороны инженера внедрения Ранее мы рассказывали о том, что такое IdM, для чего он нужен, как финансово обосновать его внедрение и т.п. Сегодня речь пойдет о том, какие подводные камни могут возникнуть при внедрении системы, и как их обойти и не набить себе множества шишек. Предположим, мы знаем, что...

Тим Кук: iPhone на самом деле уже собирается в США А вы знали, что iPhone уже частично собирается в США? 20 ноября Apple объявила о строительстве своего нового кампуса за 1 миллиард долларов в Остине, штат Техас. В связи с этим генеральный директор компании Тим Кук дал небольшое интервью, в котором решил поговорить об инвест...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)