Социальные сети Рунета
Четверг, 18 апреля 2024

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

4-ядерный процессор Intel Core i3-10100 с поддержкой HyperThreading в мультимедийных тестах SANDRA обошёл Core i3-9100 на 31% Линейка настольных процессоров Intel 10-го поколения (семейство Comet Lake) будет иметь базовый чип Core i3-10100. Он придёт на смену моделям Core i3-9100 i3-8100. Отметим, настольные процессоры Intel Comet Lake всё ещё выпускаются по нормам 14-нанометрового технологического...

Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus Совсем недавно Intel продемонстрировала свои новые производительные мобильные процессоры 9-го поколения, а теперь компания уже готова рассказать о своих будущих чипах 10-го поколения Ice Lake, которые наконец будут изготавливаться по нормам 10-нанометрового технологического ...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

По мнению AMD, в ближайшие десять лет основным материалом для процессоров останется кремний Старший вице-президент AMD Форрест Норрод (Forrest Norrod), курирующий направление, связанное с вычислительными центрами, недавно прокомментировал перспективы перехода в процессорах от кремния к графену. По словам Форреста, хотя графен представляется очень перспективны...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Графические процессоры станут для Intel второй категорией продуктов по важности На технологической конференции Citi для инвесторов интересы Intel представлял корпоративный вице-президент Джейсон Гриб (Jason Grebe), который отвечает за сегмент «облачных» решений, но подобная специализация не мешала ему отвечать на широкий спектр вопросов. Когда присутств...

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Однокристальные системы Intel Elkhart Lake замечены в новых патчах драйвера Linux При нынешней ситуации на процессорном рынке корпорация Intel не спешит делиться сведениями о находящихся в разработке продуктах и готовности 10-нм техпроцесса. Известно, что массовый переход на новые технологические нормы должен начаться во второй...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

Samsung поможет Intel справиться с дефицитом процессоров Компания Samsung Electronics согласилась поставлять Intel центральные процессоры для компьютеров, чтобы помочь решить проблему с их дефицитом. Об этом сегодня сообщили многие отраслевые источники. Как известно, компания Intel столкнулась с технологическими проблемами. ...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

Процессоры Intel Core i5 10-го поколения «догонят» Core i7 8000-й серии В обозримом будущем корпорация Intel выведет на рынок семейство настольных процессоров Comet Lake-S вместе с массовой платформой LGA1200. Возглавлять новую линейку будет 10-ядерный чип Core i9, а остальные продукты получат неплохой прирост быстродействия...

Слухи приписывают AMD намерения выпустить в этом году 7-нм гибридные процессоры Представительница AMD на технологической конференции Bank of America Merrill Lynch сообщила, что компания намеревается перевести на 7-нм техпроцесс изготовления и мобильные процессоры марки Ryzen, но когда это случится, она уточнять не стала. В конце концов, настольным 7-нм ...

Архитектура AMD Zen — это надолго. Компания уже работает над Zen 5 Как известно, в следующем году компания AMD выпустит процессоры Ryzen и Epyc, основанные на архитектуре Zen 3. Такие CPU будут производиться по улучшенному семинанометровому техпроцессу и сохранят имеющееся сейчас количество ядер. За Zen 3 выйдет Zen 4, а затем и Zen 5....

5G покажется каменным веком. При переходе на сети 6G нас ждут скорости, измеряемые в Тбит/с Сети 5G только начинают разворачиваться на некоторых рынках, но компании уже приступили к работе над следующим поколением. 6G не стоит ждать в ближайшее время. Согласно заявлению представителя Huawei, до перехода на сеть шестого поколения пройдёт около 10 лет. Однако у...

Наборы модулей памяти Corsair Vengeance LPX DDR4 для систем на процессорах Xeon W-3175X включают по 12 модулей Компания Corsair представила новые наборы модулей памяти серии Vengeance LPX DDR4, предназначенные для высокопроизводительных настольных ПК на процессоре Intel Xeon W-3175X. Модули в наборах поддерживают профили XMP 2.0. Один комплект включает 12 модулей по 8 ГБ, то ест...

Так-Так-Так и никакого Тика. Чем отличаются процессоры Intel Core разных поколений на основе одной архитектуры С появлением процессоров Intel Core седьмого поколения многим стало понятно, что стратегия «Тик-так», которой Интел следовал всё это время, дала сбой. Обещание уменьшить технологический процесс с 14 до 10 нм так и осталось обещанием, началась долгая эпоха «Така» Skylake, в...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Intel не будет выпускать 10-нм процессоры для настольных ПК В последние годы корпорация Intel выпускает свои десктопные процессоры по 14-нм технологическим нормам и, судя по всему, продолжит это делать ещё как минимум пару лет. Многострадальный 10-нм техпроцесс к настоящему моменту нашёл применение...

Лиза Су: будущее Zen лежит в развитии архитектуры, а не только техпроцесса На квартальном отчете генеральный директор AMD Лиза Су (Lisa Su) заявила, что успех процессорной микроархитектуры Zen будущих поколений зависит не сколько от внедрения передовых технологических процессов, сколько от развития самой архитектуры вычислительных ядер....

TSMC анонсирует начало разработки 2 нм техпроцесса Большие и мощные процессоры наконец-то начали выпускаться по 7 нм технологии, однако TSMC смотрит в будущее и уже готовится к разработкам 2 нм технологии.

Гендиректор Intel считает, что компания поспешила с освоением норм 10 нм Во время конференции Brainstorm Tech, организованной изданием Fortune, генеральный директор Intel Боб Свон (Bob Swan) рассказал о текущем состоянии Intel и направлении будущего развития. Отвечая на вопрос о прекращении действия закона Мура, глава Intel признал, что &laq...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Intel анонсировала мобильные процессоры Core 10-го поколения Ice Lake Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus. В основе процессоров 10-го поколения – структура Intel Sunny Cove, которая содержит четыре ядра с восемью потоками и частотой до 4,1 ГГц. Для…

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Видеокарты NVIDIA с 7-нм GPU увидят свет в 2020 году Как сообщают источники, NVIDIA планирует заказывать производство своих 7-нм чипов будущего поколения не у традиционного партнёра, TSMC, а у компании Samsung. Утверждается, что такой выбор вызван тем, что Samsung в рамках 7-нм процесса сможет предложить EUV-литографи...

Intel прекращает выпуск практически всех настольных процессоров Core 7-го поколения Компания Intel опубликовала очередное «Уведомление об изменении продукта», получившее номер 117180-00. В нем производитель сообщает о прекращении выпуска практически всей серии процессоров Core 7-го поколения для настольных ПК (Kaby Lake). Это относится к пр...

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Появилось изображение процессора для настольных ПК AMD Ryzen 3 3200G Picasso В недалеком будущем ожидается выход APU AMD Ryzen 3000 для настольных систем. Эти процессоры с CPU на архитектуре Zen+ и GPU Vega будут выпускаться по 12-нанометровой технологии. Они будут работать на более высоких тактовых частотах по сравнению с 14-нанометровыми APU R...

TSMC объяснила разницу между технологическими нормами 7 нм, 6 нм и 5 нм Они будут внедряться в особом порядке.

Yangtze Memory организовала массовый выпуск 64-слойной памяти 3D NAND Китайская компания Yangtze Memory Technologies (YMTC) приступила к массовому производству 64-слойных микрочипов флеш-памяти TLC 3D NAND. Об этом сообщает ресурс Digitimes, ссылаясь на отраслевые источники. Речь идёт об изделиях ёмкостью 256 Гбит. Упомянутая технология TLC пр...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Новейшая платформа Qualcomm Snapdragon 215 производится по техпроцессу 2012 года Сегодня мы уже писали об однокристальной системе Snapdragon 215, предназначенной для очень дешёвых смартфонов. И вот теперь Qualcomm представила данное решение. Никакого пресс-релиза нет, просто новинка появилась в списке линейки Snapdragon 200. Итак, новая однокристаль...

Видеокарты Nvidia Ampere будут основаны на семинанометровых GPU и выйдут в следующем году Ещё до выхода актуальных видеокарт Nvidia поколения Turing в Сети нередко появлялись данные о том, что поколение будет называться Ampere. В итоге мы получили иное название, но карты Ampere нас всё же ждут. Согласно новым слухам и данным, это будет новое поколение, главн...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Представлена линейка процессоров Intel Xeon W 3000 (Cascade Lake): до 28 ядер, до 64 линий PCIe и цена до $7453 Линейка серверных процессоров Intel разом пополнилась девятью новыми моделями — все они являются представителями серии Xeon W 3000 (семейство Cascade Lake). Ну а самый главный представитель линейки, Xeon W-3275M, вошел в перечень опционального оснащения новейшего ...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

GeForce RTX 3080 могут представить уже в июне На днях мы сообщали о том, что слухи указывают на задержку выхода видеокарт Nvidia следующего поколения, из-за чего модель GeForce RTX 2080 Ti Super всё же должна увидеть свет. Новые данные ничего не говорят о таком адаптере, зато проливают свет на следующее поколение в...

Intel номинально анонсировала 10-нм процессоры Ice Lake-U, первые ноутбуки на них выйдут до конца этого года На сегодняшней пресс-конференции в рамках выставки CES 2019 компания Intel не только представила шесть новых процессоров Coffee Lake-S Refresh, о которых мы уже успели рассказать в предыдущей заметке, но и поведала первые подробности о долгожданных 10-нм процессорах Intel Ic...

Будущие серверные процессоры Intel получат конструктивное исполнение LGA4677 Несмотря на затяжной переход к 10-нм технологическим нормам, планы Intel в корпоративном сегменте расписаны на годы вперёд. К примеру, в 2020-м на рынок поступит платформа LGA4189 вместе с 14-нм процессорами Cooper Lake-SP, а...

Улучшенные 14-нм процессоры Intel Gemini Lake Refresh дебютируют уже в ноябре В августе Intel представила мобильные процессоры Core 10-го поколения семейств Ice Lake-Y и Ice Lake-U, изготавливаемые по 10-нанометровым технологическим нормам. Классом ниже идут энергоэффективные SoC с очень низким энергопотреблением, ориентированные на компактные ПК, гиб...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

AMD: 7-нм EPYC Milan превзойдут 10-нм Intel Ice Lake-SP по соотношению производительности на ватт Серверные процессоры AMD EPYC 2-го поколения обладают внушительным уровнем вычислительной мощности, что подтверждают недавние рекорды. Не в последнюю очередь это заслуга 7-нм техпроцесса TSMC, благодаря которому «красные» добились высокого соотношения производите...

Кристалл контроллера ввода-вывода AMD Ryzen 3000 (Matisse) изготавливается по нормам 12 нм, а не 14 нм Процессоры AMD Ryzen 3000 (Matisse) имеют многокристальную компоновку. Один или два кристалла с CPU Zen 2, изготавливаемые по нормам 7 нм, соседствуют с кристаллом контроллера ввода-вывода. В него встроен двухканальный контроллер памяти DDR4, корневой комплекс PCIe 4.0 ...

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

Утекли спецификации процессоров Intel 10-го поколение Comet Lake После того, как начались продажи процессоров AMD Ryzen 3000, компания Intel решила напомнить о себе и своих будущих процессорах 10-го поколения Comet Lake. Вероятно, поэтому, через день после релиза AMD, спецификации Comet Lake «случайно» попали в Сеть.

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

AMD раскрыла характеристики новых процессоров Ryzen 3000 Вчера на выставке Computex глава AMD Lisa Su раскрыла характеристики нового поколения настольных процессоров Ryzen. Благодаря переходу на новый 7-нм техпроцесс удалось увеличить частоты в режиме boost до 4.6Ghz. Читать дальше →

Очередная революция AMD. Следующее поколение CPU Epyc может получить способность выполнять до четырёх потоков на ядро Как известно, в следующем году нас ждут процессоры AMD с архитектурой Zen 3. Компания уже завершила её разработку, новый техпроцесс там использоваться не будет, так что никаких задержек не ожидается. Однако новые CPU, которые будут использовать Zen 3, могут оказаться ку...

Запуск HEDT-процессоров Intel Core серии X 10-го поколения задерживается Режим ждуна успешно активирован.

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

Meizu Note 9 c 48-мегапиксельной камерой появится в феврале Meizu Note 9 c 48-мегапиксельной камерой может появиться в следующем месяце. Китайский производитель Meizu готовится к пресс-конференции в Пекине, где выпустит ожидаемую Meizu Note 9. Стало известно, что смартфон будет оснащен процессором Snapdragon 675, 48MP Samsung GM 1 ка...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Раскрыты характеристики процессора Intel Comet Lake-U 10-го поколения: 14-нм техпроцесс, 6 ядер, 12 потоков, частота до 4,67 ГГц Многие поклонники Intel с нетерпением ожидают появления на рынке процессоров 10- поколения, выход таких устройств должен состояться уже в скором времени. При этом нужно отметить, первоначально пользователям станут доступны мобильные чипы Ice Lake-U,  изготовленных по нормам ...

Intel признаётся, что будет разрабатывать 10-нм настольные процессоры Те немногочисленные утечки о планах Intel, которые будоражат общественность в последние месяцы, чаще всего упоминают о 10-нм процессорах только для мобильного и серверного применения, а настольный сегмент остаётся за кадром. Даже на недавней квартальной отчётной конференции ...

Технологическая себестоимость 7-нм кристаллов Ryzen не превышает $15 Представители AMD в последнее время часто по своей риторике напоминают руководителей Intel в лучшие, с точки зрения литографии, годы. При малейшей возможности речь заходит о 7-нм технологии и её преимуществах, но руководителей AMD понять можно — долгие годы отсиживаясь на «в...

Стартовало производство опытной серии 1-Гбит STT-MRAM: ёмкость выросла вчетверо Компания Everspin Technologies, которая единственная в мире выпускает чипы магниторезистивной памяти в коммерческих объёмах, некоторое время назад сообщила о начале опытного производства нового поколения микросхем STT-MRAM. Серийная продукция Everspin, которую по её заказу в...

Министр финансов Японии: называйте виртуальные валюты криптоактивами Средствам массовой информации следует отказаться от термина “виртуальные валюты” и использовать вместо него понятие “криптоактивы”. Об этом в ходе недавней пресс-конференции заявил вице-премьер и по совместительству министр финансов Японии Таро Асо, сообщает Cointelegraph. Н...

Intel подтвердила подготовку Comet Lake: десятку ядер в массовом сегменте быть! Уже довольно давно циркулируют слухи, что компания Intel до 10-нм процессоров Ice Lake выпустит ещё одно семейство 14-нм процессоров, которое будет называться Comet Lake. И теперь эти слухи косвенно подтвердила сама Intel: упоминание о Comet Lake обнаружилось в новых графиче...

Процессор AMD Athlon Gold 3150U показал высокий уровень в Geekbench В базе данных тестового пакета Geekbench появилась информация о процессоре AMD Athlon Gold 3150U. Хотя ее ещё официально не анонсировали. Новинка относится к поколению Raven Ridge, оснащена двумя ядрами и четырьмя потоками. Процессор работает на базовой тактовой частоте 2,4...

Intel рассказала про Ice Lake: перспективный 10-нм процессор для ПК Компания Intel рассказала первые подробности о своих перспективных процессорах Ice Lake-U, которые будут выпускаться по 10-нм технологическому процессу и появятся в готовых системах к концу этого года. Нас ждёт новая микроархитектура вычислительных ядер, новое графи...

EK представляет водоблоки серии EK-Quantum Vector Strix RTX серии D-RGB EK Water Blocks представляет новое поколение водяных блоков EK-Vector RTX Strix D-RGB, разработанных для графических карт серии ROG Strix GeForce RTX на основе графического процессора Turing. Водоблоки серии EK-Quantum Vector Strix RTX оснащены четырьмя интегрированными адре...

AMD уверена в превосходстве второго поколения своих 7-нм процессоров над 10-нм продуктами конкурента Intel уверена, что наверстает 5 нм соперника после перехода на 7 нм.

Ryzen Pro второго поколения вот-вот появятся в ноутбуках Представлены процессоры Ryzen 7 Pro 3700U с четырьмя ядрами, восемью потоками и частотой 2,3 ГГц, Ryzen 5 Pro 3500U (четыре ядра/восемь потоков, 2,1 ГГц) и Ryzen 3 Pro 3300U (четыре ядра/четыре потока, 2,1 ГГц). Одна из причин, вызывавших недовольство у клиентов, которые...

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Не ждите смартфона Xiaomi Mi 9 с поддержкой 5G Вчера компания Xiaomi представила в Европе смартфон Xiaomi Mi Mix 3 с поддержкой 5G. Аппарат перевели на новую платформу, увеличили аккумулятор и цену. В остальном же это всё тот же слайдер, который нам уже хорошо знаком. На фоне такого шага возник вопрос касательно по...

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Samsung представила процессор Exynos 990 и модем 5G Exynos Modem 5123 В сентябре в модельном ряду чипов Samsung появилась новинка Exynos 980, ставшая первым процессором производителя со встроенным 5G-модемом. А сегодня состоялся анонс его продолжения — Exynos 990. Но здесь решено отказаться от интеграции модуля связи для сетей пятого пок...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Подробности о процессорах AMD с архитектурой Zen 3 и Zen 4 На конференции Консультативного совета HPC-AI в Великобритании AMD обнародовала некоторые подробности о своих будущих архитектурах Zen 3 и Zen 4, а также установила временные рамки для процессоров следующего поколения Epyc Milan и Genoa. AMD собирается обновлять свою архит...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

AMD развеяла миф о четырёх потоках на ядро в процессорах с архитектурой Zen 3 Самым настойчивым слухом последних месяцев, имеющим отношение к будущим процессорам AMD, можно считать переход в рамках архитектуры Zen 3 от двух потоков на ядро к четырём. Предполагалось, что подобная метаморфоза принесёт пользу в серверном сегменте, где производительность ...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

AMD выпустит новые HEDT-процессоры Ryzen Threadripper с большим числом ядер Сегодняшнее выступление главы AMD Лизы Су было полностью посвящено 7-нм продуктам компании для массового рынка, включая процессоры Ryzen 3-го поколения и видеокарты Radeon RX 5700 (Navi) на базе прогрессивной архитектуры RDNA, однако совсем...

Планы AMD: CPU с архитектурой Zen 4 — до 2022 года, GPU с архитектурой RDNA2 — до 2021 года Компания AMD опубликовала документ для инвесторов, в котором можно найти данные о ближайших планах производителя. Они в целом совпадают с тем, что мы уже знали, но есть немного новой информации. Итак, до 2022 года года мы увидим процессоры Ryzen не то...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

10-нанометровый процессор Intel совершенно нового поколения впервые засветился в тесте Процессоры Intel Tiger Lake придут на смену поколению Ice Lake в следующем году. Первые CPU Tiger Lake появятся в мобильном сегменте — о настольном пока можно даже не упоминать. Несмотря на то, что поколение Ice Lake только начало появляться на рынке в готовых про...

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

Виталик Бутерин рассказал, как произойдет переход к Ethereum 2.0 Основатель Ethereum Виталик Бутерин попытался рассеять сомнения сообщества относительно долгосрочного будущего платформы, пролив в частности свет на некоторые детали предстоящего перехода с алгоритма Proof-of-Work (PoW) на Proof-of-Stake (PoS) и итоговому переходу к Ethereum...

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

Xiaomi продолжает разрабатывать SoC Surge S2 Один из топ-менеджеров китайской компании Xiaomi прояснил судьбу следующего поколения фирменной однокристальной системы Xiaomi Surge.  По словам директора по продукту Ван Тен Томаса (Wang Teng Thomas) платформа Surge S2 не отменена и продолжает разрабатываться ком...

Navi получили идентификаторы — рынок видеокарт в ожидании новых продуктов AMD Похоже, приближается запуск долгожданного графического процессора AMD Navi, который может восстановить соперничество на рынке игровых видеокарт. Как правило, перед выходом любого важного полупроводникового продукта появляются его идентификаторы. В последнем журнале изменений...

Intel обещает освоить 7-нм технологические нормы в 2021 году На мероприятии Investor Meeting 2019 корпорация Intel поделилась планами по выпуску продукции и переходу на новые производственные нормы в течение ближайших пары лет. Как известно, первые 10-нм CPU Intel Ice Lake-U в составе...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

+2 CPU линейки Comet Lake. Intel выпустила двухъядерные Pentium Gold 6405U и Celeron 5205U для ноутбуков В официальной базе данных процессоров Intel прописались два новых процессора: Pentium Gold 6405U и Celeron 5205U. Новинки относятся к семейству Comet Lake и предназначены для применения в ноутбуках. Впрочем, ожидается их использование также и в мини-ПК NUC. Обе модели...

Представлена спецификация CXL 1.0 Более четырех лет назад компания Intel начала разработку протокола высокоскоростных внутрисистемных соединений Compute Express Link (CXL). На днях спецификация CXL 1.0 была передана консорциуму, в который входят Alibaba, Cisco, Dell EMC, Facebook, Google, HPE, Huawei, I...

Представлена Samsung Exynos 980 — первая SoC Samsung со встроенным модемом 5G Компания Samsung Electronics представила свою первую мобильную однокристальную систему с интегрированной поддержкой сетей 5G, которая получила название Samsung Exynos 980. Samsung Exynos 980 производится по нормам 8-нанометрового технологического процесса и включает два...

Intel рассказала о будущих процессорах Xeon Scalable (Cooper Lake) Весной этого года Intel представила второе поколение серверных процессоров Xeon Scalable под кодовым обозначением Cascade Lake. В него вошли несколько моделей семейства Xeon Platinum 9200 с количеством ядер от 32 до 56 в...

В обозримом будущем второе место на рынке смартфонов Apple не светит Специалисты японской инвестиционной компании Yamanashi Kyoto Management прокомментировали результаты прошедшего квартала на рынке смартфонов. Как известно, статистические данные показывают, что китайская компания Huawei Technologies превзошла Apple по объему продаж и вы...

Официально: Redmi Note 8 получит чипсет Snapdragon 665 и счетверённый модуль камеры Компания Xiaomi решила использовать для смартфонов серии Redmi Note 8 различные процессоры. Так, для модели Redmi Note 8 Pro будет использоваться чип MediaTek Helio G90T. Обычный Redmi Note 8 получит процессор Snapdragon 665. Эта информация появилась в официальной учетной за...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Флагманский Xiaomi CC9 Pro предстал на серии рекламных постеров 5 ноября на китайский рынок выходит своего рода флагманский смартфон Xiaomi CC9 Pro, который поступит и в международную розницу под именем Xiaomi Mi Note 10 с задержкой всего в 10 дней. Аппарат имеет ряд примечательных особенностей, которые мы уже не раз освещали. Сертификац...

Сидорин: KnowledgeConf — это про то, как сохранить знания при средней продолжительности работы на одном месте в 2-3 года В современном мире продукты выпускаются все быстрее, а люди задерживаются в компании в среднем всего на пару лет. О том, как эти факторы влияют на участие сотрудников в процессе обмена знаниями, мы поговорили с одним из ключевых спикеров KnowledgeConf Алексеем Сидориным, рук...

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

10-нанометровым настольным CPU Intel быть. Только, видимо, не раньше, чем через два года Вчера в Сеть попала информация о том, что Intel якобы отказалась от 10-нанометрового процесса для настольных CPU и в 2022 году перейдёт сразу на нормы 7 нм. И могло бы быть вполне логичным шагом. Однако теперь в ситуация вмешалась сама Intel, решив прокомментировать дан...

Системная плата для новых CPU Ryzen Threadripper впечатляет подсистемой питания Уже в этом месяце компания AMD представит процессоры Ryzen Threadripper третьего поколения. Если верить недавним слухам, 5 ноября нам покажут Threadripper 3960X, Threadripper 3970X и Threadripper 3990X, при этом первые два поступят в продажу 19 ноября, а флагман задержи...

Intel Tremont — новая микроархитектура для энергоэффективных процессоров В рамках отраслевой конференции Linley Fall Processor Conference компания Intel рассказала первые подробности о Tremont — следующей и очень перспективной микроархитектуре для будущих энергоэффективных x86-совместимых процессоров. То есть, Tremont — дальнейшее развитие микроа...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

Процессоры Apollo Lake подвержены деградации, Intel обновила степпинг чипов для устранения проблемы Как подробно описано в недавнем документе Уведомление об изменении продукта (Product Change Notification, PCN), компания Intel обновляет четыре своих процессора Celeron и Pentium линейки Apollo Lake из-за проблем с деградацией чипов. Это та же проблема, которая первоначально...

Huawei P Smart 2019 и его функции Компания Huawei выпустила современный смартфон. Сзади расположена стеклянная крышка, доступная в нескольких вариантах расцветки, включая градиентный с переходом от синего к бирюзовому. Смартфон оснащён классическим разъёмом micro-USB и 3,5-м аудиовыходом. Также он оборудова...

YouTube хочет отказаться от «дизлайка» Главный менеджер по продуктам YouTube Том Леанг (Tom Leung) рассказал в недавнем ролике на канале Creator Insider, что руководство видеохостинга может отказаться от кнопки «Дизлайк». Данная идея направлена на борьбу против массового занижения рейтинга роликов конкретных кана...

Intel представила 25 новых процессоров для настольных ПК Вместе с шестью мобильными процессорами для высокопроизводительных ноутбуков Intel представила 25 моделей CPU для настольных ПК. Все они относятся к девятому поколению процессоров Core (семейство Coffee Lake Refresh), но фундаментально базируются на архитектуре Skylake ...

AMD обещает представить мобильные 7-нм процессоры Как уже отмечалось при разборе квартальной отчётной конференции AMD, главе компании не удалось уйти от ответа на вопрос о сроках доступности 7-нм графических процессоров с более высоким быстродействием, и Лиза Су (Lisa Su) просто заверила публику, что такие продукты в семейс...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

5-ядерный процессор Intel Lakefield замечен в бенчмарке В рамках конференции Hot Chips 31 компания Intel рассказала о своей новой технологии Foveros 3D, а также о будущих процессорах Lakefield, которые будут использоваться в ультрабуках с низким энергопотреблением. И на этот раз в базе данных GB5 засветился один из представителей...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

Главный эксклюзив Xbox запустили на Galaxy S10 На прошлой неделе состоялась конференция Google, на которой компания подучилась своим видением игрового будущего и рассказала более подробно об очень перспективном стриминговом игровом сервисе Stadia, заявив, что он по-настоящему заработает уже в ноябре. По предзаказу пак F...

Прощай, LGA 1151. В следующем году процессоры Intel Comet Lake потребуют нового сокета Как известно, настольных процессоров Intel семейства Ice Lake в ближайшее время ждать не стоит. Судя по всем слухам и официальным заявлениям, они выйдут в лучшем случае в начале 2021 года, хотя сегодняшние слова главы Intel заставляют засомневаться в этом. Возможно, Int...

Будущие Zen ориентируются на изменения архитектуры Будущее процессоров Zen связано с изменениями архитектуры, а не только техпроцесса производства. Об этом сообщила исполнительный директор AMD Лиза Су.

Компания Intel напомнила о процессоре с 56 ядрами и TDP 400 Вт Корпорация Intel объявила, что процессоры Intel Xeon Scalable следующего поколения (известные под кодовым названием Cooper Lake) будут насчитывать до 56 процессорных ядер и иметь встроенные средства ускорения обучения ИИ. Эти процессоры, рассчитанные на «стандартн...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

ScalaConf 2019 — проповедник Джон, Святой Грааль и «Профессор Hаskell» 26 ноября в Инфопространстве пройдет ScalaConf 2019 — профессиональная конференция для Scala-разработчиков. Возможно, первая в России. Накануне события мы попросили Вадима Челышова (dos65), руководителя ПК ScalaConf 2019, рассказать о докладах и концепции конференции. Вот...

Процессоры Intel Tiger Lake могут обеспечить технологический прорыв благодаря зрелому 10++ нм техпроцессу - wccftech Скоро Интел снова будет впереди планеты всей

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Ядер — больше, частота — ниже: базовая частота 64-ядерного процессора AMD EPYC нового поколения составила всего 1,4 ГГц Компания AMD собирается представить серверные процессоры EPYC нового поколения Rome в середине текущего года. Одной из топовых моделей серии станет 64-ядерная, и она уже засветилась в базе данных SiSoftware Sandra, благодаря чему стали известны и характеристики CPU, и п...

Huawei анонсировала 7-нм восьмиядерный процессор Kirin 810 с особым акцентом на ИИ Как вы уже знаете, китайский технологический гигант по имени Huawei сегодня провел презентацию, на которой представил несколько своих новинок. Мы уже рассказали про продолжение одного из лучших планшетов на Android, а теперь хотим рассказать про совершенно новый мобильной п...

После Ice Lake. В Сеть попали результаты тестирования процессора Intel Tiger Lake Пока процессоры Intel Ice Lake ещё не появились в серийных продуктах, в Сеть попадает всё больше информации об их преемниках. О поколении Tiger Lake процессорный гигант рассказал ещё в мае. Нам известно, что эти CPU будут ориентированы на мобильные ПК и выйдут в двух ли...

Названы сроки следующего рывка в производительности компьютерных процессоров Разработчик Джим Келлер (Jim Keller), известный созданием архитектуры AMD Zen, на основе которой работают процессоры Ryzen, рассказал о будущем сверхпроизводительном поколении CPU. Похоже, нас ждёт возрождение закона Мура.

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Утечка дает представление о процессорах Intel Ice Lake-SP и Cooper Lake-SP, включая сроки выхода В сети появились сведения о новейших серверных процессорах Intel. Выход процессоров Cooper Lake-SP, которые станут первыми представителями новой платформы Whitley, планируется во втором квартале 2020 года. Для Cooper Lake-SP будет характерно значение TDP 300 Вт. Ядер бу...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Слухи: Intel полностью отказывается от планов по выпуску 10-нм процессоров в настольном сегменте 10-нм технологические нормы не несут никаких преимуществ.

Смартфон Xiaomi Mi 9 с супер камерой Старший медиа-инженер Xiaomi Цзоу Лунцзюнь (Zou Longjun) сделал на Weibo огромный намек на то, что Xiaomi Mi 9 будет поставляться с тройной камерой. Он сказал: «Mi 9 очень хорош и стоит его купить». Он добавил: «Знаете, вы должны сделать три фотографии, что...

[Перевод] Очередной шаг к концу закона Мура Samsung и TSMC переходят на технологический процесс 5 нм Два крупнейших производителя — Taiwan Semiconductor Manufacturing Co. (TSMC) и Samsung в апреле анонсировали о восходе на следующую ступеньку лестницы закона Мура. Сначала выступила TSMC, объявив о переходе техпроцесса...

Microsoft не будет говорить о Windows Lite и WCOS на Build 2019 Windows Lite – это попытка Microsoft модернизировать Windows для устройств с низким уровнем обслуживания, создав операционную систему, которую можно быстро и легко обновить, не требуя от конечного пользователя особого внимания или участия.Для Microsoft создание такой операци...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

6 ядер, 12 потоков и частота почти 5 ГГц: в Сети засветился мобильный процессор Intel Core i7-10710U (Comet Lake-U) Линейка процессоров Intel Core 10-го поколения будет состоять из двух семейств процессоров: 10-нанометровых Ice Lake-U (они уже массово производятся и поставляются изготовителям ноутбуков) и 14-нанометровых Comet Lake-U — идеологических преемниках Whiskey Lake-U и...

Разработчики рассказали об особенностях игры Metro Exodus: смена времени суток и времён года, различные стили прохождения, кастомизация вооружения За две недели до официального релиза игры Metro Exodus разработчики решили очередной раз привлечь внимание общественности к своему проекту (хотя после недавней новости об эксклюзивности игры для Epic Games Store страсти ещё не улеглись) и рассказали об основных особенностях ...

[Перевод] Почему у CockroachDB меняют Open Source-лицензию Прим. перев.: Гибкость и свободы, предлагаемые Open Source-лицензиями, позволили современным поставщикам крупных SaaS-решений поставить под большой вопрос успешность бизнеса у небольших компаний, стоящих за разработкой востребованных Open Source-проектов. В этой заметке от а...

Появились первые тесты производительности MediaTek Dimensity 1000 В просторах интернета появились первые тесты нового топового процессора MediaTek Dimensity 1000. Процессор MediaTek Dimensity 1000 уже успел побывать во всех популярных бенчмарк-тестах. В тесте Geekbench процессор набирает 3811 баллов в одноядерном режиме и 13136 баллов в ...

Samsung начала выпуск 12-гигабитных микросхем памяти LPDDR5 Samsung объявила о начале массового производства микросхем оперативной памяти стандарта LPDDR5 ёмкостью 12 Гбит (1,5 ГБ), предназначенных для смартфонов и других мобильных устройств. Чипы изготавливаются по технологическим нормам 10-нм класса и обеспечивают скорость...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

Intel Ice Lake. 10 нм — в массы Итак, подходит к логическому завершению одна из самых долгоиграющих интриг в новейшей технологической истории Intel — «когда же, наконец, в серию пойдет 10 нм». Перипетии этой истории у нас еще в памяти, нет смысла их повторять. А завершается все, как ему и положено заверш...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

AMD: будущее — за чиплетами, не надо гнаться за нанометрами Исполнительный директор AMD Лиза Су (Lisa Su) на ежегодном собрании акционеров уже заявила, что передовые компоновочные решения вроде использования «чиплетов» станут одной из основ успеха компании в будущем. Технический директор Марк Пейпермастер (Mark Papermaster) в очередн...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Онлайн-магазины утверждают, что у процессора Ryzen 9 3800X будет 16 ядер при частотах 3,9-4,7 ГГц До анонса процессоров AMD Ryzen третьего поколения осталось совсем немного. Напомним, это должно произойти на выставке Computex в конце месяца. Несмотря на это, достоверных данных о процессорах до сих пор нет, причём это касается и частот, и ядер. И вот сегодня в Сети п...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Samsung готовится к переходу на новую технологию производства DRAM-памяти Переход на 16-нанометровые нормы ожидается в 2020 году, говорят аналитики Digitimes Research.

Финансовые компании и облака: трудности перехода Ведущие технологи нескольких финансовых компаний обсудили на недавнем New York Enterprise Tech Meetup вопросы, связанные с модернизацией ИТ-инфраструктуры. Помимо этого они рассказали о проблемах, которые препятствуют переходу ...

Mobile People Talks #5: Android Q по следам Google I/O 19 В начале мая в солнечной Калифорнии прошла самая большая Google-конференция - Google I/O, в связи с этим, Александр. который туда ездил, очень хочет рассказать как там было весело, но Кирилл перехватывает инициативу и разговор плавно уходит в технологические дебри.

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Официально: следующее поколение GPU Nvidia будет выпускаться Samsung Слухи о причастности Samsung к следующему поколению графических процессоров Nvidia появлялись и ранее, а теперь эту информацию подтвердила и сама Nvidia. Подробнее об этом читайте на THG.ru.

АйТеко представила новые решения на пресс-конференции Группа компаний «АйТеко» провела пресс-конференцию «Как стек ИТ-технологий создает новую цифровую реальность?». Топ-менеджеры компаний, входящих в ГК, и ключевые эксперты рассказали о стратегических трендах ИТ-рынка, технологическом стеке, на базе которого разработана...

«В данный момент дверь закрыта»: Глава Sony Pictures прокомментировал возможность возврата Человека-Паука в Marvel и рассказал о собственной киновселенной, включающей новые фильмы и пять-шесть сериалов В конце августа стало известно, что Sony и Disney не смогли договориться о разделении доходов от будущих фильмов про Человека-Паука, что привело к уходу Кевин Файги с поста продюссера, а Spider-Man — из киновселенной Marvel. Какое-то время фанаты надеялись, что бывшие ...

Вреда от пластика в питьевой воде не обнаружено По оценкам экологов, к 2017 году в мире было произведено примерно 8,3 миллиарда тонн пластика, а выброшено — около 6,3 миллиарда тонн. Пластиковые отходы в природе распадаются на микрочастицы размером менее 0,5 миллиметра, которые загрязняют воду, почву и воздух. В водопрово...

Intel показала дискретную видеокарту и анонсировала мобильные Coffee Lake Refresh Компания Intel в рамках конференции GDC 2019 провела собственную презентацию, на которой сделала ряд важных анонсов. И, пожалуй, самым интересным из них стала демонстрация изображений будущей дискретной видеокарты Intel Graphics Xe. Как и предполагал ранее один из поклоннико...

Intel рассказала о 10-нм процессорах Ice Lake-U с GPU Gen11 В преддверии конференции на Computex 2019 компания Intel рассказала о своих будущих 10-нм мобильных процессорах. Чипы Ice Lake будут основаны на архитектуре Intel Sunny Cove и будут включать в себя мощную интегрированную графику Gen11. Это позволит чипам справляться с…

Серийное производство электроники в России. Как мы делали телеметрию для вендинга Часто встречаемся с мнением, что производить в России электронику – занятие бессмысленное. Дорого, долго, плохо и вообще лучше делать в Китае. В этой статье мы бы хотели поделиться опытом разработки и серийного производства коммерческой электроники – модулей МТУТА. Мы ра...

Xiaomi прокомментировала падение продаж смартфонов в ... Вчера Xiaomi поделилась финансовыми итогами третьего квартала нынешнего года. Выручка составила $7,634 млрд и это на 3,3% больше, чем компания получила по результатам второго квартала нынешнего года. Чистая же прибыль составила $358,43 млн и прирост равен 28,8%. Отчасти тако...

AMD не удаётся справиться с дефицитом флагманских процессоров Ryzen 3000 Ситуация с доступностью старшего процессора семейства Matisse пока оставляет желать лучшего, и ярким доказательством тому могут служить отдельные лоты на eBay, которые предлагают приобрести процессор Ryzen 9 3900X за $700, причём за дополнительные $353 предусмотрена доставка...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

Переработанное меню «Пуск» в Windows 10 выглядит лучше, чем настоящее Давние пользователи Windows наверняка помнят, что меню «Пуск» претерпело ряд изменений на протяжении многих лет, и похоже, что эта функция еще раз изменится в Windows 10.Microsoft, тем не менее, планирует ряд усовершенствований здесь и там, и недавно выпущенная сборка Window...

Придется запастись терпением. Не ждите возможность редактировать твиты в обозримом будущем Возможность редактировать твиты — самая ожидаемая и запрашиваемая вот уже который год пользователями соцсети Twitter функция, но разработчик упорно этому сопротивляется. И хотя в начале этого года основатель и глава Twitter Джек Дорси дал пользователям надежду на добавление ...

AMD анонсировала процессоры Ryzen 3000 PRO, APU Ryzen 3000 PRO с GPU Vega и Athlon PRO Компания AMD анонсировала линейку процессоров Ryzen PRO нового поколения. Эта линейка включает чипы Ryzen 3000, гибридные процессоры APU Ryzen 3000 с графикой Radeon Vega и AMD Athlon PRO. Линейка Ryzen PRO состоит из настольных процессоров, изготовленных по нормам 7-наномет...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Xiaomi подтверждает второе поколение игрового смартфона Black Shark Похоже, что Xiaomi еще не закончила с игровыми смартфонами, довольно нишевая линейка продуктов, так как китайская компания подтвердила, что ее смартфон Black Shark второго поколения дебютирует этой весной, сообщает ITbukva.com.Спустя девять месяцев после того, как оригинальн...

Утечка раскрыла внешний облик iPad mini 5 В конце декабря прошлого года авторитетный аналитик Минг Чи-Куо рассказал о планах Apple на компактный планшет iPad mini. Эксперт уверен, что компания выпустит долгожданное продолжение линейки, даже несмотря на то, что последний раз iPad mini обновлялся в 2015 году. Правда,...

Intel раскрывает планы на 10-нм техпроцесс: Ice Lake — в 2019, Tiger Lake — в 2020 10-нм процесс Intel готов к полномасштабному внедрению Первые массовые 10-нм процессоры Ice Lake начнут поставляться в июне В 2020 году Intel выпустит преемника Ice Lake — 10-нм процессоры Tiger Lake На прошедшем сегодня ночью мероприятии для инвесторов Intel сделала неско...

[Перевод] Intel Comet Lake-U и Comet Lake-Y: до 6 ядер для тонких и легких ноутбуков Подводя итоги нескольких весьма напряженных недель, Intel анонсировала вторую половину своего стека процессоров Core 10-го поколения с низким энергопотреблением. С новым именем Comet Lake, процессоры мощностью до 15 Вт основаны на существующей архитектуре процессора Intel Sk...

Intel готовится рассказать о совершенно новых процессорах В начале года Intel представила уникальные процессоры Lakefield. Они не похожи ни на одни другие потребительские CPU. Напомним, это пятиядерные процессоры, которые содержат одно ядро Sunny Cove (Ice Lake), четыре ядра Tremont (следующее поколение «атомных» C...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Intel рассказала о Lakefield чуть больше Мы по-прежнему не знаем, в компьютерах какого рода этот процессор будет использоваться, но предполагаем, что ими станут тонкие и легкие ноутбуки. В компании Intel сообщило, что поставки гибридной архитектуры Lakefield начнутся в четвертом квартале. Причем дальнейшие верси...

СМИ: японские власти инициировали внеплановую проверку биткоин-бирж Агентство финансовых услуг Японии (FSA) начало внеплановую проверку двух местных криптобирж на соблюдение ими норм защиты клиентов и правовое соответствие. Об этом сообщает Cointelegraph со ссылкой на Reuters Japan. Анонимный источник рассказал журналистам, что расследование...

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

Озеро янтарного виски для Apple. От Intel Хороший виски найти непросто! На первый взгляд, Amber Lake Y и Whiskey Lake U (“новые” архитектуры процессоров 8-го поколения) ничем не отличались от уже существующих процессоров Kaby Lake R. Отличия все-таки были, но вовсе не те о которых сообщила Intel в июне 2018 года в Т...

Samsung начинает массовое производство 12 Гб памяти LPDDR5 Переход на мобильную связь пятого поколения требует от устройств всё больших объёмов памяти.

До 10 ядер, поддержка Hyper-Threading даже у Pentium и новый разъем LGA1200. Какими будут новые 14-нм настольные процессоры Intel 10-го поколения (Comet Lake-S) В минувшие выходные ресурс WCCFTech опубликовал большую порцию слайдов Intel, раскрывающих массу технических подробностей о будущем обновлении массовой настольной платформы. Речь о грядущем семействе 14-нм процессоров Comet Lake-S, которые выйдут в первой половине следующего...

Федеральная торговая комиссия США готова раздробить крупные технологические компании Джо Саймонс (Joe Simons), глава Федеральной торговой комиссии (FTC) США, заявил, что готов разбить крупные технологические фирмы «в случае необходимости». Эту позицию разделяют и других американские законодатели, которые все более настороженно относятся к ра...

Intel: мобильные чипы Core девятого поколения появятся «очень, очень скоро» Готовящиеся к выпуску мобильные чипы, включая Core i9, будут базироваться на существующей архитектуре Coffee Lake. Компания Intel подтвердила, что мобильная версия чипов Core 9-го поколения серии H дебютирует во втором квартале текущего года и скорее всего ближе к его нач...

Дональд Трамп попросил Тима Кука помочь с 5G в США Как мы знаем, президент США Дональд Трамп выступает за скорейшее внедрение мобильной связи пятого поколения в США. Более того, он даже хочет внедрить технологию 6G «как можно скорее», хотя она всё ещё лишь разрабатывается. Продолжая продвигать идею скорейшего внедрения связи...

Project xCloud достиг нового этапа разработки В прошлом году компания Microsoft анонсировала сервис потоковой передачи игр с кодовым названием Project xCloud, публичное тестирование которого должно начать уже до конца 2019 года. К текущему моменту платформа достигла важного этапа «TakeHome», в рамках которой сотрудники...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Рост доли во всех сегментах рынка является для AMD приоритетом Укрепление позиций AMD во всех сегментах рынка, где представлена её продукция, является для компании одним из приоритетов на данном этапе, как пояснил финансовый директор Девиндер Кумар (Devinder Kumar). Даже в презентации для инвесторов, которая обновилась на прошлой неделе...

Новая архитектура Intel будет «заметно крупнее» Sunny Cove Долгие годы Intel остаётся привязанной к архитектуре, впервые выпущенной с процессорами Skylake. Её можно встретить в чипах Kaby Lake, Coffee Lake, Coffee Lake-R (Refresh) и нынешней Comet Lake. Переход между этими поколениями даёт очень небольшой прирост внутренней про...

CES: AMD анонсирует переход на 7 нм Этот шаг призван обеспечить компании технологическое превосходство перед Nvidia и Intel в борьбе за постоянное уменьшение нормы проектирования. Свою главную презентацию на международной выставке потребительской электроники CES в Лас-Вегасе компания AMD использовала для оф...

Intel заявляет о массовой доступности 10-нм процессоров Ice Lake-U во втором полугодии Вместе с оглашением финансовых результатов первого квартала представители Intel также рассказали об успехах в освоении 10-нанометровых технологических норм. Корпорация уже начала квалификационные испытания чипов Ice Lake-U, инженерные образцы которых рассылаются партнёрам ко...

CES 2019: Intel представила первые 10-нм процессоры Ice Lake Компания Intel начала свою конференцию в рамках ежегодной выставки потребительской электроники CES 2019 с анонса своих 10-нм процессоров Ice Lake. Новые чипы получили большое количество усовершенствований по сравнению с предшественниками, и, как ожидается, появятся в состав...

Процессоры Intel Rocket Lake 11-го поколения получат до 8 ядер и устаревший 14 нм техпроцесс В последнее время Интел не радует своих клиентов

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

AMD поручила ASMedia разработку массовых чипсетов 500-й серии Этим летом Advanced Micro Devices планирует вывести на рынок третье поколение центральных процессоров Ryzen, несущих с собой поддержку PCI Express 4.0 в массовый настольный сегмент. Вместе с ними в продажу поступят новые системные...

В Tether объяснили подозрительные транзакции ростом предложения USDT на блокчейне Ethereum Компания Tether намерена увеличить объем предложения USDT на блокчейне Ethereum, сообщил технический директор аффилированной с Tether биржи Bitfinex Паоло Ардоино. Так он объяснил недавние крупные транзакции USDT, которые некоторым пользователям показались подозрительными. W...

Samsung представила процессор Exynos 990 и модем 5G Exynos Modem 5123 для будущих флагманов Samsung анонсировала чипсет Exynos 990 и модем 5G Exynos Modem 5123 для будущих мобильных устройств. Exynos 990 выполнен по нормам 7-нанометровой технологии с применением фотолитографии в глубоком ультрафиолете (EUV). Новый чип получил 8 вычислительных ядер, сгруппиров...

Microsoft рассказала об Xbox нового поколения Новая высокотехнологичная игровая консоль от компании Microsoft получила кодовое название Project Scarlett. По словам компании, устройство создается на базе процессора AMD Zen 2 и графической архитектуры Radeon RDNA, и будет в четыре раза более мощным, чем Xbox One X. В Proj...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

ИТ-конференции и мероприятия 19 - 25 августа 2019 года ИТ-ивенты августа порадуют долгожданными фестивалями, новинками от разработчиков технологических продуктов, а также возможностями для погружения в технологическую среду и приобретения новых навыков. Узнать, где можно качественно отдохнуть и какие конференции самые актуальные...

Видео: подготовка к массовым сражениям в дополнении Band Of Bastards к Kingdom Come: Deliverance Warhorse Studios после релиза Kingdom Come: Deliverance объявила о поддержке игры дополнениями. Разработчики уже подготовили к выпуску третье DLC под названием Band Of Bastards. В честь этого они опубликовали трейлер, где показали дату релиза и сюжетную завязку...

Профессор Massachusetts Institute of Technology представил будущее протезирования на конференции TED Интерес к разработке ассистивных устройств, а в особенности к технологиям на которые они опираются, давно вышел за рамки отдельно взятой отрасли. Прикладные решения здесь, основанные на последних достижениях науки, способны взбудоражить умы всякого любознательного человека. ...

TSMC готова к массовым поставкам 7-нм продуктов второго поколения AMD ещё нет.

Второе поколение Oppo Reno с четырьмя камерами Oppo Reno 2 очень похож на оригинальный Reno, и поставляется с внушительно выглядящей настройкой четырех камер. С этим телефоном вы получите 48 МП камеру f / 1,7 с 1 / 2,0-дюймовым сенсором Sony IMX586 и OIS, 13 МП телеобъектив f/2,4 с 1/3,4-дюймовым объективом, 8 МП широкоу...

Блокчейн здесь только для пиара и хайпа? Недавно компания «Ренессанс Страхование» опубликовала статью, в которой рассказала о программном продукте для страхования грузоперевозок. Этот продукт мы разработали на основе платформы Hyperledger Fabric. Вокруг статьи развернулись дискуссии между криптоскептиками и крипт...

HEDT-процессоры Intel Cascade Lake-X войдут в линейку Core 10-го поколения Этой осенью корпорация Intel выпустит очередное обновление HEDT-платформы LGA2066 в виде семейства процессоров Cascade Lake-X. Как и нынешние Skylake-X Refresh, новые CPU будут изготавливаться по улучшенным 14-нм нормам и предложат до 18 физических...

Acer Predator Helios 700 и 300: игровые ноутбуки с запасом на будущее На ежегодной пресс-конференции в Нью-Йорке компания Acer представила два игровых ноутбука линейки Predator: новый Predator Helios 700 и обновленный Helios 300. Ноутбуки оснащены процессорами Intel Core девятого поколения и графикой вплоть до GeForce RTX 2080.

Процессоры Apple A13 уже делают Компания готовится к массовым поставкам процессоров для будущих iPhone

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Что делать с людьми, которых заменят роботы? В этой предновогодней публикации мы решили немного порассуждать о будущем в мире роботов и о роли человека в нем. Предсказывать будущее в наши дни стало абсолютным must have среди экспертов. Когда технологии меняют мир настолько стремительно, очень хочется заглянуть хотя ...

Samsung раскрывает подробности о Galaxy S11 О Samsung Galaxy S11 появляется все больше подробностей Смартфон Samsung Galaxy S11 еще даже не анонсирован (да и совсем не факт, что называться он будет именно так, хотя вероятность крайне высока). Однако же, кажется, завеса тайны начала приоткрываться. Ведь южнокорейская к...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Intel без лишнего шума добавляет поддержку Optane для процессоров Pentium и Celeron Но только для моделей 8 поколения и выше.

Удаляем лишние фичи и продукты, чтобы улучшить бизнес Юрий Андрейкович, Senior Product Manager в Wrike, рассказал на конференции ProductSense в Минске, когда и зачем надо удалять фичи из продукта. Я работаю в Wrike — это сервис для совместной работы и управления проектами. В Wrike более миллиона пользователей, но наши прямые...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

Завтра Huawei представит новый процессор Kirin Как вы уже наверняка знаете, заявления о том, что Huawei при производстве процессоров для своих смартфонов полностью полагается на себя, оказались неправдой. Да, ее «дочка» HiSilicon разработала часть важных компонентов для чипсетов самостоятельно, но это было сделано с исп...

Гибридные чипы AMD Renoir протестировали в 3DMark 11 В ближайшем времени AMD планирует представить гибридные процессоры Renoir, которые будут совмещать процессорные x86-ядра на микроархитектуре Zen 2 и графический модуль Radeon Vega. Новые APU будут производится по 7-нм технологическим нормам на линиях...

Береги свой бизнес смолоду или как создать бережливый стартап Итак, вы создали свою небольшую ИТ-компанию и, может быть, даже называете её стартапом. Тут же начинаются типичные ошибки роста: риски, непонимание со стороны клиентов, нежелание рынка пускать вас, ощущение, что вы сделали очередной велосипед и вообще депрессия, отчаяние и ж...

IFA 2019: Samsung и очень много умной техники Южнокорейский технологический гигант, судя по всему, решил возглавить всю индустрию потребительской электроники, повсеместно внедряя поддержку сетей пятого поколения и делая жилище еще умнее. Мы посетили павильон Samsung на IFA 2019 в Берлине и вот, что из этого вышло.

Tesla выпустила собственный чип для беспилотных автомобилей Процессор, который получил в компании название "полностью автономный компьютер", или FSDC (Full Self-Driving Computer), представляет собой высокопроизводительный специализированный чип, разработанный лабораторией Samsung в Техасе по заказу Tesla исключительно для о...

Intel планирует снижение цен, чтобы конкурировать с AMD Не так давно компания AMD показал рынку свои процессоры Ryzen 3000, которые задерут планку производительности ещё выше, что было очень позитивно воспринято энтузиастами и любителями компьютерного железа. Позитива сюда добавляет также то, что это усилит конкуренцию между 2 ...

Массовые мероприятия в столице будут проходить под видеонаблюдением По информации СМИ запрос на техническое обеспечения массовых событий в Москве поступил в ИТ-интегратор «Ситроникс» от департамента информационных технологий. Общая сумма контракта доходит до 260 миллионов рублей. Представители ДИТ прокомментировали новость, сообщив, что проц...

Кому процессор Intel за $15 500? Компания Intel сегодня без лишнего шума добавила в свой ассортимент новый процессор Intel Xeon Platinum 8284, который является самым производительным в линейке Xeon Platinum 8200 (Cascade Lake-SP). Новинка предназначена для серверов, включая многопроцессорные конфигурации. К...

Компания MSI объяснила, почему не использует процессоры AMD в своих ПК и ноутбуках Компания MSI — один из лидеров рынка компонентов для ПК, включая видеокарты и системные платы. Кроме того, с недавних пор MSI ещё и лидер рынка игровых ноутбуков. Но вот если брать рынок ПК в целом, тут до лидеров производителю очень и очень далеко. И это одна и...

Intel подтверждает намерение в 2021 году выпустить процессоры Sapphire Rapids Рассказывая о графическом процессоре Ponte Vecchio и программной модели oneAPI, компания Intel также опубликовала план выпуска процессоров для суперкомпьютерных вычислений. Это обновленная версия прошлогоднего плана. Она охватывает период с 2019 по 2021 год. По мнению ...

Вспомнить прошлое: легендарной марке Walkman исполнилось 40 лет 1 июля 1979 года компания Sony выпустила свой первый плеер под маркой Walkman — TPS‑L2, который завоевал большую популярность вначале в Японии, а затем и по всему миру. Портативный кассетный плеер, по сути, стал первым массовым продуктом этого рода и произвёл революцию в пре...

TSMC развернёт массовое производство 5-нм продуктов в марте 2020 года Второе поколение 7-нм продуктов уже попало на конвейер.

Redmi Note 8 Pro повторил рекорд продаж Honor 9X Сегодня в Китае стартовали продажи смартфона Redmi Note 8 Pro. О том, что эта модель, оснащенная 64-мегапиксельной камерой, будет пользоваться огромным спросом, стало понятно еще в момент анонса, но продажи первого дня впечатляют: они составили 300 000! Таким образ...

Huawei заявила о готовности перейти c Android и Windows на собственную ОС уже в этом году Ранее глава Huawei Ричард Ю уже заявлял, что у компании есть чем заменить Android и Windows в случае крайней необходимости. В свежем интервью CNBC руководитель китайского гиганта, оказавшегося в очень непростом положении из-за травли со стороны США, назвал конкретные сроки, ...

Intel потеряла сразу двух начальников маркетинга графического отдела Довольно неожиданно маркетинговый директор графических процессоров Intel Крис Хук (Chris Hook) и старший руководитель по цифровому маркетингу отдела графики Хизер Ленон (Heather Lenon) покинули компанию. Наверняка это возымеет серьёзные последствия на усилия Intel в области ...

[Перевод] Samsung SSD 860 QVO 1 ТB и 4 ТB: первый потребительский SATA QLC (1 часть) А внедрение флэш-памяти NAND с четырьмя битами на ячейку (QLC) продолжается, свидетель тому — первый потребительского SATA SSD с QLC NAND от Samsung. Новый 860 QVO поднимает планку «начального уровня» в очень успешном семействе продуктов SSD от Samsung. В отличие от предыдущ...

Специалисты SK hynix разработали память DRAM DDR4 плотностью 16 Гбит, рассчитанную на выпуск по нормам 1Z нм Компания SK hynix сообщила о разработке памяти DRAM DDR4 плотностью 16 Гбит, рассчитанной на выпуск по нормам 1Z нм. По словам производителя, это наибольшая плотность одного кристалла DDR4, а с учетом норм достигнут еще и рекордный объем в расчете на одну пластину. Как ...

Intel не станет выпускать 10 нм процессоры для настольных ПК. В 2022 году начнется массовый переход на 7 нм Неожиданные новости, от которых фанаты АМД должны тут же пуститься в пляс

Learn While Travel – как мы ездили на 1st European Business Analysis Day В российском ТехЦентре Дойче Банка есть интересная программа Learn While Travel, в рамках которой сотрудник может во время отпуска в другом городе посетить профессиональную конференцию по своей специальности за счет средств компании.  Я, Кристина Егорова, и мои коллеги, Оля...

Компания AMD заявила, что её продукты не подвержены уязвимостям RIDL и Fallout Компания Intel, как мы сегодня уже сообщали, признала наличие ещё одной уязвимости в своих CPU, которая затрагивает большинство процессоров компании. На самом деле новых уязвимостей несколько, но компания объединила их под общим именем Microarchitectural Data Sampling (...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

Razer Hammerhead True Wireless – TWS-наушники для геймеров с минимальной задержкой за $100 Razer представила свои первые полностью беспроводные наушники-вкладыши Hammerhead True Wireless, которые отличаются минимальной задержкой – всего 60 мс, благодаря игровому режиму, активируемому в мобильном приложении для iOS и Android, и поддержке Bluetooth 5.0. Таким образо...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Двойной удар: стартуют продажи AMD Ryzen 3000 и Radeon RX 5700 Ради соответствия «магии чисел» компания AMD пошла на достаточно редкие условия — обзорам потребительских 7-нм процессоров Ryzen и видеокарт с архитектурой RDNA (Navi) суждено было появиться в выходной день, коим оказалось седьмое июля. Во всём виновата привязка к цифре «7»,...

GlobalFoundries раскрыла планы по выходу на публичное размещение акций В августе 2018 года компания GlobalFoundries, которая с момента своего основания в 2009 году являлась основным производителем центральных процессоров AMD, внезапно объявила об отказе от освоения 7-нм и более «тонких» технологических процессов. Своё решение она мотивировала в...

Унифицированные коммуникации: бизнес-связь без задержек Переход от разрозненных каналов связи к системе унифицированных коммуникаций обусловлен необходимостью минимизировать, а лучше вовсе свести на нет задержки в операционных процессах. Речь не о смене тарифного плана у интернет-провайдера на более ...

Тренды развития "умных" домашних ТВ-панелей на примере возможностей "телевизионного" процессора Alpha 9 второго поколения Недавно я писал про телевизор LG SIGNATURE OLED TV R с гибким экраном, который был впервые показан и произвел фурор на выставке CES 2019, закончившейся недавно в Лас Вегасе. В статье я упомянул, что новинка работает на процессоре Alpha 9 второго поколения, который уже сейчас...

По словам генерального директора Intel, задержки с освоением 10-нм техпроцесса были связаны с излишними амбициями компании Но больше такого не повторится.

Intel рассказала о своей будущей дискретной графике через собственные драйверы Ни для кого не секрет, что компания Intel сейчас активно разрабатывает свои собственные дискретные графические процессоры, а также видеокарты на их основе. Подробностей о новинках пока что есть немного, но постепенно их становится больше. Очередная порция информации пришла и...

BioWare рассказала о проблемах VIP-демо PC-версии Anthem и их решении в будущем Всем подписчикам EA Access или Origin Access 25 января открылся доступ к VIP-демоверсии Anthem. Предполагалось, что на её основе пользователи составят собственное мнение об игре, но на ПК это оказалось затруднительно. Запуск пробного варианта Anthem сопровождался не...

Redmi Note 7 Pro получит не только 48 Мп камеру IMX586, но и свежий процессор Snapdragon 675 Redmi Note 7 уже успел привлечь кучу внимания. Новому подразделению Xiaomi удалось создать очень качественный смартфон по привлекательной цене. Первую немаленькую партию разобрали за минуты, и спрос не уменьшается. Вместе с новой партией производитель готовит и Redmi Note 7...

Очень странное решение. Warner Bros. отменила украинский релиз файтинга Mortal Kombat 11 с целью… соблюдения норм законодательства В начале апреля игра кровавый файтинг Mortal Kombat 11 (MK11) таинственным образом исчез из раздела предзаказов в PlayStation Network (PSN). Одновременно из сервиса удалили все страницы игры. И вот сейчас украинская служба поддержка Sony в разговоре с изданием PlayUA подтвер...

HUAWEI CLOUD обсудила с партнерами в России переход на цифровые технологии 21 марта в российской столице начала свою работу Партнерская конференция Huawei-2019. Российские клиенты и партнеры Huawei, а также отраслевые эксперты собрались вместе, чтобы обсудить возможности перехода на цифровые технологии. Технический директор подразделения HUAWEI CLO...

Новый Apple Mac Pro будут производить в Китае, а не США Хотя нынешний настольный компьютер Mac Pro выпускается в Техасе с момента его появления на рынке в 2013 году, новая модель Mac Pro, представленная компанией Apple в этом месяце на конференции разработчиков WWDC 2019, как утверждает ресурс The Wall Street Journal, будет произ...

PALLET Z – решение по управлению цифровыми активами представила Penta Security Компания Penta Security SystemsInc. официально представила PALLET Z — первый в мире защищенный холодный кошелек-книжку. Презентация предыдущего продукта этой серии, PALLET X, состоялась в марте этого года. PALLET Z — решение по управлению цифровыми активами, осн...

ВКонтакте совместно с Dentsu Aegis Network Russia разработали Social CRM Социальная сеть ВКонтакте и коммуникационная группа Dentsu Aegis Network Russia (DAN) на конференции Spring Session 2019 представили свой новый продукт Social CRM. Он позволит связать действия потребителя в медиа с конечной покупкой, сформировать персонализированные программ...

AMD объяснила, зачем ей пришлось сменить сокет для новых CPU Threadripper Процессоры Ryzen Threadripper 3000 представлены, и мы уже знаем, что AMD действительно сменила сокет. Новый называется sTRX4. Изначально было не очень понятно, зачем менять сокет, ведь процессоры Epyc второго поколения, производными от которых являются новые Threadrippe...

Mitsubishi Lancer Evolution вернётся на рынок Некогда культовый автомобиль бесславно завершил свою карьеру: спрос на Mitsubishi Lancer Evolution десятого поколения не оправдал ожиданий, а разрабатывать новую модель у Mitsubishi не было денег. В результате финансовых проблем марка сократила ассортимент моделей и продала ...

Конференция iThink #3 в Харькове — по материалам WWDC 2019 Приглашаем разработчиков под iOS и MacOS на конференцию по материалам WWDC 2019 в Харькове! В июне в Сан-Хосе состоялась традиционная конференция WWDC от Apple, участниками которой стали и ребята из нашего отдела iOS. В этом году мероприятие превзошло ожидания многих, вед...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Jinga Win Pro и его характеристики Фото возможности новинки представлены двойной основной камерой с датчиками на 13 и 0,3 Мп с LED-вспышкой и автофокусом. Разрешение фронталки — 5 Мп. 4G-аппарат имеет сменным аккумулятором на 3700 мАч и поставляется с предустановленной операционной системой Android 8.1 Oreo. ...

«Впечатления от увиденного противоречивые»: В сети обсудили забытый LADA Tarzan Редкая модель, выпущенная в количестве около 2500 экземпляров, почему-то оказалась забытой. На YouTube-канале «SATORO Авто» блогер рассказал о фактически уникальной «Ладе» — LADA Tarzan, выпускавшуюся с 1997 по 1999 годы тольяттинским заводом, но которую очень многие ...

Чипсеты для Ryzen 3000 готовит не только AMD, но и ASMedia Несмотря на то, что флагманский набор системной логики для будущих процессоров Ryzen 3000, основанных на архитектуре Zen 2, компания AMD разрабатывает самостоятельно, это вовсе не означает, что сотрудничество с ASMedia будет разорвано. Как сообщает DigiTimes со ссылкой на от...

Intel Sapphire Rapids предложит PCIe 5.0 и DDR5 в 2021 году Несмотря на то, что Huawei находится в сложном положении из-за санкций США, компания представила некоторую информацию о новой серверной платформе на базе будущих продуктов Intel.

По следам CES 2019: ноутбуки на процессорах AMD Ryzen Mobile Несмотря на то, что первые модели мобильных процессоров Ryzen были представлены еще осенью 2017 года, до недавнего времени на рынке наблюдался дефицит ноутбуков на базе Ryzen Mobile. С выходом второго поколения «красных» APU...

Отчет подтверждает, что Microsoft разрабатывает урезанную ОС Windows Lite Она разрабатывается для устройств с двумя экранами.Microsoft делает все возможное, чтобы конкурировать с Google в области Chromebook, но пока что мало кто из ее компаний завоевал популярность. Windows 10 S практически потерпела неудачу с точки зрения массового внедрения, что...

Компания NEC рассказала о своих ключевых продуктах 30 января в Москве прошла пресс-конференция компании NEC, в рамках которой нам рассказали об общих тенденциях рынка дисплеев, ключевых продуктах компании и о ее дальнейших планах. Компания NEC Display Solutions Europe занимается производством и оснащением специализирован...

Apple меняет подход — с будущими обновлениями таких проблем, как с iOS 13, быть не должно Релиз последней версии iOS 13, как известно, оказался очень проблемным. Собственно, буквально на днях вышли очередные обновления прошивок iOS 13.2.3 и iPadOS 13.2.3 для смартфонов iPhone и планшетов iPad, исправляющие разного рода мелкие ошибки. Чтобы избежать повторения под...

Необычная модель Jinga Win Pro Фото возможности новинки представлены двойной основной камерой с датчиками на 13 и 0,3 Мп с LED-вспышкой и автофокусом. Разрешение фронталки — 5 Мп. 4G-аппарат оснащен сменным аккумулятором на 3700 мАч и поставляется с предустановленной операционной системой Android 8.1 Ore...

The Division 2 на ПК предзаказывают активнее первой части, несмотря на уход из Steam В январе Ubisoft удивила многих, когда объявила, что The Division 2 будет выпущена в цифровом магазине Epic Games Store, а не в Steam, и некоторые из будущих игр для ПК будут придерживаться той же схемы. В то время компания сказала, что Epic собирается изменить поло...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Microsoft проведёт прямую трансляцию своей конференции в рамках MWC 2019 Через несколько дней компания Microsoft проведёт собственную пресс-конференцию в рамках выставки MWC 2019, где, как ожидается, будет представлено второе поколение HoloLens. Известно, что на мероприятии будут присутствовать генеральный директор Microsoft Сатья Наделла и глав...

Новые подробности о пятиядерных гибридных процессорах Intel Foveros В будущем почти все продукты Intel будут использовать пространственную компоновку Foveros, её активное внедрение начнётся в рамках 10-нм техпроцесса. Второе поколение Foveros будет использоваться первыми 7-нм графическими процессорами Intel, которые найдут применение в серв...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

AMD и партнёры «дразнят» 64-ядерным Ryzen Threadripper 3990X Вчера AMD официально представила третье поколение HEDT-процессоров Ryzen Threadripper. Чипмейкер решил ограничиться продуктами с 24 и 32 ядрами Zen 2, не проронив ни слова о фигурировавшем в утечках 64-ядерном флагмане Ryzen Threadripper 3990X....

Смартфон с процессором Snapdragon 855 Несколько дней назад на AnTuTu появился неизвестный смартфон с процессором Snapdragon 855.  Это устройство обозначено как «НЕИЗВЕСТНО НЕИЗВЕСТНО», а модель процессора имеет кодовое название «msmnile». «Msm», вероятно, обозначает проце...

Huawei представил стратегию развития искусственного интеллекта нового поколения и новые ИИ-решения На конференции Huawei Connect 2019 компания Huawei представила стратегию развития интеллектуальных продуктов нового поколения и новые ИИ-продукты для предприятий.

Samsung может выпустить смартфон в стиле Mate X быстрее Huawei По сообщениям сетевых источников, компания Samsung Electronics планирует активно развивать линейку складных смартфонов. Вторым устройством этой категории может стать смартфон, складывающийся наружу, как Huawei Mate X. Источник сообщил, что хоть официальная дата запуска Galax...

Greentest — узнайте есть ли нитраты в ваших продуктах Что Вы знаете о нитратах? Каждый из нас наверняка хоть раз смотрел какую-нибудь занятную передачу, где умный эксперт, вооружившись специализированным оборудованием, идёт в ближайший продуктовый и тестит овощи-фрукты. А летом выпуски новостей не устают сообщать о неприятных с...

Xiaomi превратит Redmi в самостоятельный бренд Китайская компания Xiaomi сообщила о том, что имя Redmi, под которым до сих пор выпускались относительно недорогие смартфоны, превращается в самостоятельный бренд. Как объяснил глава и основатель Xiaomi Лэй Цзюнь (Lei Jun), выделение Redmi в отдельный бренд поможет ...

Kaby Lake: Если “тик-так” не получается… Время от времени законы мироздания предоставляют нам случай узнать много нового о себе и о самих этих законах. Перед такими случаями, как правило, у тех кто на передовом крае науки и техники, возникает иллюзия всезнания. Они уверенно планируют достижения, рисуют стрелки на...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

AMD раскрыла новые подробности о будущих EPYC и микроархитектуре Zen 3 На неделе в Сети появилась запись презентации Мартина Хильгемана (Martin Hilgeman), отвечающего в AMD за направление высокопроизводительных приложений, на конференции HPC-AI Advisory Council 2019. Она посвящена будущим серверным процессорам EPYC и раскрывает немало...

#CES | AMD представила новую флагманскую видеокарту и процессоры Ryzen 3-го поколения Компания AMD представила на выставке CES-2019 две новинки, которые ждали очень многие. Во-первых, компания анонсировала первую в мире графическую карту, работающую на базе GPU, построенном с использованием 7-нм технологического процесса. А во-вторых, AMD представила 3-е пок...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

NVIDIA пока не считает целесообразным переход на 7-нм техпроцесс Всё дело в экономике.

Google подтверждает, что пользователи Huawei по-прежнему будут иметь доступ к некоторым своим услугам Пользователи смартфонов Huawei, которые не следили за техническими новостями в выходные, вероятно, будут шокированы, когда узнают, что Google предпринимает шаги, чтобы запретить китайской компании получать обновления Android и службы Google на своих телефонах, сообщает ITbuk...

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

Представлен серийный электромобиль Opel Corsa-e с мощностью 100 кВт, батареей на 50 кВтч и запасом хода 330 км (WLTP) После утечки официальных изображений электромобиля Opel Corsa-e автопроизводитель не стал тянуть с анонсом и официально представил новинку, а также рассказал о ее технических характеристиках. Итак, речь идет о шестом поколении компактной модели Opel Corsa, которая будет выпу...

Китайские процессоры KX-6000 вытеснили Intel из интерактивных досок Seewo Современный Китай решительно реформирует систему обучения на всех уровнях от начального школьного образования до высшего академического. Например, внедрение гаджетов в школах в виде ПДА для дневников и контроля над выполнением домашних заданий началось более десяти лет назад...

Новые проекты от компании Intel Сегодня Intel провела свою пресс-конференцию CES, объявив о линейке не менее шести новых процессоров 9-го поколения. Компания рассказала про новую платформу, которая все еще находится в разработке, под кодовым названием Lakefield, которая, как ожидается, будет запущена в...

Четыре журналиста рассказали о поломке экрана складного смартфона от Samsung после двух дней тестирования Устройство начнёт поставляться с 26 апреля по цене в $1980.

Стивен Леви: Google переоценивает важность свежести результатов поиска Технологический журналист Стивен Леви (Steven Levy), известный своими интервью с топ-менеджерами и ведущими специалистами IT-компаний, упрекнул Google в том, что поисковая система переоценивает важность такого сигнала, как свежесть результатов поиска. «Уважаемый Google, ваша...

Процессор iPhone 11 оказался самым мощным чипом, установленном в смартфоне Процессор A13 Bionic устанавливается в iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max Эксперты часто говорят, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

Huawei рассказала о настоящем предназначении собственной операционной системы Представитель Huawei рассказал на пресс-конференции, что доходы компании выросли в первом полугодии 2019 года, несмотря на все недавние злоключения. Также он подтвердил, что будущая операционная система компании будет носить название HongMeng. Однако предназначаться она буде...

Монструозный ПК за 17 999 евро и не только. Acer представила ноутбуки и десктопы ConceptD для создателей контента На ежегодной пресс-конференции в Нью-Йорке компания Acer представила новый бренд ConceptD. В рамках бренда будут выпускаться мощные и дорогие настольные компьютеры, ноутбуки и мониторы, ориентированные на графических дизайнеров, режиссеров, инженеров, архитекторов, разр...

NVIDIA рассчитывает, что в текущем квартале у серии SUPER всё будет супер До сих пор на квартальных отчётных мероприятиях руководству NVIDIA чаще приходилось говорить о величине складских запасов графических решений поколения Pascal, которая существенно превысила норму год назад и затем постепенно снижалась, позволяя компании насыщать рынок более ...

Intel выпустила 2 новых процессора Comet Lake-U и снимает с производства NUC на базе чипов Cannon Lake, Braswell и Broadwell Компания Intel выпустила два новых процессора в рамках семейства Comet Lake-U, которые предназначены для применения в составе тонких и лёгких ноутбуков. Новинки представляют собой решения начального уровня. Чипы Intel Pentium Gold 6405U и Intel Celeron 5205U относятся к 10-м...

Бюджетный Qualcomm Snapdragon 735 будет поддерживать 5G Сразу после того, как Qualcomm представила премиальный Snapdragon 730 в своей линейке процессоров, в Интернете просочилась информация о предполагаемых технических характеристиках нового Snapdragon 735. Утечка информации о будущем процессоре не вызывает особых сюрпризов и...

AMD расскажет о процессорах Zen 2 на конференции GDC 2019 На конференции GDC 2019, которая пройдёт с 18 по 22 марта в Сан-Франциско, представители Advanced Micro Devices поделятся новыми деталями о микроархитектуре Zen 2, легшей в основу грядущих процессоров Ryzen и EPYC. Об...

1000 км безмолвия и мрачной ночи: Haval H6 прошёл испытание «дальняком» По словам блогера, китайский кроссовер без особых проблем преодолел внушительное расстояние. Водитель решил подробнее рассказать о том, как он устроил испытание «дальняком» для своего нового автомобиля – кроссовера Haval H6. На своём канале SkySoft автор видео объяснил, что...

Яблоки можно только нам: Apple не понравился логотип норвежской партии Fremskrittspartiet Apple не раз подавала в суд на различные компании, у которых, к примеру, названия самих компаний или их продуктов были слишком похожи на названия Apple. Иногда купертинский гигант выигрывал дела, а порой уступал в споре.   Как стало известно, очередным фигурантом ...

MSI поглумилась над Apple и безумной ценой её нового продукта Во время недавней конференции для разработчиков WWDC 2019 американская компания представила новый монитор Apple Pro Display XDR для MacPro. Однако подставку для него предлагается покупать отдельно, за 999 долларов.

AMD анонсировала второе поколение мобильных процессоров Ryzen Pro Mobile Компания AMD объявила о выходе второго поколения мобильных процессоров Ryzen Pro с графикой Radeon Vega, которые предлагают улучшенную энергоэффективность, безопасность и управляемость. По словам старшего вице-президента AMD, пользователи бизнес-ноутбуков хотят использовать...

Свежие подробности о 14-нм процессорах Intel Comet Lake-S и платформе LGA1200 С каждым днём Intel становится всё труднее держать в секрете информацию о будущем обновлении массовой настольной платформы. Мы уже знаем, что в ближайшее время «синий» гигант выведет на рынок семейство 14-нм процессоров Comet...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

На IT-конференции «Стачка-2019» обсудят будущее «цифрового пролетариата» Главное весеннее IT-событие Поволжья, VIII международная конференция «Стачка», пройдет 26-27 апреля в Ульяновске в действующем музее советской истории — Ленинском мемориале. На «Стачку» приедут свыше 5000 гостей. Выступления пройдут на 12 параллельных потоках по четырем осно...

Компания Intel анонсировала графический процессор Ponte Vecchio На конференции разработчиков суперкомпьютеров в Деневере компания Intel вчера рассказала о графическом ускорителе общего назначения (GPGPU), оптимизированном для суперкомпьютерных вычислений и искусственного интеллекта. Он построен на графической архитектуре Xe и носит ...

Планы AMD на ближайший год: настольные процессоры Ryzen 4000 выйдут в августе 2020 года, а мобильные — в начале того же года Вчера мы говорили о слухах, которые утверждали, что новые настольные APU AMD могут выйти уже в ноябре или декабре. Но также источник говорил, что есть вероятность анонса уже на CES 2020 в январе. Если верить неофициальной дорожной карте, которую опубликовал другой источ...

Deep Silver прокомментировала недавнее высказывание сотрудника 4A Games Коротко: если и обвинять, то не разработчиков, а издателя.

Samsung заключили сделку с производителями гибкого стекла. Его используют в Galaxy Fold 2? Galaxy Fold имеет все шансы стать лучше предшественника Первое складное устройство от Samsung в лице аппарата Samsung Galaxy Fold сложно назвать удачным. Ведь даже сам технологический гигант признал, что смартфон имеет ряд существенных недостатков. Однако южнокорейский новос...

Люди с возрастом набирают вес, но этого можно избежать Многие люди с возрастом начинают набирать вес В одной из предыдущих статей мы писали, что многие люди старше 40-50 лет страдают от лишнего веса. Мы выяснили самые распространенные причины набора веса и поговорили о способах сохранения стройности в старости. В частности, мы у...

В МНЭ объяснили запрет на возврат новых телефонов продавцам В ведомстве уверяют, что эта норма направлена на защиту прав потребителей.

Google Assistant следующего поколения будет работать в 10 раз быстрее На конференции Google I/O 2019, поисковой гигант сообщил, что в начале этого года она достигла значительного технологического прорыва, который позволит увеличить популярность голосового ассистента Google Assistant. Как утверждает производитель, Google Assistant следующего…

Microsoft работает над прототипами Surface Pro на базе ARM-процессоров По информации портала Thurrott.com, компания Microsoft создала прототипы Surface Pro на базе процессоров Qualcomm Snapdragon. Вероятно, руководство всерьёз рассматривает возможность выпуска бюджетных моделей линейки с использованием ARM-процессоров. Напомним, что нынешнее п...

В лучших традициях Xiaomi: первую партию смартфонов Samsung Galaxy M10 и M20 раскупили за несколько минут Буквально несколько часов назад мы сообщили о том, что в Индии стартовали продажи смартфонов Samsung Galaxy M10 и M20, как сейчас стало известно, что первая партия уже раскуплена. Новинки были доступны для покупки на сайте Samsung и на Amazon, но сейчас их купить уже не...

Core i9-10980XE — 18-ядерный флагман новой линейки процессоров Intel HEDT поколения Cascade Lake-X (+ результат теста Geekbench для 10-ядерного Core i9-10900X) В следующем месяце Intel должна представить новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000 (Cascade Lake-X). От нынешних Skylake-X новые Cascade Lake-X будут отличаться весьма незначительно: ни архитектура, ни техпроцесс не изменятся, ли...

Видеокарта AMD Radeon RX 5700 XT изначально называлась Radeon RX 690 Для многих сторонников «красного» лагеря решение AMD выпустить новое поколение графических продуктов под маркой Radeon RX 5000 стало весьма неожиданным. Как объяснили представители чипмейкера, выбор этого названия связан с празднованием 50-летия компании. Что...

Huawei Nova 6 SE в зеленом и его четыре камеры показались на фото В Сети опубликован рендер, подтверждающий недавние утечки о дизайне Nova 6 SE – будущего субфлагмана Huawei, официальный анонс которого ожидается на следующей неделе в Китае.

Центральные процессоры AMD Ryzen 4000 выйдут к концу 2020 года Не секрет, что в недрах лабораторий AMD активно ведётся работа над очередным поколением центральных процессоров Ryzen. В их основу ляжет микроархитектура Zen 3, подразумевающая переход к 8-ядерным модулям CCX, а для выпуска новинок...

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

Флагманские HEDT-платы Zenith Extreme Alpha и Rampage VI Extreme Omega от ASUS ASUS представила новые материнские платы High-end Desktop (HEDT), предназначенные для использования с нынешними процессорами AMD и Intel HEDT. Это материнские платы ROG Zenith Extreme Alpha для процессоров AMD первого поколения Ryzen Threadripper и ROG Rampage VI Extreme Om...

Foxconn разрабатывает технологию micro-LED для будущих Apple iPhone По данным китайского издания Economic Daily News, компания Foxconn расширяет свои инвестиции в производство micro-LED дисплеев, которые будут поставляться для будущих поколений смартфонов Apple iPhone. Подробнее об этом читайте на THG.ru.

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Мобильные процессоры Intel Core 10-го поколения (Ice Lake) представлены официально Сегодня корпорация Intel придала официальный статус первым процессорам Core 10-го поколения. Ими стали мобильные чипы семейств Ice Lake-Y и Ice Lake-U, изготавливаемые по 10-нанометровым технологическим нормам. Новые CPU сочетают перспективную микроархитектуру Sunny Cove,...

Борьба за Xiaomi: на таможне задержали партию смартфонов Redmi 6А и Redmi GO, но суд снова встал на сторону NIS Казалось бы, после недавнего решения апелляционного суда конфликт между украинским дистрибьютором NIS и Xiaomi Н. К. Limited можно считать исчерпанным. Но не тут-то было. Днепровский юрист и экс-сотрудник ГК «Алло» Александр Шевченко, действующий от имени Xiaomi H.K.Limited,...

Инвесторы выводят деньги из AMD Некоторое время компанию AMD назвали лучшей для инвестиций — производитель процессоров в ближайшем будущем точно покажет стабильный рост и принесет прибыль потенциальным инвесторам. Вот только инвесторы думаю совершенно иначе и выводят деньги из этой компании. Арабские инвес...

Intel: AMD сократила отрыв, но у нас по-прежнему самые быстрые игровые процессоры Релиз процессоров AMD Ryzen 3-го поколения можно смело назвать главным событием лета в сегменте настольных ПК. «Красные» не только нарастили число ядер в CPU для массовой платформы, но и ощутимо подняли их игровую...

12 ядер и 24 потока при TDP в 65 Вт. Экономичный CPU Ryzen 9 3900 на подходе На днях AMD подтвердила, что новый флагман массовой платформы AM4 — Ryzen 9 3950X (16 ядер/32 потока, 3,5/4,7 ГГц) — и первые процессоры Ryzen Threadripper 3-го поколения выйдут в ноябре. Но еще в июле мы узнали о планах «красных» по расширению модельного ряда процессоров Ry...

В этом году AMD выпустит не только новые CPU Ryzen, но и третье поколение монструозных Ryzen Threadripper Настольные процессоры Ryzen третьего поколения компания AMD представила ещё в начале года. Но тогда анонс был скорее предварительным. Нельзя сказать, что нам вообще ничего не рассказали, но информации было достаточно мало. Новые данные указывают на то, что эти CPU дейст...

Издатель GTA V считает, что PlayStation 5 позволит добиться фотореалистичной графики в играх То, что новое поколение игровых консолей выйдет в ближайшее время — это уже ни для кого не секрет. А потому руководители крупных игровых компаний без лишней конспирации делают серьезные заявления о том, на что они будут способны с новыми консолями на руках. Так, руководитель...

Microsoft показала Edge для macOS и рассказала будущем нового браузера В рамках ежегодной конференции Build для разработчиков Microsoft официально представила браузер Edge на основе движка Chromium. В конце прошлого года компания подтвердила будущий перевод браузера Windows 10 на Chromium, а весной 2019-го открыла публичное тестирование нового ...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

Поставки однокристальных систем MediaTek Helio P60 и P70 превысили отметку в 50 миллионов Пускай однокристальные системы MediaTek уже не пользуются у известных производителей смартфонов былой популярностью, но они по-прежнему востребованы у местных китайских производителей. И вот очередное тому доказательство: поставки SoC MediaTek серий Helio P60 и P70 прев...

В сеть утекли фотографии HoloLens 2 Всего несколько часов остаётся до начала пресс-конференции Microsoft в рамках выставки MWC 2019, однако фотографии второго поколения гарнитуры дополненной реальности HoloLens уже утекли в сеть. Пользователь WalkingCat в своём Twitter опубликовал четыре фотографии HoloLens 2...

Процессоры AMD Ryzen 3-го поколения и GPU AMD Radeon «Navi» выйдут одновременно По сообщению организаторов ежегодной выставки Computex, проходящей в июне в Тайбэе, генеральный директор AMD Лиза Су (Lisa Su) выступит с основным докладом, в ходе которого ожидается анонс как минимум четырех линеек продукции. По словам источника, во-первых, это будут д...

AMD проведёт конференцию «Next Horizon Gaming» в рамках E3 2019 Компания AMD анонсировала прямую трансляцию с собственной конференции «Next Horizon Gaming», которая пройдёт 10 июня в рамках ежегодной выставки игровой индустрии E3 2019. На мероприятии будут продемонстрировано новое поколение игровых продуктов AMD. Какой-либо конкретики н...

«Google Россия» и другие компании рассказали об успехах мобильных игр в 2018 году Спрос на продукты российских разработчиков игр в 2018 году повысился, в мобильном сегменте замечен рост, а пользователи начали обращать пристальное внимание на несложные игры для массовой аудитории. Обо всём этом рассказала Google и другие компании, которые предоста...

Comet Lake — десятое поколение процессоров Intel для новых MacBook Внутри процессора Intel Comet Lake Не все из чипов нового поколения уже объявлены. Это необычное поколение: выпускаются они по двум технологическим процессам (14 нм++ и 10 нм+), на двух микроархитектурах из разных эпох. Эта статья про 14-нм чипы Comet Lake. На мой взгляд, он...

Tesla уволит 7% сотрудников, пытаясь повысить прибыльность Tesla долго находилась в положении «сжигателя денег» (cash burn), пытаясь решить проблему с массовым производством седана Model 3. Теперь, когда основные технические проблемы решены, компания обратила внимание на свое финансовое положение.

Intel постоянно пересматривает спецификации CPU Cooper Lake в попытках не отставать от AMD Epyc В конце текущего года мы наконец-то должны получить 10-нанометровые процессоры Intel Ice Lake, которые, судя по первым тестам, порадуют производительностью. Но такие CPU выйдут лишь в мобильном сегменте. В настольном, судя по всем утечкам и данным Intel, таких процессор...

Volvo XC60 второго поколения: автопутешествие в Тулу и обратно Когда у представительства Volvo появился вариант с предоставлением Volvo XC60 на короткий период, совпадающий с нашим небольшим путешествием в Тулу, мы решили им воспользоваться. Еще одним аргументом в пользу этого автомобиля было то, что достаточно длительное время в моей с...

AMD представила 7-нм процессоры Ryzen третьего поколения Компания AMD представила третье поколение десктопных процессоров Ryzen. Хотя назвать это событие презентацией довольно сложно, и американский производитель оказался очень скуп на подробности о новых процессорах. Подробнее об этом читайте на THG.ru.

Дефицит процессоров Intel вредит трём технологическим гигантам Дефицит процессоров Intel начался ещё в конце лета прошлого года: растущий и приоритетный спрос на процессоры для центров обработки данных стал причиной недостатка потребительских 14-нм чипов. Сложности с переходом на более передовые 10-нм нормы и эксклюзивная сделка с Apple...

BJD Кукла на 3D принтере? Год назад дочка попросила напечатать ей куклу, и их я сделал несколько. Но были те что не удались, по тем или иным причинам. И в основном тут не проблемы настройки принтера как часто пишут новички, зачастую у меня в самом начале когда я только стал реализовывать первые проек...

Samsung готовит процессор Exynos 9630 для Galaxy A51 В марте Samsung представила восьмиядерный чипсет Exynos 9610, который построен с применением 10-нанометрового техпроцесса. А теперь компания готовит к премьере его усовершенствованную версию Exynos 9630. Ожидается, что новый процессор найдет свое применение в устройствах сер...

AMD представила свой самый производительный 64-ядерный процессор В начале августа AMD представила новые серверные процессоры Epyc. Новое поколение перешло на семинанометровый техпроцесс и архитектуру Zen 2. И на данный момент у этих CPU попросту нет прямых конкурентов из стана Intel. Особенно, если вспомнить, что даже топовый 64-ядер...

Украина в первом чтении приняла нормы FATF для регулирования криптовалютного рынка Депутаты Верховной Рады приняли в первом чтении законопроект о внедрении норм FATF для противодействия отмыванию денег и финансированию терроризма при регулировании криптовалютного рынка. Об этом сообщил председатель депутатского объединения Blockchain4Ukraine, народный депу...

Продвинутая альтернатива DDR-памяти Компания IBM ожидает появление в будущем контроллеров, позволяющих использовать интерфейс OMI с графической памятью DRAM в качестве альтернативы набирающей популярности, но все еще дорогой и энергозатратной HBM-памяти. Производитель намерен использовать новый интерфейс в про...

[Перевод] Отлаживаем сетевые задержки в Kubernetes Пару лет назад Kubernetes уже обсуждался в официальном блоге GitHub. С тех пор он стал стандартной технологией для развёртывания сервисов. Теперь Kubernetes управляет значительной частью внутренних и публичных служб. Поскольку наши кластеры выросли, а требования к производ...

DDR3 или DDR4? Почему мы предложили Dell R420 2x E5-2430 2.2Ghz 6С 128GB DDR3 2x960GB SSD 1Gbps по $99 в Нидерландах? Прошло свыше двух лет, после того, как мы начали предоставлять платформы Dell R730xd в Нидерландах по очень низким ценам — от $249 / месяц (в 2 раза ниже среднерыночной), ведь в закупке, даже сейчас, когда процессоры последнего поколения E5-2650 v4 объявлены производителем e...

Хочешь дружить с США? Купи Айфон Обсуждаем свежие новости о торговой войне Китая и США, а также информацию о непростом положении офицеров армии Израиля

Видеокарты семейства “Ampere” от NVIDIA могут появиться на рынке в первой половине 2020 года По сети уже гуляют слухи о том, что следующее поколение видеокарт от NVIDIA может быть анонсировано в первой половине 2020 года. Ожидается переход на более современный техпроцесс (с 12 нм на 7 нм), а значит и увеличение кол-ва транзисторов, а также показателя энергоэффектив...

G.Skill выпускает набор модулей памяти DDR4-3800 CL14, «оптимизированный» для процессоров AMD Ryzen 3000 и чипсета X570 Компания G.SKill представила набор модулей памяти Trident Z Neo DDR4-3800. По словам производителя, он «оптимизирован» для процессоров AMD Ryzen 3000 и чипсета X570, позволяя получить высокую производительность и стабильность работы систем на новых процессор...

Для выпуска GPU следующего поколения Nvidia может использовать 7-нм техпроцесс Samsung Как сообщает японское издание My Navi News со ссылкой на источники в корпорации Samsung, руководство Nvidia всерьез рассматривает южнокорейского гиганта в качестве производителя графических процессоров следующего поколения. По предварительной информации, для этого будет...

Биржа Binance объявила о партнерстве с компанией по кибербезопасности CipherTrace Ведущая криптовалютная биржа Binance объявила в четверг, 11 апреля, о партнерстве с компанией по кибербезопасности и аналитике блокчейн-сетей CipherTrace. Как заявляет биржа, сотрудничество нацелено на усиление программы по соблюдению норм законодательства по борьбе с отмыва...

Фотогалерея дня: видеокарты Radeon Navi в исполнении ASRock Вчера компания AMD номинально представила видеокарты поколения Navi. Нам рассказала о новой архитектуре, новом техпроцессе и новом принципе именования, но не раскрыли ни параметров, ни цен, ни каких-либо иных подробностей. А вот компания ASRock взяла и показала уже &laq...

Для своих дискретных видеокарт Intel изменит архитектуру GPU Ещё в начале лета программисты Intel начали готовить экспериментальный Linux-драйвер для будущих графических ускорителей, относящихся к двенадцатому поколению (Gen12). До недавних пор эта разработка отличалась достаточно вялым прогрессом, но последние изменения в драйвере ок...

Intel готовится к переходу на 7 нм техпроцесс и не верит в конкуренцию со стороны AMD Самое главное не паниковать, глядишь и пройдёт всё само

ProКонтент 2019: три хардовых доклада и частушка Привет, Хабр! У нас прошла конференция по разработке технической документации – ProКонтент 2019. Мне довелось изнутри посмотреть на процесс рождения конференции и даже выступить с пятиминутным мини-докладом. Не претендуя на объективность, очень кратко расскажу про доклады, к...

Кружковое движение НТИ и Морской госуниверситет подготовят новое поколение технологических лидеров в области подводной робототехники Кружковое движение НТИ и Морской государственный университет имени адмирала Г.И. Невельского запускают проект «Инженерные конкурсы и соревнования по морской робототехнике», нацеленный на подготовку нового поколения технологических лидеров по направлению «Маринет» Национально...

Huawei представила стратегию развития интеллектуальных устройств следующего поколения На конференции HUAWEI CONNECT 2019 компания Huawei представила свою стратегию развития интеллектуальных устройств следующего поколения и новых продуктов с ИИ для корпоративного рынка. К этим новым продуктам и решениям ...

Процессоры Intel Comet Lake выйдут только через год Процессоры AMD Ryzen выходят летом текущего года, и Intel неплохо бы на это чем-то ответить, особенно учитывая ситуацию затягивающегося дефицита CPU. Но, увы, отвечать, похоже, будет совсем нечем: свежие процессоры Comet Lake, согласно только-только появившейся в Сети д...

Исследовательское подразделение Disney Research выяснило, что люди предпочитают, чтобы роботы взаимодействовали с ними небольшой задержкой Когда робот протягивает человеку предмет или, наоборот, берет его, люди предпочитают, чтобы робот действовал с задержкой, сопоставимой с человеческой, а сами движения были медленными или умеренными по скорости, выяснили исследователи из Disney Research. При этом как большая,...

Утечка раскрыла характеристики грядущего чипсета Qualcomm Snapdragon 735: 7-нм техпроцесс, GPU Adreno 620 По данным инсайдера Sudhansu Ambhoe, компания Qualcomm работает над созданием нового мобильного процессора смартфонов предтопового сегмента под названием Snapdragon 735, и этот чипсет изготавливается по нормам 7-нанометрового технологического процесса. И это существенное отл...

Флагманский чипсет Snapdragon 875 будет выпускаться TSMC на 5-нм техпроцессе Ближе к концу года Qualcomm должна представить новый флагманский чипсет Snapdragon 865. Но ещё до этого события в сети появились первые слухи о его преемнике, который будет известен под названием Snapdragon 875. Подробнее об этом читайте на THG.ru.

Fujitsu опубликовала полный список процессоров Coffee Lake Refresh На данный момент компания Intel официально представила не так уж много процессоров девятого поколения, также известных под кодовым названием Coffee Lake Refresh. О других процессорах пока что появлялись лишь слухи и утечки, однако компания Fujitsu «слила» информацию сразу о ...

Huawei Mate 30 может стать первым смартфоном с процессором Kirin 985 Первым смартфоном Huawei на основе фирменного флагманского процессора следующего поколения HiliSilicon Kirin 985, вероятнее всего, станет модель Mate 30. По крайней мере, об этом сообщают веб-источники. Reuters По уточнённым данным, чип Kirin 985 дебютирует в третьем квартал...

Импортозамещение, или как «Вертолеты России» сделали что-то не так В связи с тем, что близится 2020 год и «час хэ», когда нужно будет отчитаться об исполнении приказа Минсвязи о переходе на отечественное ПО (в рамках импортозамещения), мне прилетела задача о разработке плана, собственно, по исполнению приказа Министерства связи и массовых к...

ARM отказывается от сотрудничества с Huawei, что ставит под угрозу весь полупроводниковый бизнес компании Как известно, компания Huawei оказалась в очень непростой ситуации из-за недавних санкций США. Но отлучение от Android, которое еще вчера казалось серьезной проблемой, уже сегодня представляется сущим пустяком на фоне новой угрозы. Буквально только что стало известно, что по...

AMD представила видеокарту Radeon VII и процессоры Ryzen третьего поколения Правда, анонсы неравнозначны, но обо всё по порядку. Итак, сегодняшняя конференция AMD на CES 2019 […]

Саша Мемус, Chatfuel: Как построить карьеру в продуктах после консалтинга, опасно ли медитировать и как менять поведение Разговор автора канала Ponchik News Алексея Иванова с Сашей Мемусом, менеджером продукта в Chatfuel и бывшим консультантом McKinsey. — Мы говорим у нас на кухне в Сан-Франциско. Что тебя привело в Калифорнию? — Я работаю в Chatfuel. В двух словах, это конструктор чат-ботов ...

Huawei сомневается в собственных процессорах Kirin В последнее время между Huawei и США наблюдаются очень обостренные отношения — порой возникает такое чувство, что компания в конечном итоге забросит все попытки возобновить партнерские отношения с американскими технологическими гигантами. Но глава Huawei Рен Женфей решил раз...

Microsoft может представить 15-дюймовый Surface Laptop 3 В начале следующего месяца Microsoft проведёт мероприятие, посвящённое анонсу новых продуктов в линейки Surface. Ожидается, что компания представит обновлённые версии Surface Pro и Surface Laptop, а также расскажет об устройстве с двумя экранами под кодовым названием Centau...

Процессоры A13 готовы к серийному производству TSMC, основной производитель чипов для Apple, готов запустить массовое производство процессоров следующего поколения A13 для нового модельного ряда iPhone.

Новый настольный компьютер Dell G5 Gaming Desktop Dell выпустила свой первый игровой компьютер серии G, разработанный для дополнения игровых ноутбуков серии G. Совершенно новый Dell G5 Desktop представлен как игровой пк начального уровня. Он оснащен входом без дополнительными слотами для хранения, а также имеет возможность...

Intel прекращает поставки процессоров Skylake-X: дорогу Cascade Lake-X! Процессоры семейства Cascade Lake-X будут представлены осенью этого года — это подтвердила на Computex 2019 компания Intel, отказавшись вдаваться в дополнительные подробности вроде технических характеристик и способности работать в существующих материнских платах. Грегори Бр...

По прогнозу Digitimes Research, мировой выпуск микросхем в ближайшие годы будет расти в среднем на 5,3% в год Специалисты аналитической компании Digitimes Research взялись спрогнозировать глобальный объем производства полупроводниковых микросхем в течение ближайших пяти лет. По их мнению, выпуск этой продукции в период до 2024 года будет расти в среднем на 5,3% в год. Если этот...

Boston Dynamics раскрыла дату начала массового производства роботов-собак Представители Boston Dynamics рассказали о планах компании на конференции TechCrunch Sessions: Robotics + AI. Выяснилось, что начало производства четвероногих роботов SpotMini для массового потребителя запланировано на лето.

Глава Казпочты прокомментировал ситуацию с задержкой посылок Помимо этого он поделился итогами работы почтового оператора за 2018 год - количество интернет-покупателей в Казахстане выросло почти на 20%.

Основатель «Яндекса» объяснил причину плохих продаж «Яндекс.Телефона» Учредитель «Яндекса» А. Волож прокомментировал на полях петербургского экономического форума вывод на рынок «Яндекс.Телефона».

Новые мобильные APU Ryzen получат очень мощные iGPU Уже в начале следующего года компания AMD представит новые мобильные процессоры Ryzen 4000. Они перейдут на семинанометровый техпроцесс и будут основаны на архитектуре Zen 2. Также ожидается удвоение количества ядер. При этом, если изначально слухи говорили о графически...

Запаситесь терпением: 10-нм процессоров Intel для десктопов не будет до 2022 года Как следует из просочившихся в прессу документов о ближайших планах компании Intel на процессорном рынке, будущее компании вырисовывается далеко не в радужных тонах. Если документы верны, то увеличение числа ядер в массовых процессорах до десяти штук произойдёт не ранее 2020...

Закон об автономном рунете может нарушить работу сервисов Яндекса Принятый вчера в третьем чтении закон об автономном рунете может нарушить работу сервисов Яндекса.  Как сообщил директор по развитию сетевой инфраструктуры Яндекса Андрей Соколов, в ходе выступления на конференции «Обеспечение доверия и безопасности при и...

Apple представила компьютер Mac Pro с 1.5 ТБ ОЗУ и 28-ядерным процессором в максимальной комплектации Сказать, что во время конференции разработчиков WWDC 2019 компания Apple просто потрясла технологический мир, значит не сказать ничего. ***

Представлена однокристальная платформа Samsung Exynos 9825 — первая в мире SoC, выполненная по технологии 7 нм EUV Компания Samsung предварила сегодняшний анонс смартфонов Galaxy Note10 и Note10+ анонсом однокристальной платформы Exynos 9825, используемой в этих моделях. Эта SoC преподносится под соусом первой в мире: и хотя она не является впервой в мире 7-нанометровой платформой, ...

Microsoft представила два новых продукта для повышения производительности Компания Microsoft представила новые аксессуары — компьютерную мышь Mavis Arc Mouse для путешественников и клавиатуру Microsoft Kili. По словам производителя, они созданы для лучшей продуктивности, эргономичности и ярких впечатлений. Мышь Microsoft Mavis Arc Mous...

Первую партию Vivo iQOO Pro 5G раскупили за 1 секунду По заявлению компании Vivo, которая входит как подразделение корпорации BBK (Oppo, OnePlus), первая партия смартфонов Vivo iQOO Pro 5G с поддержкой сетей пятого поколения была предварительно заказана всего за одну секунду. Счастливые владельцы получат мобильные устройства 2…

Intel поручит выпуск части 14-нм CPU компании Samsung Уже долгое время корпорации Intel не удаётся полностью решить дефицит 14-нм процессоров, возникший из-за длительного перехода на 10-нм технологические нормы. «Синий» гигант традиционно полагался на собственные производственные мощности, инвестиции в которые сущес...

В разработке Cyberpunk 2077 участвует 400 человек Польская студия CD Projekt RED погрузилась в работу над Cyberpunk 2077, поэтому новости об игре появляются в Сети не так часто, как хотелось бы фанатам. Недавняя информация об уходе креативного директора проекта и одного из сценаристов взбудоражила поклонников. Поло...

Intel готовится к массовому производству 5G-модемов Корпорация Intel начнёт работать над инженерными проектами в рамках организации массового производства 5G-модемов уже в следующем квартале. По крайней мере, об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Изображения Intel В конце прошлого года, напомним...

Презентация приложения для проведения презентаций Здравствуйте, меня зовут Дмитрий Карловский и я иногда выступаю на конференциях, митапах, а так же с недавних пор сам вхожу в команду организаторов одного из них — PiterJS. Недавно у нас был юбилей — 40 проведённых митапов. Но вместо того, чтобы расслабиться и получать поздр...

Redmi не готова выпускать смартфон на Snapdragon 855 Китайская компания Redmi, которая с недавних пор стала отдельной компанией и больше не делает продукты под брендом Xiaomi, не планирует в ближайшем будущем выпускать смартфон на процессоре Qualcomm Snapdragon 855. Дело в том, что этот процессор стоит довольно больших денег и...

Надежность изделий московского «Микрона» подтверждена международным сертификатом качества Как сообщает портал Mos.ru, независимое аудиторское бюро United Registrar of Systems Ltd. изучило технологические процессы, а также параметры качества продукции резидента Особой экономической зоны «Технополис “Москва”» – компании «Микрон». По результатам аудита, бюро выдало ...

Предварительный обзор Acer Swift 3. В новом дизайне Сегодня мы поговорим о достаточно продвинутом устройстве, которое создавали специально для тех пользователей, которые хотят получить доступный ноутбук без лишней производительности. Устройство и правда достаточно интересное, так что советуем изучить информацию более досконал...

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

Потоковый сервис Apple TV+, вероятно, будет доступен по подписке Незадолго до анонса сервиса Apple TV+ в Сети появилась информация о том, что Apple до последнего не могла определиться с форматом продвижения сервиса. Более того, высказывалось мнение, что и к моменту анонса у Apple всё так же не было окончательного решения. Учитывая, ч...

Создатели серии игр Mass Effect добавят трансгендеров в свои будущие игры В рамках проходящей в Швеции конференции Sweden Game Conference, главный дизайнер BioWare Оса Роос рассказала о множестве сложностей, возникающих при добавлении в игры трансгендерного или небинарного персонажа. Причем также она недвусмысленно намекнул на скорое появление так...

DjangoCon Europe 2019. А не сдох ли ваш пони? С 9 по 14 апреля в Копенгагене проходила конференция DjangoCon Europe 2019. Полный надежд и стремлений я прибыл на данное мероприятие, а уезжал в глубоком смятении. В статье я попробую передать мои впечатления от конференции и прокомментировать столь резкую смену отношения ...

Анонс видеокарты NVIDIA GeForce GTX 1650 с GPU TU117 ожидается 22 апреля Как сообщают осведомлённые источники, уже в скором времени должен состояться официальный анонс новой видеокарты серии GTX Turing – NVIDIA GeForce GTX 1650. Согласно имеющейся информации, видеокарта NVIDIA GeForce GTX 1650 получит графический процессор TU117 на базе архитекту...

Intel снимет с производства процессоры Skylake Компания Intel выпустила 6-е поколение процессоров Core Skylake в августе 2015 года. И вот, спустя 4 года, в Санта-Кларе решили прекратить их производство.

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Билайн выбрал стартап для совместного проекта с использованием технологий 5G Команде o.vision будет предоставлена возможность протестировать свой проект в пилотной зоне 5G Билайн в Сколково, где компания сможет проверить работу своего решения с использованием беспроводных технологий пятого поколения и решения MEC (Mobile Edge Computing). Комбинация т...

Смотрите прямую трансляцию конференции NaZapad Участникам расскажут об успешных стратегиях по запуску продуктов на глобальных рынках

AMD расскажет об архитектуре Zen 2 и новых процессорах в марте На конференции Game Developer Conference 2019.

Почему в «Тинькофф-журнале» выбирают Django Мы в «Python Junior подкаст» — подкасте для тех, кто хочет лучше разбираться в Python — стараемся всячески способствовать стремлению учиться. Приглашаем экспертов, задаем каверзные вопросы, получаем советы, чему и как учиться начинающему Python-разработчику, или не начинающе...

Huawei представляет ноутбуки MateBook D14 и D15 Huawei представила ноутбуки MateBook D последнего поколения. Ноутбуки, которые используют процессоры AMD и Intel, а также дискретную графику от NVIDIA, будут предлагаться как с операционной системой Microsoft Windows 10, так и с Linux на некоторых SKU. Новейшие ноутбуки Hua...

35-ваттные процессоры Intel Coffee Lake-S Refresh выйдут в середине мая В планы Intel на обозримое будущее входит расширение ассортимента процессоров Coffee Lake-S Refresh. К имеющимся в продаже CPU Core 9-го поколения примкнут новые решения линеек Core, Pentium Gold и Celeron, в том числе...

Ультрапортативный ноутбук-трансформер ASUS ZenBook Flip 14 получит APU AMD Ryzen 5 3500U и Ryzen 7 3700U Ультрапортативный 14-дюймовый ноутбук-трансформер ZenBook Flip 14, сочетающий в себе технологии перьевого и сенсорного ввода «мультитач» (multi-touch), занимает видное место в ассортименте ASUS. Сейчас эти мобильные ПК оснащаются процессорами Intel и (опционально) дискретным...

30 мая выйдет первый смартфон на базе нового чипа Snapdragon 665 Популярный индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) рассказал, что на этой неделе представят первый смартфон c новым процессором Qualcomm Snapdragon 665. По словам источника, мероприятие пройдёт 30 мая. К сожалению, о каком конкретно устройстве идёт речь, п...

Анонс 7-нм HEDT-процессоров AMD Ryzen Threadripper 3000 отложен до четверга Как стало известно, анонс 7-нм процессоров Ryzen Threadripper 3-го поколения (семейство Castle Peak) и нового флагмана массовой платформы AM4 — Ryzen 9 3950X, который должен был состояться сегодня, 5 ноября, перенесли. Сразу оговорим, что долго ждать обновления высокопроизво...

Обзор NeurIPS-2018 В начале декабря в Монреале прошла 32-ая ежегодная конференция Neural Information Processing Systems, посвященная машинному обучению. По неофициальному табелю о рангах эта конференция является топ-1 событием подобного формата в мире. Все билеты на конференцию в этом году был...

Как мы делали платежную систему для криптовалюты: пять основных проблем Привет, Хабр! На связи компания B2Broker, провайдер ликвидности и технологических решений для брокерской и биржевой индустрии. Один из наших продуктов — трейдинговая платформа B2BX.exchange. Когда летом 2017 года мы запускали платформу, то задумались о том, как принимать кри...

Майнинг-фермы Lyre Miner и Harp Miner от BitHarp произвели фурор Майнинг-фермы Lyre Miner и Harp Miner с необычайно эффективной конструкцией от BitHarp Group Limited (www.bitharp.com) вызвали настоящий фурор на стремительно развивающемся криптовалютном рынке. Не делая акцента на высококлассных и технологически продвинутых пользователей, э...

AMD анонсировала новое поколение передовых решений Компания AMD анонсировала продукты на базе нового 7-нм техпроцесса и графические решения, которые обеспечат новый уровень производительности, функциональности и новые возможности для геймеров, энтузиастов и создателей контента ...

Google анонсировала конференцию Android Global Developer Summit, на которой вероятно расскажет об Android R Несмотря на то, что Google в настоящее время занята разработкой Android Q (компания только выпустила вторую бета-версию операционной системы для смартфонов Pixel), поисковый гигант уже готов обсудить следующее поколение своей мобильной платформы. Официальный Twitter-аккаунт ...

MSI выпустила 3 разогнанные видеокарты на базе NVIDIA GeForce GTX 1650 Как и ожидалось, компания NVIDIA официально представила новую бюджетную видеокарту GeForce GTX 1650. Новинка основана на графическом процессоре TU117 на базе архитектуры Turing, который изготавливается по нормам 12-нанометрового технологического процесса. Видеочип TU117 вклю...

Аналитик опроверг главные слухи про iPhone 11 накануне анонса Он прокомментировал информацию про стилус, реверсивную зарядку, переход на USB-C и не только.

Графические процессоры Navi 22 и Navi 23 упоминаются в драйверах для Linux Графические драйверы AMD для операционных систем на базе Linux в очередной раз стали источником информации о ещё не вышедших графических процессорах «красной» компании. На этот раз один из пользователей форума ресурса 3DCenter обнаружил в драйверах упоминания GPU Navi 22 и N...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Сначала небольшими партиями. Складной смартфон Huawei Mate X с гибким экраном начнёт появляться в магазинах совсем скоро Согласно последним сообщениям китайских источников, компания Huawei официально объявит о скором старте продаж складного смартфона Mate X с гибким экраном на конференции для разработчиков Huawei Developer Conference, которая пройдёт в Дунгуане с 9 по 11 августа.  Э...

Samsung представила новые продукты и сетевые решения Samsung Electronics представила новые продукты и решения и рассказала о планах по развитию на 2019 год на ежегодной конференции Samsung Forum, которая проходит с 10 по 12 марта в Анталье (Турция).

SK Hynix анонсировала 16-гигабитные чипы DDR4, выпускаемые по нормам 1Z-нм Южнокорейский чипмейкер SK Hynix завершил разработку 16-гигабитных (2 ГБ) микросхем DDR4, для выпуска которых будет использоваться техпроцесс класса 1Z-нм. Об этом компания сегодня объявила в соответствующем пресс-релизе. Как отмечает вендор, благодаря новой технологии...

Intel снизила цены на свои процессоры Настольные процессоры AMD Ryzen присутствуют на рынке уже более двух лет. За это время AMD отвоевала у Intel определённую долю рынка, и ситуация, судя по всему, в ближайшем будущем не изменится. Учитывая параметры линейки Ryzen 3000, напротив, Intel может и дальше терят...

Боксы для мелочевки Очередная разработка, моделирование и печать. Делал для себя, т.к. при работе с дронами полно мелких деталей.Знаю в инете полно таких коробок ) Делал под себя и вои размеры. Норм получается с китайского ABS и PET-G.

Камера среднего формата Leica S3 задерживается на год Анонсируя в сентябре 2018 года выпуск среднеформатной камеры S3 разрешением 64 Мп, компания Leica обозначила сроком начала ее поставок «весну будущего года», то есть 2019 года. Весна, а за ней и лето 2019 года остались в прошлом, однако камера за это время с...

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

Как рисует браузер. Лекция Яндекса До недавнего времени я работал в команде Яндекс.Браузера и по следам этого опыта сделал доклад на конференции YaTalks. Доклад был о том, что у браузера под капотом и как ваши странички превращаются в пиксели на экране. Минимум фронтенда, только внутренности браузера, только ...

Финансовый директор IBM: «Мы прекрасно стартовали с Red Hat» Снижение дохода IBM продолжилось уже пятый квартал подряд, но недавняя покупка Red Hat дала компании возможность указать на позитивные моменты, касающиеся дохода и будущих планов. Объявляя результаты за 3 квартал на пресс-конференции с финансовыми ...

AMD: 16-ядерный Ryzen 9 3950X и первые процессоры Ryzen Threadripper 3-го поколения выйдут в ноябре На днях AMD назвала сроки выпуска следующих процессоров, которые имеют особое значение для компании и, вероятно, окажут, существенное влияние на рынок. Конечно же, речь о новом флагмане массовой платформы AMD AM4 — Ryzen 9 3950X (16 ядер/32 потока, 3,5/4,7 ГГц), и высокопрои...

Huawei представила интеллектуальную флеш-систему хранения OceanStor Dorado на базе процессоров Kunpeng и Ascend На конференции HUAWEI CONNECT 2019 компания Huawei представила интеллектуальную флеш-систему хранения OceanStor Dorado нового поколения (серия OceanStor C), работающую на мощных процессорах Kunpeng и Ascend. Система имеет ...

Вышла новая версия TerraLink xDE Компания «Терралинк» объявила о выпуске новой версии продукта для обмена электронными юридически значимыми документами TerraLink xDE. Обновления обеспечивают возможность массовой отправки приглашений к ЭДО из SAP. Переход на ЭДО с контрагентами — ...

Skylake: из серии “не ждали” После многочисленных задержек Broadwell, начала производства шестого поколения архитектуры Core ожидали не раньше второй половины 2016. Но в августе 2015 Intel объявила о начале производства настольных вариантов Skylake уже в сентябре. По неподтвержденным данным, архитектур...

Tesla привезла первую партию официальных электромобилей Model 3 в Европу, однако доставки заказчикам задерживаются из-за «неожиданных проблем» Спустя полтора года после того, как электромобиль Tesla Model 3 начал продаваться в США, до Европы добрались первые официальные поставки этой модели. Компания наконец получила разрешение от Управления по контролю за дорожным движением в Нидерландах (RDW), что позволяет ей пр...

Intel показала новый процессор i9-9900KS с Turbo-частотой 5 Ghz на ядро Вчера компания Intel представила публике свой новый восьмиядерный процессор (16 потоков) i9-9900KS с тактовой частотой в 5,0 Ghz на каждое ядро в режиме Turbo. Режим Turbo в процессорах Intel — это система, которая автоматически поднимает тактовую частоту ядер при увеличении...

Apple обдумывает рассказать про новый модульный Mac Pro на WWDC в июне Согласно новой информации от Bloomberg, Apple рассматривает возможность предварительного просмотра своего нового Mac Pro на Всемирной конференции разработчиков в июне этого года. Модульная концепция Mac Pro от Curved.de Apple заявила, что работает над высокопроизводительным...

До конца года Intel обновит платформы для массового и HEDT-сегмента Веб-ресурс Tweakers решил не ограничиваться недавней публикацией дорожных карт Intel. Вчера нидерландские коллеги обнародовали ещё один «роадмап», рассказывающий о планах чипмейкера в настольном сегменте более детально. В соответствии с новыми данными, премьера д...

Зачем мы делаем Enterprise Service Mesh Service Mesh — известный архитектурный паттерн для интеграции микросервисов и перехода на облачную инфраструктуру. Сегодня в облачно-контейнерном мире обойтись без него довольно сложно. На рынке уже доступны несколько open-source реализаций service mesh, но их функциональнос...

Однокристальная система Ambarella CV25 предназначена для умных камер нового поколения Компания Ambarella, известная как разработчик полупроводниковых решений для обработки видео высокого разрешения и компьютерного зрения, представила сегодня однокристальную систему CV25. В ней используется архитектура CVflow с поддержкой обработки изображений средствами ...

Сотрудники Intel подтверждают переход Apple на собственные процессоры ARM в компьютерах Mac в 2020 году С каждым днем появляется все больше свидетельств того, что в обозримом будущем Apple откажется от процессоров Intel, которые она с 2006 года использует в мобильных и настольных компьютерах Mac, в пользу собственных решений, построенных на архитектуре ARM. И сейчас появились ...

Процессоры Intel Lakefield раскрыли информацию о частотах и быстродействии Мобильные процессоры Ice Lake стали первыми представителями микроархитектуры Sunny Cove с графикой Gen11, но это не значит, что ими ограничится весь ассортимент подобных решений. До конца этого года должны выйти 10-нм мобильные процессоры Lakefield, на примере которых Intel ...

Xiaomi собственноручно разрабатывает новый процессор для будущих смартфонов Компания Xiaomi разрабатывает новое поколение собственного процессора серии Surge. Об этом сообщили китайские источники.

WeakRef — предложение для добавления в стандарт ECMAScript Написал небольшой пост в своём телеграм-канале. Подумал, что она может быть интересна читателям Хабра. Недавно в блоге V8 появилась статья, посвящённая новому пропозалу WeakRef (Stage 3) — "Weak references and finalizers". Попробую объяснить своими словами его суть на пример...

На WWDC 2019 анонсируют новые версии iOS 13, watchOS 6 и macOS 10.15, а также могут показать обновлённый Mac Pro и внешний 6K-дисплей Традиционно, компания Apple демонстрирует обновления своих программных продуктов iOS, Mac и SmartWatch во время проведения ежегодной конференции для разработчиков WWDC 2019. В этом году конференция начнётся 3 июня, и у компании припасено много нового. В этом году вместе с ан...

Cisco присоединяется к GDPR Cisco присоединяется к американским технологическим компаниям, требующим соблюдения правил конфиденциальности, подобных GDPR. Компания утверждает, что ее исследование доказывает связь между хорошей практикой конфиденциальности и бизнес-преимуществами.

Сети-2008: дорогущий "джи-бик" и «солома» в подъездах Конец 2007-го, начало 2008-го года. Мне посчастливилось застать если не зарю, то назовем это словом "рассвет" массового строительства сетей FTTB. Вы скажете, что мелкие сети уже были, и многим из них было больше 5-7 лет к этому времени. Даже спорить не стану, мой рассказ име...

Сети 2008: дорогущий G-big и «солома» в подъездах Конец 2007-го, начало 2008-го года. Мне посчастливилось застать если не зарю, то назовем это словом "рассвет" массового строительства сетей FTTB. Вы скажете, что мелкие сети уже были, и многим из них было больше 5-7 лет к этому времени. Даже спорить не стану, мой рассказ име...

Apple ищет производителя сенсоров для беспилотных автомобилей. Зачем это нужно Вокруг автомобиля от Apple ходило очень много слухов в течение последних нескольких лет, однако в прошлом году компания развеяла все сомнения, сообщив, что разрабатывает только систему навигации для беспилотных автомобилей. Могло показаться, что в Купертино решили отказатьс...

Российские медики тестируют устройство для общения силой мысли Об этом в интервью РИА Новости рассказал исполнительный директор отраслевого союза Национальной технологической инициативы (НТИ) "НейроНет" Александр СеменовПроект российских разработчиков "Нейрочат", по его словам, представляет собой программно-аппара...

В будущем году Toyota представит новое поколение автомобиля Mirai на топливных элементах Выступая на международной встрече в Токио, посвященной водородной энергетике, председатель правления компании Toyota Motor сообщил, что японский производитель разрабатывает второе поколение автомобиля Mirai, работающего на топливных элементах. Разработка будет завершена...

GeForce RTX 2080 Ti Super получит максимум из того, на что сейчас способна Nvidia в игровом сегменте Согласно недавним слухам, Nvidia всё же выпустит видеокарту GeForce RTX 2080 Ti Super, так как поколение Ampere якобы задерживается. Правда, при этом есть слухи, которые говорят, что задержки не будет, но это уже другая история. Мы предполагали, что, если RTX 2080 Ti S...

AMD откладывает выпуск Ryzen 9 3950X, но обещает новый Ryzen Threadripper уже в этом году Многие энтузиасты с нетерпением ожидают пополнения семейства массовых процессоров с микроархитектурой Zen 2 флагманской моделью — 16-ядерным процессором Ryzen 9 3950X. Во время представления семейства Ryzen 3000 в июле его выход был обещан на сентябрь. Однако сегодня AMD раз...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

Сервис «Партия еды» сменил название на «Яндекс.Шеф» «Яндекс» запустил на основе купленного осенью прошлого года стартапа «Партия еды» сервис доставки продуктов «Яндекс.Шеф». Продукты клиентам «Яндекс.Шефа» будут доставляться вместе с рецептами.

AMD обещает выпустить Ryzen Threadripper с микроархитектурой Zen 2 в 2019 году В мартовской презентации для инвесторов компания AMD решила раскрыть дополнительные подробности о своих планах по выпуску новых поколений процессоров для десктопов, рабочих станций и ноутбуков. Среди ожидаемых в этом году новинок значатся не только десктопные Ryzen третьего ...

Microsoft объяснила повторный анонс Windows образца 1985 года Около недели назад Microsoft внезапно вспомнила о своем очень старом продукте — Windows 1.0. Компания как бы вновь анонсировала древнюю ОС, что поначалу выглядело как забавная одноразовая шутка. Но все оказалось как всегда не так просто.

Американские телесети отменили телевизионные трансляции турнира по Apex Legends из-за случаев массовой стрельбы Кабельные телесети ESPN и ABC решили не показывать повтор трансляции турнира EXP Invitational по Apex Legends, который завершился 3 августа. Причиной стали недавние случаи массовой стрельбы, которые произошли в Техасе и Огайо. В сопроводительном письме ABC говорится, что реш...

SK Hynix верит в будущее рынка памяти DRAM и 3D NAND Хотя последний отчет SK Hynix свидетельствует, что на выпуске микросхем памяти заработать становится труднее, чем раньше, из-за снижения цен на эту продукцию, южнокорейский производитель верит в будущее рынка памяти DRAM и 3D NAND. Он поделился планами, цель которых &md...

Почему производители планшетов и ПК выбирают Intel Core m3-6Y30 Новейший два в одном Win10 планшет CHUWI Ubook поставляется с 14-нм энергоэффективным и высокопроизводительным процессором 6-го поколения Intel Core m3-6Y30. Подробнее об этом читайте на THG.ru.

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Выбираем блокчейн для своего бизнес-проекта: какое решение наиболее целесообразно Технология блокчейн уже пережила период становления и хайпа, драматические падения и, кажется, вошла в фазу практического осмысления и развития. Ошибочно считать, что блокчейн универсален и способен решить все существующие проблемы. Массовое закрытие ICO-проектов, собравших ...

Нужно сказать спасибо AMD. Новые CPU Intel Core i5 получат поддержку Hyper-Threading Недавно мы узнали, что процессор Core i3-10100 получит четыре ядра и будет поддерживать Hyper-Threading, что сделает его существенно интереснее предшественников. Судя по новым данным, подобное преображение ожидает и новые настольные CPU Core i5. В базу SiSoft Sandra по...

Новые Nintendo Switch и NVIDIA Shield TV получат улучшенную Tegra X1 На прошедшей игровой выставке E3 было представлено немало впечатляющих игр для гибридной портативной консоли Switch, но ожидания, что Nintendo покажет или расскажет о грядущих аппаратных новинках, не оправдались. Пока даже о формате устройств нет верных сведений, тем не мене...

Глава AMD Лиза Су – об играх, трассировке лучей и Radeon VII Лиза Су прокомментировала пресс-конференцию AMD на выставке CES 2019.

Не смартфонами едиными. За три месяца Xiaomi выпустила 44 разных продукта, не имеющих отношения к телефонам Недавний финансовый отчет Xiaomi за 2018 год показал, что на смартфоны, которыми так известна китайская компания, по-прежнему приходится больше половины всего дохода. Но мы то с вами хорошо знаем, что под брендом Xiaomi сейчас выпускается огромное количество продукции из сам...

AMD показала на CES 2019 процессоры Ryzen 3-го поколения Компания AMD провела презентацию нового чипа на базе техпроцесса 7нм, основанного на архитектуре Zen 2. Новинка под названием Ryzen предназначена для оснащения ПК и ноутбуков. В процессе презентации компания представила возможности своей новинки вместе с созданной новейшей в...

Intel анонсировала новые мобильные CPU и переработанную панель управления графикой Компания Intel на конференции GDC 2019 анонсировала мобильные процессоры Core i9 девятого поколения и представила […]

Настольные CPU Intel Comet Lake с разъёмом LGA 1200 и 10-ядерными флагманами появятся в начале 2020 года Несколько дней назад Intel представила мобильные процессоры Comet Lake. Они относятся к 10 поколению процессоров Core, но при этом, в отличие от Ice Lake, производятся по старому 14-нанометровому техпроцессу. Согласно новым данным, уже в первом квартале следующего года ...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

E-commerce хочет полноценно торговать лекарствами "КоммерсантЪ" напоминает, что существующая версия законопроекта предусматривает легализацию онлайн-продаж безрецептурных препаратов с 2020 года с доставкой фармацевтами и медработниками. Причем доставка возможна только в пределах того муниципального образования (э...

Линус Торвальдс не верит, что серверы на ARM-архитектуре заменят x86. «Продавать 64-битную модель — идиотизм» На прошлой неделе компания ARM Holdings объявила, что разрабатывает новую микро-архитектуру для серверных процессоров. Вычислительное ядро, которое будет в ней использоваться, носит кодовое имя Ares, и по обещаниям должно дать 60% прирост по сравнению с текущей платформой....

Бюджетный Huawei Y5 (2019) получит чип Helio A22 Huawei продолжает «перевооружение» не только флагманских устройств, но и бюджетников своего модельного ряда. А все для того, чтобы зарабатывать на продажах своих устройств не изредка, а регулярно, нужны более дешевые и массовые модели. Вот и плодят китайцы о...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)