Социальные сети Рунета
Вторник, 23 апреля 2024

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

Panasonic предупредила о первом падении прибыли за восемь лет Компания вынуждена увеличивать расходы на наращивание выпуска аккумуляторов и проводить трансформацию некоторых подразделений под давлением инвесторов.

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

В рамках 5-нм техпроцесса EUV-литография будет использоваться гораздо активнее Популярность технологии растёт.

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

Архитектура Zen 3 и 7nm+ техпроцесс EUV увеличат плотность транзисторов на 20% Уже в следующем году AMD перейдёт на архитектуру Zen 3 и более совершенный техпроцесс 7nm+ с литографией в жёстком ультрафиолете (EUV). И сегодня нам удалось узнать, чего стоит ждать от этого перехода. Подробнее об этом читайте на THG.ru.

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

Презентация графической архитектуры Nvidia Ampere может состояться весной 2020-го Давно не секрет, что специалисты Nvidia в настоящее время трудятся над графическими процессорами с архитектурой Ampere. Эти GPU будут изготавливаться на заводах Samsung по 7-нанометровому техпроцессу на базе ультрафиолетовой литографии и, по предварительной...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Huawei построит фабрику рядом с Кембриджем Как известно, Великобритания не поддержала США в борьбе с Huawei. Китайский гигант получит возможность строить сеть 5G в стране, несмотря на то, что ряд других европейских стран поддерживает США. Теперь же сообщается, что Huawei в Великобритании намерена построить фабр...

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Расходы на рекламу и разработки компании Intel удалось сократить Инвестиции в перспективные виды бизнеса и техпроцессы не пострадали.

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Forrester: на подходе новая “фабрика данных” Пришло время отказаться от монолитных систем и изобрести новую модель работы с данными (фабрику данных, фабрику информации), которая поможет оперировать информацией более динамично, считает главный аналитик Forrester Мишель Гетц ...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

Расходы на освоение 10-нм техпроцесса сильнее всего влияют на прибыль Intel в серверном сегменте Потому что в мобильном сегменте 10-нм процессоры уже приносят компании прибыль.

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Wildcat Discovery и InoBat построят в Словакии фабрику по производству батарей для электромобилей Словацкая группа InoBat и американская энерготехнологическая компания Wildcat Discovery Technologies построят в Словакии фабрику по выпуску аккумуляторов для электромобилей. Стоимость фабрики составляет €100 млн. Данное производственное предприятие призвано удовлетворить буд...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Расходы Amazon на рекламу возросли на 72,5% В прошлом году ритейлер Amazon израсходовал на рекламу на 72,5% больше средств, нежели годом ранее. Речь идет о крупнейшем росте рекламных расходов среди десяти ведущих рекламодателей планеты.

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Инцидент на фабрике TSMC разрушает тысячи видеокарт NVIDIA На одной из фабрик TSMC по производству чипов произошел инцидент, в результате которого повреждены не менее 10 000 16нм и 12нм пластин, которые NVIDIA использует для своих графических процессоров Pascal и Turing. NVIDIA не одинока, и производители мобильных чипов, такие...

Конец роста. В этом году ожидается сокращение расходов на полупроводниковые фабрики на 14% Аналитики отраслевой организации SEMI прогнозируют, что в текущем году мировые расходы на оборудование для производства полупроводниковой продукции сократятся на 14% (53 млрд долларов). Однако уже в 2020 году ожидается быстрое восстановление рынка — рост на 27% (6...

[Перевод] Надёжность Flash–памяти: ожидаемое и неожиданное. Часть 3. XIV конференция ассоциации USENIX. Технологии хранения файлов Надёжность Flash–памяти: ожидаемое и неожиданное. Часть 1. XIV конференция ассоциации USENIX. Технологии хранения файлов Надёжность Flash–памяти: ожидаемое и неожиданное. Часть 2. XIV конференция ассоциации USENIX. Технологии хранения файлов 5.5. Неисправляемые ошибки и лит...

КонцепцияFujitsu Connected Retail увеличивает доходы Fujitsu продемонстрирует, как можно повысить уровень удовлетворенности покупателей и снизить расходы с помощью инновационных решений в рамках концепции Connected Retail

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Tesla начинает строить фабрику в Шанхае, но ситуация в компании сложная На днях на Хабре публиковалась новость о том, что Tesla Inc выходит на рынки Европы и Китая. Для того, чтобы усилить свои позиции в Поднебесной, компания начинает строительство собственной фабрики — Gigafactory 3. О начале работ по созданию нового производственного центра ...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Московская фабрика 3D-печати займется производством запасных частей для локомотивов Российская компания «2050.Аддитивные технологии» приступила к созданию фабрики по 3D-печати деталей для сервисных локомотивных депо и предприятий транспортного машиностроения.Подробнее...

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

TSMC потеряла $550 млн вследствие инцидента на одной из фабрик В конце января на фабрике Fab14B тайваньского контрактного производителя TSMC были повреждены до 30 тыс. 12-нм и 16-нм кремниевых пластин, что составляет почти треть планового выпуска продукции за месяц. Причиной инцидента послужила бракованная...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Российский бизнес увеличивает расходы на Big Data Больше половины (55,4%) крупных компаний в РФ выделяют деньги на внедрение технологий анализа больших данных.

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Как повысить качество жизни, не увеличивая расходы Качество жизни во многом зависит от материальных благ, но не полностью определяется ими. Так что вы вполне можете повысить качество своей жизни, не переезжая в другую страну и даже не меняя работу на более прибыльную.

АО «ДРАГА» внедрило «Фабрику XBRL» В результате проекта отчётность по БФО за 2018 год и НСО за декабрь 2018 года была сдана из «Фабрики XBRL» и принята Центральным Банком. В системе настроено автозаполнение 10 форм надзорно-статистической отчётности и 58 форм бухгалтерской отчётности.

Samsung закрыла производство по выпуску смартфонов в Китае Компания Samsung длительное время выпускала мобильные телефоны и смартфоны в Китае. Но теперь по ряду причин она вынуждена сворачивать этот бизнес. Компания закрыла свою последнюю местную фабрику по выпуску смартфонов, расположенную в Хуэйчжоу. Корейский гигант и далее будет...

Тест-драйв Toyota Camry Hybrid: когда электричество – «за» разгон и «против» расхода Если верит записям в электронных билетах, то в полете на презентацию Toyota Camry Hybrid я преодолел около 2 000 км – и все для того, чтобы узнать всего две цифры: разгон 0-100 км/ч и расход топлива. Ведь уже известно, что гибридная техника Toyota реально работает: снижает р...

Google перенесёт производство смартфонов на фабрику Nokia Согласно Nikkei Asian Review, Google планирует перенести производство своих смартфонов Pixel из Китая во Вьетнам. Для этого поисковой гигант уже начал работу по преобразованию старой фабрики Nokia в северном Вьетнаме. Такое решение было принято после разгара торговой войны…

По прогнозу Gartner, в 2019 году расходы на ИТ вырастут на 1,1% Компания Gartner опубликовала прогноз, в котором сказано, что мировые расходы на информационные технологии (ИТ) в 2019 году составят 3,79 трлн долларов. Этот показатель соответствует росту по сравнению с 2018 годом на 1,1%. Примечательно, что в сегменте центров ...

Фабрика друку EPSON – понад 35 мільйонів проданих пристроїв Сьогодні саме компанія Epson, що першою винайшла принтери із вбудованими ємностями, має найширший модельний ряд кольорових і монохромних пристроїв серії «Фабрика друку Epson» для різноманітних призначень і робочих груп — понад 30 моделей. Завдяки популярності пристроїв цієї ...

Обзор материнской платы ASRock B365 Phantom Gaming 4. Знакомство с возможностями чипсета Intel B365 Подготовка модельного ряда хабов трёхсотой серии не предвещала появления продуктов у компании Intel, идущих в разрез с общепринятыми тенденциями последних лет. Однако в прошлом году наступил коллапс на производстве, использующего нормы литографии 14...

Фабрика производства смартфонов 5G Ген. директор Xiaomi Лэй Цзюнь сообщил, что компания строит огромную фабрику 5G для производства смартфонов. Фабрика строится в Пекине. С помощью автоматизированных производственных линий и больших данных завод сможет производить 60 смартфонов в минуту. Строительство заверши...

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

Сбербанк снижает расход бумаги в бизнес-процессах Сбербанк сообщил о снижении расхода бумаги в бизнес-процессах — только за девять месяцев текущего года банк использовал на 27% меньше бумаги по сравнению с аналогичным периодом прошлого года. Экономия составила более ...

Уникальная технология позволит сварить «непобедимый» алюминиевый сплав По своим характеристикам алюминиевый сплав АА7075, разработанный в 40-х годах прошлого века, обладает прочностью стали — но лишь третью ее веса. К сожалению, широкое его использование все это время было невозможно, потому что он не поддавался сварке. Но теперь это, нак...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

Xiaomi строит собственную 5G фабрику: 60 смартфонов в минуту На конференции World 5G 2019, которая состоялась сегодня в Пекине, генеральный директор Xiaomi Лэй Цзюнь (Lei Jun) сообщил, что на данный момент компания строит собственную фабрику по производству 5G-смартфонов. Также глава отметил, что новая фабрика будет высокоэффективной ...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

В Нидерландах открылась фабрика строительной 3D-печати В Эйндховене открылось предприятие, гордо именуемое создателями первой европейской фабрикой строительной 3D-печати. Финансирует новое предприятие строительный холдинг Royal BAM Group, рассматривающий возможность использования 3D-принтеров в возведении мостов, домов и других ...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Низкий спрос на iPhone отразился на фабриках-сборщиках О том, что iPhone продаются ниже ожидаемых объемов, не говорит только ленивый. В начале нынешнего года Apple снизила прогнозируемый доход от реализации смартфонов и аналитики уже все громче говорят о том, что спад продаж iPhone продолжится и в нынешнем году. Выиграет от этог...

Компания Relativity Space строит фабрику по 3D-печати космических ракет Космический стартап Relativity Space приступает к обустройству автоматизированной ракетной фабрики при поддержке NASA. Компания намеревается заняться производством ракет-носителей с 95-процентной долей 3D-печатных металлических компонентов и осуществить первый орбитальный за...

[Перевод] Energyra работает над возобновлением работы фабрики солнечных панелей в Нидерландах Обанкротившийся производитель солнечных модулей всё ещё ведёт переговоры с потенциальными инвесторами и говорит им, что сможет в кратчайшие сроки развернуть производство на закрытой фабрике в нидерландском Занстаде (Zaanstad) в самые короткие сроки. Под катом - как такое п...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

Самостоятельного подразделения Sony Mobile отныне не будет Основной задачей для Sony Mobile является сделать смартфонный бизнес рентабельным. Компания должна научиться зарабатывать деньги. А еще производитель пытается достигнуть намеченной цели снижением расходов и оптимизацией количества занятого персонала. Так, на днях пришло сооб...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Миллионы людей скачали в Google Play приложения, стремительно разряжающие батарею смартфона Исследователи из фирмы по кибербезопасности Symantec нашли в Google Play два мошеннических приложения с более чем 1,5 миллионами скачиваний. Эти приложения быстро разряжали батарею, замедляли работу смартфона и увеличивали расход мобильного трафика.

В России построили экоферму на месте табачной фабрики На территории одного из наиболее загрязненных в прошлом районов Москвы возвели экоферму, на которой занимаются выращиванием салатов и различной зелени.

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

Tesla сократит 3000 человек и сосредоточится на производстве Model 3 Tesla сокращает 7% своей рабочей силы. Компания сообщила об уменьшении численности персонала в письме всем сотрудникам, а также разместила информацию на своем веб-сайте. В электронном послании генеральный директор компании Илон Маск говорит, что основное внимание должно быт...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

SK Hynix планирует потратить 107 млрд долларов на строительство четырех фабрик по выпуску микросхем памяти Компания SK Hynix сообщила о намерении потратить 107 млрд долларов на строительство четырех фабрик по выпуску микросхем памяти. Так южнокорейский производитель этой продукции намерен сохранить свою конкурентоспособность перед лицом усилий Китая по превращению страны в л...

Foxconn уже строит крупную фабрику по производству телевизионных панелей 8K На сегодняшний день телевизоры 8K являются невероятно дорогой и в целом бесполезной диковинкой. Однако аналитики указывают на то, что определённую популярность такие модели наберут уже по итогам текущего года, а уж спустя несколько лет и вовсе начнёт переход с 4K на 8K....

Adidas закрывает роботизированные фабрики в Германии и США, технологии компании будут использоваться поставщиками в Азии Adidas отказывается от производства своей продукции на роботизированных фабриках Speedfactory в Ансбахе (Германия) и Атланте (США). Оба предприятия будут закрыты до апреля 2020 года, говорится в пресс-релизе компании. Используемые на них технологии до конца текущего года пер...

«Интер РАО Инвест» установила «Фабрику XBRL» Компания «Интер РАО Инвест» является лицензированным профессиональным участником рынка ценных бумаг и обязана предоставлять бухгалтерскую и надзорную отчетности в формате XBRL согласно Указанию №4621-У от 27.11.2017г, выпущенному ЦБ РФ. В рамках выполнения данной задачи комп...

Смартфоны Samsung с этикеткой Made in China скоро исчезнут Как пишет источник, компания Samsung, начала увольнять персонал со своего единственного китайского завода по производству смартфонов: на предприятии Huizhou Samsung Electronics Co. запущена программа компенсаций при сокращении. Все увольнения осуществляются на доброволь...

Убытки TSMC после химического загрязнения на производстве оценены в $550 млн Январский инцидент на крупнейшей фабрике TSMC, когда из-за загрязнения одного из реактивов, применяемых для подготовки фоторезиста, компании пришлось отправить в утиль партию из нескольких тысяч полупроводниковых пластин, обойдется ей в 550 миллионов долларов убытков. Напомн...

[Из песочницы] Абстрактная фабрика на пальцах Написать данную статью меня заставили две причины. Совсем недавно я познакомился с паттерном Абстрактная фабрика. Как говорится – «Не умеешь сам, научи товарища». Известно, что один из лучших способов закрепления материала – это объяснение кому-либо ранее изученного. Вторая ...

Сотовый оператор Tele2 сильно поднял цены на всех тарифных планах с 1 марта К сожалению, от тенденции глобального подорожания всего в России никуда деться нельзя – дороже становится все, начиная от продовольствия и заканчивая топливом для транспортных средств, а это, в свою очередь, увеличивает расходы для любого бизнеса, Сообщение Сотовый оператор...

Huawei построит фабрику в Великобритании Китайская компания Huawei приобрела участок земли площадью 2,23 км2 в английском Саустоне для строительства фабрики по разработке и производству микросхем для телекоммуникационного оборудования. Сумма сделки составила $75,7 млн. Об этом пишет издание Financial Times. Как соо...

Sony инвестирует в производство датчиков изображения еще 918 млн долларов Компания Sony намерена выделить средства на новую полупроводниковую фабрику в префектуре Нагасаки. Предприятие будет выпускать датчики изображения типа CMOS, используемые в камерах смартфонов. Производитель заложил инвестиции в размере 918 млн долларов в проект бюджета...

Стало известно, у кого и когда Nvidia закажет выпуск первых GPU по технологии EUV По сообщению источника, компания Nvidia будет проектировать графические процессоры, выход которых запланирован на будущий год, в расчете на изготовление по 7-нанометровой литографии в жестком ультрафиолетовом диапазоне (EUV). Их выпуск будет заказан у компании Samsung. ...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Группа «НРК - Р.О.С.Т.» внедрила решение «Фабрика XBRL» В ходе проекта был оптимизирован процесс загрузки в «Фабрику XBRL» формы по ОКУД 0420420 «Отчет регистратора». Из-за больших объёмов данных - порядка 20 тысяч строк - её конвертация в Excel для внесения ручных корректировок и обратно в формат XBRL занимала много времени поль...

SK Hynix на EUV-литографию перейдёт не ранее 2021 года Samsung сделает это быстрее всех.

Intel довольна первыми шагами в освоении EUV-литографии Но этот путь не будет лёгким.

Процессоры для iPhone 2019 сделает TSMC Процессоры Apple A13 будут изготавливаться с применением EUV-литографии

У Samsung готова 7-нанометровая технология EUV Компания TSMC первой среди производителей полупроводниковой продукции освоила выпуск продукции по нормам 7 нм. И хотя формально это 7-нанометровая продукция, ее характеристики они находятся на тех же уровнях, которые ожидаются от 10-нанометровой продукции Intel. В компа...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Samsung утверждает, что откладывать переход на EUV-литографию больше нельзя Держаться нету больше сил.

AMD верит, что Intel сможет наверстать упущенное в сфере литографии И когда она вернётся, то проявит ненасытность.

Intel в третьем квартале 2019 года: доход тот же, прибыль упала Компания Intel опубликовала отчет за минувший квартал. Отчетный период принес ей доход 19,190 млрд долларов. Производитель называет это значение рекордным. Отметим, что год назад доход был равен 19,163 млрд долларов, то есть прошлогодний рекорд удалось улучшить на 0,14%...

Мировые продажи «Фабрики печати Epson» превысили 40 миллионов устройств Компания Epson объявила о том, что глобальные продажи принтеров и МФУ серии «Фабрика печати Epson» достигли отметки в 40 миллионов единиц. Первые бескартриджные устройства поступили в продажу на индонезийский рынок ...

Российские банки увеличивают расходы на продвижение в новых медиаканалах В 2019 году российские банки и платёжные системы стали тратить больше денег на рекламу в блогах, мессенджерах, соцсетях, YouTube и на форумах. Об этом свидетельствуют данные партнёрской сети Admitad. За 9 месяцев 2019 года владельцы интернет-площадок в новых медиаканалах зар...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

У Samsung могли возникнуть проблемы с освоением EUV-литографии в рамках 7-нм технологии Ожидается, что от этого пострадает Qualcomm.

ASML отмечает рекордный спрос на оборудование для EUV-литографии И только у Intel самое интересное ещё впереди.

TSMC считает, что при освоении EUV-литографии окажется впереди конкурентов Только экономической выгоды она пока не приносит.

Intel начала активнее закупать оборудование и материалы для EUV-литографии Готовь сани летом.

В Европе расходы на решения в области безопасности в этом году достигнут 27,3 млрд долларов По прогнозу аналитической компании IDC, в этом году в Европе на аппаратное обеспечение, программное обеспечение и услуги, связанные с безопасностью, будет потрачено 27,3 млрд долларов. Этот прогноз соответствует росту указанных расходов на 8,3% по сравнению с прошлым го...

ODM-производители начнут производить среднего ... Не добившись больших успехов на рынке Китая, Samsung закрыла собственные фабрики в этой стране. Вместо этого принято решение увеличить количество смартфонов, произведенных силами ODM-производителей на условиях аутсорсинга. Ожидается, что в следующем году 20% всех смартф...

Waymo построит рядом с Детройтом крупную фабрику по переоборудованию автомобилей в беспилотники за $13,6 млн Компания Waymo, специализирующаяся на создании беспилотных автомобилей, объявила о масштабном расширении производственных мощностей. Компании удалось договориться с властями штата Мичиган, где находится «колыбель американского автопрома» Детройт, о строительстве кр...

Главный специалист Intel по литографии признаёт ошибки планирования с 10 нм Не нужно было так задирать планку.

SK Hynix переводит выпуск памяти типа DDR4 на новую ступень литографии Скоро удастся разменять 10 нм.

Micron открывает новую фабрику NAND в Сингапуре По сообщению источника, компания Micron Technology ввела в строй новую фабрику по выпуску флеш-памяти типа NAND. Предприятие расположено в Сингапуре и начнет выпускать коммерческую продукцию в конце текущего года. Фабрика рассчитана на 300-миллиметровые пластины...

Zenith: в 2019 году реклама в соцсетях впервые обойдёт печатную по расходам В 2019 году мировые расходы на рекламу в социальных сетях вырастут на 20% — до $84 млрд. При этом её доля в общих расходах рекламодателей составит 13%, что сделает соцсети третьим по величине рекламным каналом после ТВ и поиска. Об этом говорится в новом прогнозе Zenit...

Рабочие китайской фабрики хотели вынести детали iPhone через туннель Издание The Information поделилось информацией о том, что компания Apple постоянно усиливает меры безопасности на фабриках китайских партнеров. Специально для этого купертиновцы нанимают бывших военных разведчиков, которые свободно владеют китайским языком и руководят провер...

Tesla резко наращивает объемы производства электромобилей Компания Tesla, похоже, собирается не только выполнить обещания по производству тысяч электромобилей в неделю, она вполне способна и перевыполнить план. Недавно представители компании заявили о расширении производства на фабрике во Фремонте, Калифорния. К концу квартала ко...

Компания LG Display в течение многих лет несла убытки, выпуская панели OLED для Apple Watch Компания LG Display рассматривает возможность вывода из эксплуатации одной из фабрик по выпуску панелей OLED. Фабрика E2 в южнокорейском городе Паджу работает с подложками 4,5G (730 х 920 мм). Изготавливаемые здесь панели OLED используются в смартфонах и умных часах. В...

Motorola наконец-то сделала смартфон лучше, чем Xiaomi. Нет, я не шучу Моторола чем-то похожа на «Металлику» — тоже американская звезда прошлых лет, у которой хватает сил на творчество даже сегодня, правда, многим фанатам уже не нравится новый репертуар. В отличие от HTC, которые твёрдо ответили «вы козлы, а мы красавчики!» и решили умереть, ли...

По прогнозу IDC, в этом году расходы на 3D-печать достигнут 13,8 млрд долларов Специалисты аналитической компании IDC попытались спрогнозировать расходы на 3D-печать в текущем году. В подготовленном ими прогнозе сказано, что в 2019 году расходы на 3D-печать, включая оборудование, расходные материалы, программное обеспечение и сервисы, достигнут 1...

Samsung сворачивает производство смартфонов в Китае В последнее время доля компании Samsung на рынке мобильных устройств в Китае сократилась до ничтожного 1%. Для сравнения та же Apple контролирует 9% этого рынка.     На фоне падения спроса на свои продукты и усилившегося давления со стороны местных производителей,...

Huawei стала вторым по величине клиентом TSMC Huawei первой закажет у TSMC выпуск чипов по технологии, основанной на использовании литографии в жестком ультрафиолетовом диапазоне.

Расходы на дата-центры снизятся в 2019 году Согласно недавнему исследованию Gartner, в 2019 году мировые расходы на системы ЦОДов снизятся на 2,8% до 204 млрд долл. по сравнению с прошлым …

Расходы на решения в области безопасности в этом году превысят 100 млрд долларов По прогнозу аналитической компании IDC, мировые расходы на аппаратное обеспечение, программное обеспечение и услуги, связанные с безопасностью, в текущем году достигнут 103,1 млрд долларов. Это на 9,7% больше, чем в прошлом году. В целом на период с 2018 по 2022 год ана...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Страшный сон риелтора: 25 примеров ужасных интерьерных решений Не все из них поддаются логике.

Специалисты Toshiba Memory Corporation создали микросхему, позволяющую существенно увеличить скорость и емкость SSD Компания Toshiba Memory Corporation объявила о разработке микросхемы моста, которая позволяет увеличить скорость и емкость твердотельных накопителей. Такие микросхемы, занимающие небольшую площадь на печатной плате и имеющие низкое энергопотребление, дают возможность по...

Adidas закроет роботизированные заводы в Германии и США В 2016 году Adidas запустила производство обуви на роботизированной фабрике Speedfactory в немецком городе Ансбах. В 2017 году появилась еще одна роботизированная фабрика возле Атланты (США). Adidas открывала подобные заводы, пытаясь удовлетворить спрос на быструю доставку н...

«Ты не пройдёшь!»: 30 ужасных лестниц, которые делали не для людей Сомнительные инженерные решения, многие из которых не поддаются объяснению.

TSMC начала производство чипов по технологии 7 нм+ второго поколения Для тайваньской компании это первый производственный проект с использованием литографии в жестком ультрафиолетовом диапазоне.

Когда дизайнер психанул: 20 фото необычных кроватей и спален Далеко не все из них поддаются объяснению.

Nikkei: Panasonic больше не планирует дополнительных инвестиций в Tesla Gigafactory, но может передумать в случае успеха Model Y Как сообщает Nikkei Asian Review, на фоне опасений аналитиков Уолл-стрит, связанных с ослабеванием спроса на электромобили Tesla (оценка основана на результатах прошлого квартала), Tesla и Panasonic заморозили планы по дальнейшему расширению Gigafactory 1, крупнейшего в мире...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Новые AirPods Pro совершенно не поддаются ремонту. Замена будет дорогой AirPods Pro пошли по стопам первых поколений.

Учёные выяснили, почему рак не поддаётся химиотерапии Учёные нашли ответ на вопрос, почему некоторые раковые опухоли невосприимчивы к химиотерапии.

Toshiba Memory и Western Digital совместно инвестируют средства в новую фабрику по выпуску флэш-памяти Компании Toshiba Memory и Western Digital заключили соглашение о совместном инвестировании в производственное предприятие K1, которое Toshiba Memory в настоящее время строит в Китаками, префектура Иватэ, Япония. Фабрика K1 будет производить флеш-память с объемной...

Чипсет Intel B365 нашёл применение в пяти материнских платах ASRock Модельный ряд системных плат ASRock для платформы Intel LGA1151-v2 пополнился пятью изделиями, созданными на базе набора логики B365. Данный чипсет, напомним, был представлен в прошлом месяце, производится по 22-нм техпроцессу и может обеспечить...

Sukhoi Superjet 100 получил «сайберлеты» Как сообщает пресс-служба «Гражданских самолетов Сухого», испытания проводились в Жуковском на двух авиалайнерах с заводскими номерами «95032» и «95157». Законцовки крыла, обычно называемые винглетами, могут быть выполнены в разных формах. Они позволяют увеличить эффективный...

Доход ASML за квартал достиг 3 млрд евро — продажи оборудования для EUV-литографии растут Нидерландская компания ASML, являющаяся крупнейшим производителем фотолитографического оборудования для полупроводниковой отрасли, опубликовала отчет за третий квартал 2019 года. Квартальный доход ASML составил 2,987 млрд евро. Для сравнения: во втором квартале доход б...

Медленный и дорогой процесс масштабирования приобретения пользователей Один из самых ценных и полезных навыков, которые может получить маркетолог в своей карьере - это масштабирование роста с нуля. При приеме на работу в “отделы роста” часто встречаются люди, которые работали в крупных известных компаниях, управляли крупными маркетинговыми бюдж...

Intel созрела для внедрения EUV-литографии только в рамках 7-нм технологии Эта технология не помешала бы и в рамках 10-нм техпроцесса, но отрасль была не готова, как и сама компания Intel.

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

А был ли мальчик: некоторые специалисты сомневаются в фактах давления на обозревателей со стороны NVIDIA Не стоит поддаваться всеобщей истерии.

8 привычек людей, которые умеют не поддаваться стрессу Эти простые установки помогут меньше нервничать и лучше отдыхать.

Как экономить, если денег и так нет Начните планировать траты, ищите бесплатные аналоги развлечений и не поддавайтесь соблазну скидок.

SK Hynix сократит выпуск флеш-памяти NAND более чем на 10% Как мы уже сообщали, сегодня компания SK Hynix опубликовала квартальный отчет. По сравнению с предшествующим кварталом доход и операционная прибыль сократились на 32% и 69%. По словам производителя, причина в том, что цены на его продукцию снижались «быстрее, чем ...

Gartner: снижение расходов на ЦОДы сокращает темпы роста ИТ-рынка По прогнозу Gartner, валютные колебания негативно отразятся на мировых расходах на ИТ, а рынок …

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Водная птица: гибридный самолет P2 Xcursion При этом P2 Xcursion лишен типичных для гидросамолетов выступающих поплавков, заметно увеличивающих лобовое сопротивление. В качестве поплавков выступают… сами консоли крыла. Вообще, аэродинамика этого малыша продумана до мелочей. Цель — снизить расход топлива и увеличить да...

Умные терморегуляторы позволяют до 37% снизить расходы на отопление Каждый год отопительный сезон требует отдельной статьи расходов семейного бюджета вне зависимости от типа отопления в доме или квартире.

Производители телевизионных панелей массово сокращают их выпуск По данным аналитической компании TrendForce, цены на телевизионные панели опустились до такого уровня, что, как правило, оказываются ниже затрат на производство. Это побудило корейских, тайваньских и китайских производителей в сентябре начать снижать загрузку соответств...

Подкаст Лайфхакера: 8 привычек людей, которые умеют не поддаваться стрессу Эти простые установки помогут вам меньше нервничать и лучше отдыхать.

Huawei закрыла лазейку для установки Google Play на Mate 30 В прошлом месяце компания Huawei анонсировала новейшие смартфоны Mate 30 и Mate 30 Pro. Из-за конфликтов с США, китайский производитель вынужден поставлять свои флагманы без встроенного магазина приложений Google Play. Вскоре появился способ, позволяющий пользователям…

5 способов стать разумным потребителем и начать экономить на покупках Не поддавайтесь эмоциям и перестаньте идентифицировать себя с тем, чем вы владеете.

Снижение зарплат и платная прачечная. Слабый спрос на iPhone больно ударил по сотрудникам Foxconn Слабый спрос на iPhone во всем мире отразился не только на финансовых показателях компании Apple, но и затронул еще огромное количество других компаний, которые занимаются поставкой комплектующих и сборкой этих устройств. Так, например, стало известно о том, как слабый ...

В Германии стартовало серийное производство электрокроссовера Mercedes-Benz EQC с запасом хода 450 км и ценником от €71 тыс. Немецкий автопроизводитель Mercedes-Benz объявил о начале серийной сборки электрокроссовера Mercedes-Benz EQC, который был представлен в сентябре прошлого года. Новинку начали собирать на фабрике компании в Бремене, где также производят модели C-Class Saloon/Estate и GLC and...

Формы-заготовки iPhone 2019 года подтверждают наличие необычной тройной камеры Следующие iPhone выйдут лишь в сентябре, но утечки о новых смартфонах Apple начали поступать ещё в прошлом году. Уже публиковались якобы попавшие в Сеть прямо с завода схемы iPhone XI и iPhone XI Max (будем называть их так). Теперь речь идёт якобы о заготовках аппаратов буду...

Проект «СберДанные»: обработка больших данных позволила выявить всплеск в расходах россиян Сбербанк и Яндекс.Маркет с помощью анализа больших данных выяснили, что расходы россиян в период с 1 по 9 мая увеличились на 9% до 693,8 млрд руб. В этот период заметно возрос в категориях «Магазины Duty Free» и «Товары для строительства и ремонта».

В будущем году расходы на оборудование для полупроводникового производства вырастут на 20% В этом году расходы на оборудование для полупроводникового производства составят 48,4 млрд долларов, что на 19% меньше прошлогоднего показателя. Однако уже в 2020 году полярность изменений на рынке станет противоположной и расходы на оборудование для полупроводникового ...

Названы сроки замены хирургов роботами Уже к 2023 году расходы на облачную медицину в Китае достигнут 16,9 млрд юаней (около 2,5 млрд долларов). Это на 27,3% больше, чем в прошлом году. К таким выводам пришло консалтинговое агентство IDC на основе собственных исследований.

3 причины, по которым проблемы с деньгами возникают даже у умных людей Мы не учитываем реальную стоимость денег, поддаёмся эмоциям и стремимся к быстрому вознаграждению.

4 удивительных факта о том, как мы на самом деле принимаем решения Мы отличаемся от компьютеров не так уж сильно: наше поведение тоже предсказуемо и легко поддаётся контролю.

SK hynix может купить одну из полупроводниковых фабрик Intel Южнокорейский производитель микросхем памяти SK hynix заинтересован в приобретении фабрики Intel по производству микросхем памяти, расположенной в китайском городе Далянь. По данным китайских СМИ, переговоры между SK hynix и Intel уже идут. Предприятие Fab 68 вып...

Samsung построит в Индии два новых завода Рынок Индии для Samsung очень важен. В отличие от той же Apple, корейский гигант хоть и опустился на второе место, уступив первенство Xiaomi, всё же продаёт в Индии десятки миллионов смартфонов в год. Поэтому неудивительно, что в Сети появилась информация о намерении к...

По прогнозу Gartner, в этом году расходы на ИТ вырастут всего на 0,6% Компания Gartner опубликовала новый прогноз мировых расходов на информационные технологии (ИТ) в 2019 году. Еще в апреле аналитики Gartner считали, что в 2019 году расходы на ИТ вырастут по сравнению с 2018 годом на 1,1%, но сейчас они понизили свой прогноз до 0,6%. В а...

Завод Tesla Gigafactory 1 уже произвёл более 600 млн аккумуляторных батарей для электромобилей Как сообщает источник, завод Tesla Gigafactory 1, с которым у компании было немало проблем на момент постройки и запуска, сейчас превратился в один из самых главных активов Tesla. С момента запуска на Gigafactory 1 уже произведено более 600 млн аккумуляторных батарей д...

В сентябре Foxconn откроет в Китае первую фабрику, которая будет выпускать только носимую электронику Компания Foxconn, являющаяся очень крупным, если не крупнейшим контрактным производителем электронных изделий, строит новое предприятие в Чэнду на юго-западе Китая. Эта фабрика будет выпускать только носимую электронику. Она должна быть открыта в сентябре. Учитывая, чт...

Правительство установит слежку за расходами россиян В рамках конференции “Налоги-2019”, заместитель директора департамента инвестиционной политики Минэкономразвития России сообщила, что ведомство рассматривает идею постоянного и системного контроля доходов и расходов физлиц для борьбы с теневой частью экономики страны.

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Tesla договаривается с китайской компанией Lishen о поставке аккумуляторов Компания Tesla подтвердила, что получила от китайской компании Lishen предложение на поставку аккумуляторных батарей для новой шанхайской фабрики Tesla по производству электромобилей. Ранее агентство Reuters сообщило, ссылаясь на два источника, непосредственно знакомых ...

Наушники Apple AirPods 2 нельзя отремонтировать Портал iFixit, который вечно все разбирает на запчасти, заполучил новые наушники AirPods 2 от Apple и сразу же проверил, насколько хорошо они поддаются ремонту. Как оказалось, ремонту они не поддаются от слова совсем – отремонтировать их нельзя.

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

Куда уходит интернет-трафик на смартфоне? Инструкции и приложения для отслеживания расхода интернет-трафика на смартфонах. Часто пользователи не следят за расходом интернет-трафика на...

Volkswagen будет серийно производить мобильные скоростные зарядки с внутренней батареей от электромобилей VW MEB В самом конце прошлого года немецкий автопроизводитель Volkswagen продемонстрировал мобильную скоростную зарядку для электромобилей с внутренней батареей на 360 кВтч. Из-за отсутствия реальных фотографий системы многие приняли ее за очередной концепт, однако представители ко...

В прошлом году безопасность Марка Цукерберга обошлась Facebook в 22,6 млн долларов За прошлый год компания Facebook более чем удвоила расходы на обеспечение безопасности генерального директора Марка Цукерберга (Mark Zuckerberg). В течение последних трех лет Цукерберг получал базовый оклад 1 доллар, а компенсации по статье «другое» ...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

После звонка Дональда Трампа Foxconn снова захотела построить завод в Висконсине Только на прошлой неделе стало известно, что компания Foxconn передумала строить фабрику по выпуску ЖК-панелей в штате Висконсин, а вместо неё планирует возвести научно-исследовательский центр с меньшим количеством сотрудников. И вот теперь у компании снова поменялись планы....

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Foxconn изменила планы на пресловутую фабрику в США Летом прошлого года после череды слухов и заявлений компания Foxconn наконец-то приступила к строительству своей первой фабрики в США. Завод строят в штате Висконсин. Он должен создать до 15 000 новых рабочих мест, а стоимость объекта составит около 10 млрд долларо...

Аналитики подсчитали продажи Battlefield и Fallout 76 Компания SuperData Research опубликовала свежий отчет о состоянии рынка компьютерных игр по итогам прошлого месяца. Расходы на этот вид интерактивных развлечений в ноябре остались приблизительно на прежнем уровне и составили 9,05 млрд долларов...

Доля онлайн-покупок достигнет четверти расходов по картам Ожидается, что в 2023 году расходы на глобальные онлайн-покупки вырастут до 11 трлн долларов.

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

Вы узнали, что станете отцом. Что делать дальше Не стоит поддаваться первому порыву и сбегать в джунгли Амазонии или оплакивать уходящую беззаботную жизнь. Лайфхакер поможет распланировать дальнейшие действия.

Samsung Electronics будет производить компьютерные процессоры для Intel Как сообщают отраслевые источники, компания Samsung Electronics согласилась помочь Intel преодолеть недостаток производственных мощностей. В результате, Samsung Electronics будет производить и поставлять Intel некоторые процессоры для компьютеров. Напомним, на прошлой неделе...

Лечим психические заболевания компьютерными играми Замучила депрессия, арахнофобия, клаустрофобия или клептомания? Не беда! Все эти ужасные психические заболевания поддаются лечению с помощью компьютерных игр!

Samsung закрывает свою последнюю фабрику в Китае Тот день, когда со смартфонов Samsung исчезнет надпись «Made in China» вот уже скоро наступит. Компания приняла решение закрыть свой последний завод на территории Поднебесной до конца текущего месяца. Сама фабрика находится в китайской провинции Гуандун и начала ...

Почему не стоит поддаваться рекламе 100-мегапиксельных камер в смартфонах Если мы говорим о камерах для смартфонов, их развитие упирается в размеры сенсоров.

Роскачество дает советы, как обезопасить данные в случае потери смартфона Если не поддаваться эмоциям, есть вероятность, что смартфон удастся вернуть, говорят эксперты.

Неприступный замок: UrbanAlps печатает на 3D-принтерах малоуязвимые замки и ключи Швейцарская компания UrbanAlps AG выводит на рынок кошмар домушников — напечатанные на промышленных 3D-принтерах замки и ключи из сверхпрочных сплавов, да еще и с хитроумной конструкцией, не поддающейся копированию. Рассказываем, что они придумали.Подробнее...

Расходы на каршеринг в России превысили 1 млрд руб. в месяц Сбербанк проанализировал рынок каршеринга в России. По итогам прошлого года он оказался одним из наиболее динамичных. Все ключевые показатели — число пользователей, выручка, парк автомобилей — в 2018 г. выросли более чем в 5 раз.

Прогноз: по итогам 2018 года расходы Amazon на R&D превысят ВВП Исландии Amazon — неоспоримый лидер по R&D-расходам среди IT-компаний: по итогам 2017 года корпорация Джеффа Безоса вложила в исследовательский отдел в два раза больше денег, чем Microsoft. По оценке Bloomberg, ближайший конкурент Amazon — Alphabet — потратила на исследован...

Однокристальная система Huawei Kirin 985 будет представлена до конца квартала Еще в декабре появились первые сведения, что Huawei работает над однокристальной системой Kirin 985, которая станет преемницей нынешней флагманской модели Kirin 980. Как и Kirin 980, она будет спроектирована в расчете на технологические нормы 7 нм, но может стать первой...

Аналитики IDC ожидают, что в этом году по расходам на IoT будет лидировать Азиатско-Тихоокеанский регион Специалисты аналитической компании IDC подготовили очередной прогноз, касающийся расходов на интернет вещей (IoT). Аналитики ожидают, что в этом году по расходам на IoT будет лидировать Азиатско-Тихоокеанский регион (исключая Японию) — на него придется 35,7% общем...

Крупную партию поддельных смартфонов Huawei задержали в Китае Телекоммуникационная компания Huawei занимает ведущую позицию на китайском рынке смартфонов. За последние несколько лет устройства бренда стали очень популярны, что позволяет компании чувствовать себя более чем уверенно на внутреннем рынке. По сообщениям сетевых источников, ...

Huawei Mate 30: характеристики и время анонса Huawei Mate 30 станет очередной попыткой компании на соперничество с флагманами Samsung или Apple. Ожидалось, что новое поколение имиджевого флагмана представят в октябре нынешнего года. Но теперь есть предсказания, что компания ускорилась, и анонс линейки Mate 30 должен сос...

В 2023 году ретейл потратит на AI на 230 % больше денег Глобальные расходы ретейлеров на сервисы на базе искусственного интеллекта к 2023 году, как показало Juniper Research, достигнут $12 млрд, тогда как в 2019 году они оцениваются всего в $3,6 млрд. Таким образом рост расходов на искусственный интеллект с 2019 года по 2023 год ...

Столичные власти собираются увеличить расходы на образование Московские власти работают над увеличением расходов на образование. В законопроекте «О бюджете города Москвы на 2020 г. и плановый период 2021 и 2022 гг.» отмечается, что уровень запланированных расходов бюджета мегаполиса на него в 2020 году составит 415,5 миллиарда рублей....

Десять лучших 3D-принтеров в 2019 году Новое измерение печати Спрос на 3D-принтеры и материалы для 3D-печати продолжает неуклонно расти. Согласно прогнозу IDC, расходы в этом сегменте в 2019 году достигнут 13,8 млрд долл., увеличившись на 21% по сравнению с прошлым годом, а к 2021 году ...

eMarketer: ретейлеры увеличат свои расходы на поисковую рекламу на 22,5% в 2019 году В 2019 году расходы на поисковую рекламу в сегменте ретейла в США вырастут на 22,5%. Об этом говорится в новом прогнозе аналитической компании eMarketer. Ожидается, что в текущем году ретейлеры потратят на поисковую рекламу $13,12 млрд. В целом на этот сегмент будет приходит...

Введена в строй вторая фабрика HKC, выпускающая жидкокристаллические панели с использованием подложек 8.6G Китайская компания Chongqing HKC Optoelectronics Technology (HKC) провела церемонию открытия второй фабрики, выпускающая жидкокристаллические панели с использованием подложек 8.6G. Предприятие расположено в Чучжоу, в восточной части Китая. Ожидается, что фабрика стоимос...

Hyundai CVVD: больше мощности при меньшем расходе топлива Компания Hyundai Motor Group представила систему изменения продолжительности открытия клапанов CVVD, или Continuously Variable Valve Duration. Утверждается, что это первая подобная технология на автомобильном рынке. В двигателях внутреннего сгорания за мощность и расход топл...

Merkle: рост расходов на поисковую рекламу в Google замедлился Во втором квартале 2019 года рост расходов на поисковую рекламу в Google замедлился, тогда как по Microsoft Advertising наблюдались наиболее высокие показатели за последние три года. Об этом говорится в новом отчёте Merkle по рынку digital-маркетинга в США. Согласно представ...

Huawei Mate 30 станет первым смартфоном с 7-нанометровой SoC Kirin 985 Источники утверждают, что первым смартфоном, который будет построен на базе однокристальной системы Huawei Kirin 985, станет флагман Huawei Mate 30. Ранее сообщалось, что однокристальная система Huawei Kirin 985 будет первой SoC для смартфонов, изготовленной с использов...

Россияне стали тратить больше денег на еженедельные покупки Опубликованы результаты Индекса Недельных Расходов, который показал увеличение объема еженедельных затрат россиян. Так, средняя сумма расходов на неделю увеличилась на 3,9%, по сравнению с аналогичным показателем на прошлой неделе.

Little Big Workshop – большая фабрика на маленьком столе (Mac) В прошлом разработчики не раз давали пользователям возможность встать во главе собственной фирмы, ресторана, магазина и даже полицейского департамента. Однако авторы новой игры под названием Little Big Workshop решили не просто дать игрокам возможность поуправлять масштабным...

По оценке IDC, в 2023 году глобальные расходы на «умные города» достигнут 189,5 млрд долларов Специалисты аналитической компании IDC подготовили новый прогноз, в котором сказано, что глобальные расходы на инициативы в области умных городов в 2023 году достигнут 189,5 млрд долларов. Главными приоритетами этих инициатив названы энергетические и инфраструктурные пр...

Coinbase собирается приобрести стартап Omni Крупнейшая американская криптовалютная компания Coinbase ведет переговоры о покупке p2p-платформы Omni, специализирующейся на арендном бизнесе. Об этом сообщает TechCrunch со ссылкой на осведомленные источники. Omni предлагает возможность пользователям арендовать различные ф...

Xiaomi Mi 8 получил возможность вывода цветных изображений в режиме Always on Display Сегодня компания Xiaomi официально отчиталась о новой функции, появившейся в прошлогоднем флагмане Mi 8. Речь — о режиме Always on Display, который перестанет быть монохромным. Эта возможность уже реализована во внутренней бета-версии MIUI. Дальше она должна появ...

Представлена технология 3D-печати методом вычислительной аксиальной литографии Исследователи из Калифорнийского университета в Беркли и Ливерморской национальной лаборатории (LLNL) представили новую методику 3D-печати, основанную на ротационной засветке фотополимерных смол. Технология отличается повышенной производительностью и позволяет выращивать изд...

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

AMD признаёт, что процессоры Ryzen 3000 плохо поддаются разгону Как показали первые обзоры, процессоры AMD Ryzen 3000-й серии обладают довольно скромным оверклокерским потенциалом. В отличие от решений конкурента, допускающих разгон как минимум до частоты турборежима, 7-нм чипы Zen 2 на практике не...

Графические процессоры станут для Intel второй категорией продуктов по важности На технологической конференции Citi для инвесторов интересы Intel представлял корпоративный вице-президент Джейсон Гриб (Jason Grebe), который отвечает за сегмент «облачных» решений, но подобная специализация не мешала ему отвечать на широкий спектр вопросов. Когда присутств...

Нашествие кузнечиков на Лас-Вегас видно даже из космоса В неукротимом Лас-Вегасе вынужденное затишье – местные жители и гости города не торопятся выходить на улицы. Там, среди многочисленных огней рекламы, роятся миллиарды кузнечиков, прибывших сюда на прошлой неделе. И хотя специалисты говорят, что эти насекомые безвредны для лю...

Ericsson построит фабрику в США за 100 миллионов долларов Рабочих мест, впрочем, не прибавится: производство будет полностью автоматизированным.

Samsung сдался и ушел из Китая Корейцы закрыли последнюю фабрику и увозят оборудование.

Рост доли во всех сегментах рынка является для AMD приоритетом Укрепление позиций AMD во всех сегментах рынка, где представлена её продукция, является для компании одним из приоритетов на данном этапе, как пояснил финансовый директор Девиндер Кумар (Devinder Kumar). Даже в презентации для инвесторов, которая обновилась на прошлой неделе...

Новые микросхемы Samsung позволят снизить стоимость оперативной памяти DDR4 Как сообщает источник, компания Samsung вывела на рынок модули оперативной памяти DDR4, основанные на новых микросхема (A-Die вместо B-Die). Эти микросхемы производятся по 10-нанометровому техпроцессу вместо старого 20-нанометрового, который использовался все эти годы ...

Google «убила» популярный сервис, которым пользовались миллионы людей Иногда решения компании Google не поддаются логическому объяснению. Она закрывает те или иные сервисы, делая их недоступными для использования по всему миру. Сегодня, 2 апреля 2019 года, случилось то, о чем «поисковой гигант» предупредил всех Сообщение Google «убила» популя...

Подработка - от ставок на футбол до копирайтинга Снижение реальных доходов большинства слоев населения таково, что многие вынуждены искать пути подработки. Связано это с объективными обстоятельствами. Хоть в бюджетных сферах и сырьевых компаниях периодически повышаются заработные платы - это повышение оказывается несуществ...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Tele2 запустила нового виртуального оператора С запуском SkyNet "фабрика MVNO" Tele2 начала осваивать сегмент партнерства с небольшими региональными операторами ШПД, которые хотят оказывать клиентам и услуги мобильной связи. Конвергентные решения, включающие мобильные услуги, позволяют небольшим операторам &qu...

Epson продала 40 млн принтеров и МФУ из "фабрики печати" В 2019 финансовом году компания увеличит продажи такого оборудования на 13%, до 10,2 млн штук.

Intel получит от Израиля грант в размере 1 млрд долларов Как мы уже сообщали, компания Intel приняла решение инвестировать почти 11 млрд долларов в новую фабрику в Израиле. Продолжая эту тему, источник сообщил, что производитель получит от государства грант в размере около 1 млрд долларов. Это дополнение к гранту в 190 м...

Samsung начала поставки 32-гигабайтных модулей DDR4-2933 с чипами A-die Одними из наиболее популярных модулей оперативной памяти DDR4 среди ПК-энтузиастов и оверклокеров являются продукты на микросхемах Samsung B-die. Данные чипы хорошо поддаются разгону и стабильно работают даже с привередливыми контроллерами памяти. К сожалению,...

Московский бюджет гарантирует качественное обучение В российской столице будут повышены расходы на образование. В законопроекте «О бюджете города Москвы на 2020 г. и плановый период 2021 и 2022 гг.», на эти цели предусмотрено выделение из городского бюджета в 2020 году 415,5 миллиарда рублей. Рост расходов на образование в ме...

Как получить флагман в подарок. Samsung раздаёт бывшим сотрудникам смартфоны Galaxy S10+ Как мы уже писали ранее, Samsung закрывает свой последний китайский завод по производству смартфонов. Теперь сами потерявшие рабочие места сотрудники с фабрики Хойчжоу начали освещать процесс увольнения в социальной сети Weibo.  Чтобы сделать увольнение не таким б...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Kenshoo: 46% расходов компаний на поиск в Q2 2019 приходились на товарную рекламу Во втором квартале 2019 года расходы на поисковую рекламу в США выросли на 10% в сравнении год к году. При этом в сегменте товарной рекламы рост составил 30%, что намного больше, чем по текстовым объявлениям. Об этом свидетельствуют данные отчёта Kenshoo. В целом на товарные...

BOE планирует за год утроить выпуск гибких дисплеев OLED Китайская компания BOE планирует значительно увеличить производство экранов OLED для смартфонов и расширить область применения экранов OLED за счет устройств интернета вещей, оборудования розничной торговли, транспортных средств и других перспективных направлений. ...

Epson представила новые модели бескартриджной серии «Фабрика печати» Компания Epson выпустила две новые модели популярной серии «Фабрика печати» — принтер Epson L1110 и МФУ Epson …

Трамп пытается реанимировать строительство фабрики Foxconn в США Оказалось, директор Foxconn прилетел в США чтобы провести переговоры в Белом Доме.

Подкаст «Кто бы говорил», выпуск 24: как не поддаваться манипуляции Родион, Полина и Ира обсуждают путешествие в Америку, новую ракету от Илона Маска, диеты и способы манипуляции людьми. А ещё в этом выпуске стартует новая рубрика!

TSMC о 6 нм: это техпроцесс не для всех Но для многих, кому не по карману 5 нм.

Google, Facebook и Amazon занимают 70% рынка digital-рекламы в США На долю Google, Facebook и Amazon приходится в общей сумме 68,1% расходов на digital-рекламу в США – около $73 млрд в денежном выражении. Об этом свидетельствуют данные аналитической компании e-Marketer. В настоящее время Amazon занимает 38% рынка e-commerce. Год назад доля ...

К моменту запуска первый завод Foxconn в США создаст лишь 1500 рабочих мест Уже в мае следующего года в США начнёт работу первый в этой стране завод Foxconn. Фабрика строится в Висконсине. Изначально говорили о том, что фабрика создаст от 10 000 до 15 000 новых рабочих мест, а производить там будут экраны для смартфонов. Затем планы ...

GlobalFoundries опровергает слухи о своей продаже Продажа расположенной в Сингапуре фабрики Fab 3E, принадлежащей Globalfoundries (GF), о которой стало известно в конце января, стала поводом для слухов, что компания также намерена продать фабрику Fab 7, расположенную в Сингапуре. Более того, появились предположени...

Биткоин-биржа Coinbin обанкротилась из-за растраты сотрудником $26 млн Южнокорейская криптобиржа Coinbin (в прошлом — Youbit) объявила о банкротстве после того, как обнаружила недостачу в 29.3 млрд вон, сообщает CCN. На сайте торговой площадки опубликовано уведомление о том, что Coinbin вынуждена прекратить операции из-за «роста долгов», а такж...

Новое мошенничество с рекламой вызывает чрезмерный расход заряда батареи и трафика на Android-устройствах Несколько лет назад злоумышленники научились скрытно использовать вычислительные ресурсы устройств пользователей для майнинга криптовалют. Это приводило к быстрому расходу заряда батареи и чрезмерному потреблению трафика. Со временем эта проблема стала не столь актуальной. Н...

Давление США на Huawei по-разному откликается на Samsung и SK Hynix Обе крупнейшие в Южной Корее компании по выпуску полупроводников ― Samsung и SK Hynix ― вынуждены считаться с давлением, оказываемым США на китайскую Huawei. Но это давление сказывается по-разному на каждую из них, резюмирует местное издание BusinessKorea.co.kr. Впрочем, каж...

Мощная новинка ZenFone Max Pro M2 Компания ASUS выпустила смартфон с отличной камерой. «Сердце» смартфона — мобильная платформа Qualcomm Snapdragon 660 с технологией искусственного интеллекта Qualcomm AI Engine, созданная по 14-нм техпроцессу и превосходящая прошлую модель на 11% по производительности процес...

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

BMW и Microsoft объединятся для создания умных фабрик с открытым кодом В первую очередь, партнеры планируют автоматизировать производство автомобилей и обрабатывающую промышленность.

GlobalFoundries нашла нового владельца для одной из своих американских фабрик И кто теперь будет обслуживать интересы IBM, не совсем понятно.

Huawei намерена перенести R&D из США и построить в Европе 5G-фабрику Тем временем, в Вашингтоне строят планы по ужесточению санкций в отношении китайского вендора.

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

По подсчетам IDC, расходы на облачную инфраструктуру за год уменьшились на 10,2% Специалисты аналитической компании IDC подготовили отчет за второй квартал текущего года, охватывающий продажи оборудования для облачной инфраструктуры. В эту категорию эксперты IDC зачисляют серверы, хранилища и коммутаторы Ethernet. Итак, по подсчетам аналитиков, в п...

Samsung представила 3 нм техпроцесс Обсуждаем передовые технологии Samsung

Лучше, чем Chrome: Этот браузер добавит вашему смартфону до 2 часов автономной работы Несмотря на то что каталог Google Play буквально ломится под весом всевозможных браузеров, пользователи Android в основном отдают свое предпочтение Chrome, Opera и изредка разработкам Mozilla. Сказывается общая узнаваемость и достойный уровень быстродействия. Но есть на рын...

Пентагон угрожает Штатам банкротством Джон Уайтхед утверждает, что правительство США ежегодно тратит куда больше денег, чем получает от сбора налогов. Из-за этого Штаты вынуждены брать в долг у других стран, чтобы продолжать финансировать постоянно растущие военные расходы, в то время как американское здравоохра...

ЕС потратит на программу «Цифровая Европа» больше 9 миллиардов евро Европарламент и консульство ЕС достигли политического соглашения по программе «Цифровая Европа», первой в своем роде. На цифровизацию Европы потратят 9,2 миллиарда евро, план был предложен Еврокомиссией прошлым летом, расходы предполагается включить в долгосрочный бюджет ЕС ...

Сертифицированные версии — грабли, которые нам выбирают Как известно — использование сертифицированных версий программного обеспечения прописано в самых разных документах регуляторов. И (к сожалению) эта данность, с которой всем жить. В данной статье не будет перечисления положений документов, согласно которым необходимо использо...

В 2018 году расходы рекламодателей на видеорекламу выросли более чем в два раза В прошлом году расходы рекламодателей на видеоролики выросли более чем в два раза по сравнению с 2017 годом. К такому выводу пришли эксперты Mail.ru Group,  проанализировав ключевые тренды в использовании видеорекламы в 2018 году. Исследование показало, что рост вложени...

По прогнозу IDC, в 2023 году инвестиции в информационные и коммуникационные технологии достигнут 4,8 трлн долларов Несмотря на замедление мировой экономики и торговую войну между Соединенными Штатами и Китаем инвестиции в информационные и коммуникационные технологии (ИКТ) в ближайшие годы будут устойчиво расти. Такой точки зрения придерживаются специалисты аналитической компании IDC...

TSMC утверждает, что все использующие 7-нм техпроцесс клиенты перейдут на 5-нм И AMD, хотя это имя и не упоминается открыто.

Samsung поделилась успехами в освоении 3-нм техпроцесса Она опередит конкурентов на год, как минимум.

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

Компания TSMC приступила к освоению 2 нм техпроцесса Технологии не стоят на месте, и это прекрасно!

NVIDIA пока не считает целесообразным переход на 7-нм техпроцесс Всё дело в экономике.

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Intel анонсировала процессоры Coffee Lake серий U и Y Чипы на техпроцессе 14 нм предназначаются для ультрабуков и ноутбуков.

Жизненный цикл 14-нм техпроцесса Intel продлила до 2021 года Он будет существовать бок о бок с 7-нм техпроцессом.

iFixit: наушники Powerbeats Pro не поддаются ремонту Несмотря на то, что наушники Powerbeats Pro были выпущены больше месяца назад, в руки специалистов лаборатории iFixit они попали относительно недавно. Эксперты, конечно же, разобрали устройство и оценили его ремонтопригодность.Читать дальше... ProstoMAC.com.| Постоянная ссы...

Хакеры снизят налог. Минфин разрешил учитывать убытки от кибератак как расходы Растущее количество хакерских атак против клиентов банков, в том числе путем направления жертве поддельного счета на оплату со взломанной почты партнера, привело к налоговым послаблениям. Минфин выпустил письмо о возможности учитывать убытки от таких атак в расходах для целе...

В Google Ads появился новый инструмент – Планировщик результатов Google Ads объявил о запуске Планировщика результатов – нового инструмента, с помощью которого можно планировать рекламные расходы и прогнозировать, как изменения в кампаниях повлияют на ключевые показатели и общую эффективность. Планировщик результатов определяет оптимальны...

Поставки однокристальных систем MediaTek Helio P60 и P70 превысили отметку в 50 миллионов Пускай однокристальные системы MediaTek уже не пользуются у известных производителей смартфонов былой популярностью, но они по-прежнему востребованы у местных китайских производителей. И вот очередное тому доказательство: поставки SoC MediaTek серий Helio P60 и P70 прев...

Паззл не складывается: Навальному грозит первый большой тюремный срок Оппозиционер «попался» на растрате народных пожертвований. Полиция арестовала друга Алексея Навального Леонида Волкова. Причина– митинг 9 сентября, посвящённый несогласию с законом о повышении пенсионного возраста. Тогда он видеотрансляцией спровоцировал «кого-то» на порчу ...

Закрыта фабрика поддельных Ferrari и Lamborghini Бразильская полиция закрыла подпольную фабрику, которая производила реплики люксовых автомобилей знаменитых итальянских марок Ferrari и Lamborghini.

Tesla существенно повысила цены на зарядных станциях Supercharger по всему миру На прошлой неделе Илон Маск объявил о прекращении реферальной программы с 1 февраля 2019 года, в рамках которой владельцы электрокаров Tesla получали возможность бесплатной зарядки своего авто от сети станций Supercharger в течение шести месяцев, а также анонсировал сокращен...

Schneider Electric запускает умное предприятие в США Применяя самые современные и перспективные технологии вкупе с преимуществами IIoT, Schneider Electric удалось не только оптимизировать производственные процессы, но и показать экспертизу в области промышленного интернета вещей и интеллектуальных технологий в целом.Компани...

Настольные процессоры AMD Ryzen 4000-й серии выйдут только к концу 2020 года Компания AMD сейчас работает над настольными процессорами нового поколения, которые будут представлены в серии Ryzen 4000. Согласно свежим данным ресурса MyDrivers, полученным из собственных источников, новые процессоры, а также новая платформа для них, дебютируют лишь к кон...

Hydrogen One в титановом корпусе Компания RED наконец-то, выпускает титановую версию своего телефона Hydrogen One. Компания пообещала титановую версию еще в 2017 году, и приняла предварительные заказы на эту версию по полной цене в 1595 долларов. В сентябре прошлого года RED отложила выпуск титановой мо...

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Счетная палата: Минкомсвязи тормозило цифровизацию регионов Счетная палата провела проверку расхода регионами федеральных средств, которые были выделены им на развитие информационного общества в 2012-2017 гг. Аудиторы отметили ряд промахов со стороны прошлого руководства Минкомсвязи в предоставлении субсидий и рекомендовали реализова...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Пример простой нейросети, как результат разобраться что к чему Нейросети — это та тема, которая вызывает огромный интерес и желание разобраться в ней. Но, к сожалению, поддаётся она далеко не каждому. Когда видишь тома непонятной литературы, теряешь желание изучить, но всё равно хочется быть в курсе происходящего. В конечном итоге, ка...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

В третьем квартале выручка TSMC на 27% зависела от 7-нм техпроцесса Чем дальше, тем этот показатель будет выше.

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

Процессоры AMD на архитектуре Zen 3 будут переведены на техпроцесс 7nm++ В продаже они появятся в 2020 году.

Глава NVIDIA намекнул, что 7-нм изделия конкурента ни на что не годятся Старый 12-нм техпроцесс лучше 7-нм двух?

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

Американские лазеры помогут бельгийским учёным с прорывом до 3-нм техпроцесса и дальше Как сообщает сайт IEEE Spectrum, с конца февраля по начало марта на базе бельгийского центра Imec совместно с американской компанией KMLabs была создана лаборатория для изучения проблем с полупроводниковой фотолитографией под воздействием EUV-излучения (в сверхжёстком ультра...

Tesla берет в Китае кредит на постройку «гигафабрики» и увеличивает общую сумму обязательств Компания Tesla подписала соглашение с кредиторами в Китае на получение примерно 521 млн долларов на 12-месячный срок. Эти средства должны пойти на строительство в Шанхае «гигафабрики» по выпуску электромобилей. Кроме того, Tesla смогла получить новые обязате...

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

Samsung Display инвестирует 10,85 млрд долларов в производство панелей QD-OLED По данным источника, компания Samsung Display (SDC) официально объявила о решении инвестировать 10,85 млрд долларов США в исследования, разработку и производство телевизионных панелей QD-OLED. Инвестиционный план рассчитан на 6 лет — с 2019 по 2025 год. Первым шаг...

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Две новые модели в линейке бескартриджных устройств «Фабрика печати Epson» Компания Epson — лидер российского рынка бескартриджных устройств, представила две новые модели популярной серии «Фабрика печати» — принтер Epson ...

TSMC готовится строить 3 нм фабрику Крупнейший мировой производитель микросхем на заказ, Taiwan Semiconductor Manufacturing Company, сообщил о планах по постройке нового завода на юге Тайваня, в Таинане, который будет производить продукцию по 3 нм нормам.

AMD будет продавать Radeon VII почти по себестоимости Уже совсем скоро, 7 февраля, начнутся продажи видеокарты AMD Radeon VII. Рекомендованная стоимость новинки составит $699, что, с учётом использования дорогой памяти HBM2, является вполне гуманным ценником. Поэтому ресурс Fudzilla решил выяснить себестоимость новинки и узнать...

Что могут рассказать об Apple ее расходы Колоссальные доходы Apple ведут к не менее колоссальным расходам, которая она вынуждена нести в процессе осуществления своей деятельности. Взять хотя бы новый кампус компании, который обошелся ей не менее чем в 5 миллиардов долларов. Однако его возведение, занявшее нескольк...

В сети появились подробности о готовящихся процессорах AMD Athlon 300GE и 320GE Техпроцесс меньше, частоты выше

Мобильный AMD Athlon 300U не определился с принадлежностью к техпроцессу Противоречия присутствуют даже в официальных источниках.

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

Samsung освоила 5-нм техпроцесс Компания готова разослать клиентам образцы новых чипов.

Бывшие специалисты GlobalFoundries помогут Intel освоить 7-нм техпроцесс Начатое нужно доводить до конца.

Память DDR4 скоро станет дешевле, поскольку DDR4 Samsung B-Die переходит на 10-нм техпроцесс Память DDR4 становится дешевле

Intel в своём репертуаре: цены растут, объёмы реализации падают Зато с 10-нм техпроцессом всё не так плохо, как представляется многим.

Представлен российский процессор Baikal-M на 28 нм техпроцессе Пока все переходят на 7 нм, Байкал осваивает 28!

Самые загружаемые мобильные приложения и миллиарды потраченных долларов По данным Sensor Tower, в прошлом году пользователи потратили на мобильные приложения и игры 71 миллиард долларов. Однако в этом году этот показатель может быть еще выше. С начала года пользователи iOS и Android потратили 39,7 миллиарда долларов на приложения и мобильн...

Tiger3D готовится к прыжку ! Всем привет !Сегодня мы представляем вам новинку в нашем ассортименте - пластиковую нить под маркой Tiger3D.Бренд Tiger3D был создан нашей компанией 2 года назад. Началось все с поставок 3D-ручек, а чуть позже наборов пластика для рисования. Сегодня продукция под брэндом Tig...

Девелопер «Коммерческая недвижимость ФПК «Гарант-Инвест» представил бухгалтерскую отчетность по РСБУ за 2018 год Пресс-служба российского девелопера АО «Коммерческая недвижимость ФПК «Гарант-Инвест» опубликовала годовую бухгалтерскую (финансовую) отчетность за минувший год в соответствии с РСБУ. Уточняется, что аудитор в лице ООО «Аудит-Сервис» представил положительное заключение ...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Спецификации Snapdragon 865 накануне официального анонса В начале декабря компания Qualcomm проведет мероприятие Snapdragon Tech Summit 2019, в рамках которого с большой долей вероятности представит новый флагманский чип Snapdragon 865, который станет прямым наследником Snapdragon 855 Plus. В сеть уже утекли спецификации новой од...

Xiaomi строит свою фабрику по выпуску 5G-смартфонов Сегодня в Пекине стартовала конференция World 5G 2019, посвященная развитию сетей пятого поколения. Во время мероприятия выступил глава Xiaomi Лэй Цзюнь, который сообщил о том, что компания строит свою фабрику по производству 5G-смартфонов. Новый завод будет способен произво...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Рассекречены характеристики отечественного ARM-процессора Он изготовлен по 28-нм техпроцессу и имеет восемь ядер ARM Cortex-A57

К 2021 году TSMC уже освоит второе поколение 5-нм техпроцесса Intel же будет всё ещё барахтаться в ранней стадии освоения 7-нм технологии.

Intel работает над созданием 10-нм настольных процессоров Но конечных пользователей не должен беспокоить техпроцесс сам по себе.

Определена приблизительная площадь кристалла 10-нм процессоров Intel Ice Lake AMD со своим 7-нм техпроцессом не так уж сильно вырвалась вперёд.

AMD выпустила чип Ryzen CPU, изготовленный по техпроцессу 7 нм AMD представила новый чип Ryzen CPU, изготовленный по техпроцессу 7 нм и предназначенный для настольных ПК. Чип базируется на архитектуре Zen 2.

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

Сэкономленные на разработке модемов средства Intel направит на освоение 7-нм техпроцесса И на улучшение показателей 10-нм техпроцесса.

TSMC: освоение 3 нм техпроцесса идет по плану. Появились первые клиенты Пока Intel освоит 10 нм техпроцесс, TSMC начнет выпускать чипы по 5 нм техпроцессу, а там и до 3 нм недалеко

По оценке Gartner, расходы на ИТ в Индии в этом году составят 88,5 млрд долларов Как мы уже сообщали, специалисты IDC оценили рынок смартфонов Индии в минувшем квартале. Более широкий взгляд на местную отрасль ИТ приведен в свежем отчете Gartner. По оценке экспертов этой исследовательской и консалтинговой компании, расходы на ИТ в Индии в этом году ...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

АО «РНПК» в результате тендера выбрало «Фабрика XBRL» Для автоматизации подготовки отчётности выбрано решение компании «Синтегро консалтинг»

Ильдар Кадыров, «Рахат»: в ИТ мы придерживаемся консервативной позиции Директор по ИТ знаменитой кондитерской фабрики в интервью Profit.kz о том, как увязывается ИТ и шоколад, в каких проектах заметны наиболее сильные эффекты, и почему Agile здесь не пройдет.

Huawei показала процесс производства своего главного флагмана Представителям СМИ удалось побывать на фабрике в промышленном парке Songshan Lake города Дунгуань в Китае, где производятся флагманские смартфоны Huawei P30 Pro.

«Укрэнерго» впервые ограничил выработку электроэнергии ВЭС, но «ДТЭК ВИЭ» все равно получит оплату по «зеленому» тарифу. А в НКРЭКУ уже говорят о неизбежном повышении тарифов для населения Только на прошлой неделе «ДТЭК ВИЭ» ввел в эксплуатацию Приморскую ВЭС мощностью 200 МВт, доведя общую мощность своих объектов ВИЭ почти до 1 ГВт. Теперь же стало известно о первом ограничении генерации «зеленой» электроэнергии. В ночь на 5 ноября НЭК «Укрэнерго», управляюща...

Яндекс увеличивает сайтам ИКС Команда Яндекса сообщила об увеличении показателя ИКС для сайтов. Уже сегодня увеличенный ИКС своего сайта можно увидеть на странице «Показатели качества». Там же можно увидеть, как изменился ИКС конкурентов, добавив их в таблицу сравнения. «В конце авгус...

АО «УРАЛСИБ Жизнь» формирует отчётность в «Фабрика XBRL» В результате проекта с «Синтегро консалтинг» бухгалтерская и надзорно-статистическая отчётности формируются в системе с III квартала 2019 года.

Google будет собирать смартфоны на бывшей фабрике Nokia Компания Google перенесла сборку смартфонов серии Pixel из Китая во Вьетнам, на фабрику, которая когда-то принадлежала Nokia.

Создана фабрика для производства OLED-дисплеев "печатным" методом Предприятие построила компания JOLED, созданная Japan Display, Panasonic, Sony и японским государственным инвестфондом Innovation Network Corporation of Japan.

Появились изображения и основные данные телеконверторов Panasonic DMW-STC14 1.4x и DMW-STC20 2.0x с креплением L-mount В сети появились первые сведения о телеконверторах Panasonic DMW-STC14 1.4x и DMW-STC20 2.0x с креплением L-mount. Оптическая схема модели Panasonic DMW-STC14 1.4x, увеличивающей фокусное расстояние объектива в 1,4 раза, включает семь элементов в четырех группах (в том...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

Intel готовится к переходу на 7 нм техпроцесс и не верит в конкуренцию со стороны AMD Самое главное не паниковать, глядишь и пройдёт всё само

Samsung готовится заменить техпроцесс 7-нм FinFET на 3-нм MBCFET Он позволит уменьшить площадь кристалла на 45%, энергопотребление на 50% и увеличить производительность до 30%

Оцениваем планы AMD на ближайшие полтора года У компании AMD нет каких-то технических проблем с освоением новых техпроцессов и архитектур, как у […]

В следующем году полупроводниковая отрасль вернется к росту Группа наблюдения SEMI, осуществляющая мониторинг деятельности более чем 1,3 тыс. фабрик по обработке кремниевых пластин, обнародовала свежий прогноз развития отрасли.

Продано более 40 миллионов устройств «Фабрика печати Epson» Благодаря доверию потребителей и традиционно высокому для Epson качеству печати компания удерживает лидирующие позиции на рынке струйных устройств девятый год подряд

Марсианский зонд InSight вынужден приостановить буровые работы Национальное управление США по воздухоплаванию и исследованию космического пространства (NASA) сообщает о том, что марсианский исследовательский аппарат InSight приостановил буровые работы. О том, что зонд InSight начал углубляться в грунт Красной планеты, мы сообщали на про...

«Интер РАО Инвест» готовит финансовую отчетность в программе «Фабрика XBRL» Компания «Интер РАО Инвест» обязана предоставлять бухгалтерскую и надзорную отчетности в формате XBRL согласно Указанию № 4621-У от 27.11.2017г …

NPD Group: в сентябре и на протяжении всего года Nintendo Switch продавалась лучше Xbox One и PS4 Пока Microsoft и Sony готовятся к выпуску консолей следующего поколения, Nintendo только разгоняется. На территории Соединённых Штатов Америки Nintendo Switch продалась лучше всех систем в сентябре, следует из данных аналитической компании NPD Group. «Nintendo Switch стала с...

Анонс процессора Kirin 985 ближе, чем ожидалось В конце прошлого года в сети появилась информация, что Huawei намерена в этом году выпустить два флагманских чипа. Помимо Kirin 990 компания пополнит ряд фирменных однокристальных систем платформой Kirin 985, которая должна стать разогнанной версией Kirin 980. А еще ест...

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

Intel увеличивает заказы у сторонних производителей чипов из-за дефицита собственных 14-нм производственных мощностей Затянувшееся освоение 10-нанометрового производственного процесса оказывает заметное влияние на весь бизнес Intel. Ещё в прошлом году наблюдалась ситуация, когда у компании оказалось недостаточно производственных мощностей для выпуска всей номенклатуры продуктов по 14-наноме...

Графический процессор AMD Navi оказался почти на 60% компактнее конкурирующего NVIDIA Turing 7-нм техпроцесс помогает AMD не только в сегменте центральных процессоров.

Xiaomi Mi Play — уже в Украине Mi Play работает на базе процессора MediaTek P35, который построен по 12 нм техпроцессу и имеет 8 ядер Cortex A53 с максимальной тактовой частотой 2,3 ГГц.

Intel удаётся избежать сокращения затрат на разработки и исследования В новые техпроцессы и новые продукты приходится прилично вкладываться.

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

На прирост быстродействия Matisse новый техпроцесс повлиял в меньшей степени Архитектурные изменения стали главным фактором.

Названы возможные причины, по которым Intel не будет использовать свой 10-нм техпроцесс для выпуска графических процессоров Корейское – значит "лучшее"?

RFID технология обеспечивает отслеживание готовой продукции на бумажно-картонной фабрике RFID решение компании «АйТиПроект» управляет хранением и отгрузкой рулонов упаковочного картона в ООО «Алексинская БКФ», расположенном в городе …

Apple не выплатила Japan Display обещанную компенсацию за новую фабрику По условиям соглашения, Apple брала на себя часть издержек на строительство предприятия, а Japan Display должна была рассчитаться с партнером готовой продукцией - процентом от продаж дисплеев.

Apple откажется от 3D Touch в iPhone 11? Ничего страшного В iPhone практически нет бесполезных функций. Все нововведения, которые внедряет Apple, четко выверены и обоснованы. В результате компании не приходится отказываться от функций, лежащих в операционной системе мертвым грузом. В отличие от Samsung, которая, экспериментируя, п...

Финансовый отчет Xiaomi: за 2018 год отгружено 119 млн смартфонов, годовой доход достиг 26 млрд долларов, расходы на НИОКР повысились на 80% Вчера подразделения Xiaomi — Redmi и Black Shark — представили новые продукты, а сегодня компания опубликовала финансовый отчет за прошлый год. В нем много интересной статистики. Итак, как сообщается, годовой доход достиг 26 млрд долларов — на 52,6% бо...

«ГПБ-УА» сдает отчётность в ЦБ РФ с помощью «Фабрика XBRL» АО «ГПБ-УА» обратилось в ООО «Синтегро консалтинг» для автоматизации процесса заполнения форм бухгалтерской (финансовой) (БФО), а также надзорной (статистической) (НИС) отчётности в формате XBRL Банка России.

Huawei разместила на фабриках Foxconn заказ на сборку свыше 50 млн 5G-устройств Невзирая на санкционное давление, компания Huawei по-прежнему является вторым по величине поставщиком мобильных устройств на планете.

AirPods Pro вообще не поддаются ремонту Специалисты iFixit не стали изменять сложившимся традициям и спустя всего пару дней после официального анонса AirPods Pro они разобрали новинку. Как обычно, эксперты не просто заглянули в корпус нового яблочного устройства, но и оценили ремонтопригодность гаджета.Читать даль...

Amazon заказала 100 тысяч электрофургонов Rivian Amazon, Rivian Как передает Reuters, глава Amazon Джефф Безос (Jeff Bezos) объявил, что в рамках плана компании по достижению нулевого баланса выброса парниковых газов к 2040 году Amazon заказала у стартап-компании Rivian целых 100 000 электрических фургонов, поставки к...

Особый вид квантового туннелирования может изменить полупроводниковую отрасль Что такое парадокс Клейна, и как он может помочь дальше уменьшать техпроцесс чипов.

По словам генерального директора Intel, задержки с освоением 10-нм техпроцесса были связаны с излишними амбициями компании Но больше такого не повторится.

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

Процессоры Intel Rocket Lake 11-го поколения получат до 8 ядер и устаревший 14 нм техпроцесс В последнее время Интел не радует своих клиентов

Процессоры Intel Tiger Lake могут обеспечить технологический прорыв благодаря зрелому 10++ нм техпроцессу - wccftech Скоро Интел снова будет впереди планеты всей

Хакеры уменьшат налог на прибыль отечественным компаниям Департамент налоговой и таможенной службы Министерства финансов Российской Федерации сообщил, что отныне убытки от кибератак влияют на подсчёт налога на прибыль отечественных компаний. Соответствующее письмо разместили на «Гаранте» — одной из крупнейших справочно-правовых си...

Qualcomm представила 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665 Однокристальную платформу Qualcomm со странным обозначением SM7150 приписывали самым разным смартфонам еще с прошлого года. И вот эта однокристальная платформа сегодня представлена официально – под названием Snapdragon 730 и… сразу в двух версиях. Если суди...

64-ядерный CPU Epyc 7742 оценён менее чем в 8000 долларов Процессоры AMD Epyc второго поколения должный выйти в третьем квартале. Напомним, эти серверные CPU перешли на семинанометровый техпроцесс и архитектуру Zen 2. Кроме того, количество ядер выросло вдвое, так что флагманы теперь 64-ядерные. И вот теперь в Сети появились ...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Nvidia, Mediatek, Huawei и Hisilicon могут пострадать от загрязнения на фабрике TSMC На заводе Fab14B (научный парк Хсинчу, Тайвань) крупнейшего контрактного производителя полупроводниковых микросхем TSMC произошел инцидент. За счет бракованной партии химических реагентов, которые применяются при производстве полупроводников, были повреждены от 10 до 30 тыс....

LG прекращает производство смартфонов в Корее Южнокорейская компания LG Electronics планирует прекратить производство мобильных телефонов на домашнем рынке и перенести его на существующую фабрику во Вьетнаме. Об этом сообщило издание Reuters со ссылкой на корейское информационное агентство Yonhap.

Китайских школьников заставляли работать сверхурочно и по ночам на производстве "умных" колонок Amazon Echo Тинейджеры трудились на фабриках компании Foxconn в качестве стажеров, но ночные смены и дополнительные рабочие часы им назначали незаконно. В Amazon и Foxconn пообещали разобраться в ситуации.

Apple соглашается подчиняться российскому законодательству и хранить пользовательские данные на локальных серверах Apple, наконец-то, выполнит российский закон 2014 года, согласно которому данные о гражданах должны храниться на локальных серверах, что может повлиять как на Apple, так и на политических диссидентов страны. Об этом говорится в сообщении Роскомнадзора, телекоммуникационного...

3devo предлагает сушилку AIRID для гранулированного и молотого пластика Домашняя фабрика по производству филаментов для 3D-принтеров: нидерландская компания 3devo выпустила специальную сушилку для гранулированного пластика в дополнение к уже предлагаемым настольным экструдерам прутка и шредерам.Подробнее...

Аналитики IDC ожидают, что в 2020 году расходы на AR и VR достигнут 18,8 млрд долларов Мировые расходы на дополненную виртуальную реальность (AR и VR) в 2020 году составят 18,8 млрд долларов, увеличившись по сравнению с показателем 2019 года, равным 10,5 млрд долларов, на 78,5%. Такой прогноз опубликован аналитической компанией IDC. В прогнозе также сказа...

Apple объявляет о снижении цены на IPhone Apple - отличная компания со многими высокотехнологичными продуктами, но ее проблемой всегда была цена ее гаджетов.  В прошлом году компания выпустила iPhone-ы 2018 года, и эти смартфоны не показали ожидаемых финансовых результатов.  Многие аналитики прогнозируют, ...

3D-печать с разрешением 1 микрон Распечатка ячеистой структуры с толщиной стенок около 20 микрон, размер детали: 1,6×1,8×2,3 мм, время печати: 80 минут Израильский стартап Nanofabrica начал продажи оборудования для печати с микронным разрешением. Впервые в истории индустрии на рынке появились системы 3D-п...

Новые AirPods не поддаются ремонту По традиции, вскоре после начала продаж новых AirPods специалисты iFixit разобрали новинку и оценили ее ремонтопригодность. Если говорить коротко, то внутри яблочные беспроводные наушники второго поколения изменились не слишком сильно. При этом, как и первую версию, отремонт...

Краткое руководство по проведению пилотов и PoC Введение За годы своей работы в области ИТ и в особенности в продажах ИТ видел много пилотных проектов, но большинство из них оканчивалось ничем при значительных расходах времени. При этом, если мы говорим о тестировании железных решений, как например СХД, на каждую демо-с...

Знакомьтесь, Mara X и Mara Z — первые африканские смартфоны Компания Mara Group решила стать пионером африканских смартфонов и открыла первую фабрику по производству мобильников в городе Кигали, столице Руанды. Штат сотрудников насчитывает всего 200 человек и именно они будут заняты в выпуске первых двух африканских смартфонов Mara X...

Google перенесёт производство смартфонов на фабрику Nokia из-за антикитайских пошлин Трампа Компания Google начала комплектовать старую фабрику Nokia во Вьетнаме в рамках подготовки переноса производства смартфонов Pixel из Китая. Об этом сообщили два источника издания Nikkei Asian Review.

«ЛЕКСГАРАНТ» формирует отчётность в «Фабрика XBRL» Всего в рамках проекта было настроено 68 форм бухгалтерской отчётности и 88 — надзорно-статистической отчётности. В результате работ «ЛЕКСГАРАНТ» успешно сдает отчётность в формате XBRL уже с 1 квартала 2018 года.

Компания-сборщик iPhone сократила 50 000 рабочих Компания Foxconn уволила около 50 тысяч контрактных работников фабрики в Чжэнчжоу, в которой занимались сборкой iPhone. Первая волна сокращений началась в октябре 2018 года. Об этом сообщило издание Nikkei.

GPU Nvidia Ampere будут основаны на 7-нм техпроцессе Samsung: релиз в 2020 году Графические процессоры Nvidia Ampere уже получили сертификат ECC, но до сих пор мы почти ничего не знали об их особенностях. Сегодня это изменилось. Подробнее об этом читайте на THG.ru.

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

[Перевод] Как Shopify организовала совместную работу более 1000 разработчиков Сложно организовать совместную работу большой команды, тем более над общей кодовой базой, такой как Shopify. Наш монолит меняется по 40 раз на дню. Мы отслеживаем разработку в trunk-based рабочем процессе и ежедневно вливаем в мастер по 400 коммитов. У нас три правила безо...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

GlobalFoundries продаёт американское предприятие Fab 10 Реструктуризация GlobalFoundries набирает обороты. Накануне контрактный чипмейкер сообщил о продаже завода Fab 10 в американском городе Ист-Фишкилл (штат Нью-Йорк) компании ON Semiconductor. Данная фабрика досталась GlobalFoundries в результате договора с IBM несколько лет.....

SK Hynix инвестирует более $100 млрд в строительство четырех фабрик На мероприятии International Solid State Circuits Conference представители концерна SK Hynix сообщили о планах по строительству четырех новых предприятий в Южной Корее, которые будут сосредоточены на разработке и производстве памяти DRAM. На это...

Открытая архитектура RISC-V пополнилась интерфейсами USB 2.0 и USB 3.x Как подсказывают наши коллеги с сайта AnandTech, один из первых в мире разработчиков SoC на открытой архитектуре RISC-V, компания SiFive приобрела пакет интеллектуальной собственности в виде IP-блоков интерфейсов USB 2.0 и USB 3.x. Сделка совершена с компанией Innovative Log...

eMarketer: поисковая реклама – самый быстрорастущий рекламный формат в ретейле Поисковая реклама является самым быстрорастущим рекламным форматом в сфере розничной торговли. Об этом свидетельствует новый прогноз eMarketer. В 2019 году ретейлеры в США потратят на поисковую рекламу $13,12 млрд, что на 22,5% больше по сравнению с прошлым годом. В 2020 год...

FAQ по мобильной медиации в РСЯ Мобильная медиация, запущенная Яндексом в конце прошлого года, предназначена помогать владельцам мобильных приложений увеличивать доходы от рекламы. Она позволяет настроить автоматический подбор рекламы в мобильных приложениях из нескольких рекламных систем и зарабатывать на...

Tesla увольняет 7% постоянных сотрудников Генеральный директор Tesla Элон Маск (Elon Musk) объявил, что компания увольняет 7% постоянных сотрудников, стремясь сократить расходы и увеличить прибыль. В третьем квартале Tesla впервые стала прибыльной. По предварительным подсчетам, четвертый квартал тоже за...

К 2023 году Apple сможет купить Uber на одни только доходы от App Store Расходы пользователей в App Store продолжат расти быстрыми темпами, считают эксперты, и становится понятно, почему Apple начала уделять столь пристальное внимание сервисам. Новые данные от Sensor Tower говорят о том, что доходы магазина приложений корпораций увеличатся боле...

Сколько Apple, Facebook и Intel тратят на охрану своих ... Высокопоставленные сотрудники крупных компаний нуждаются в охране, а расходы по ее организации в большинстве случаев берет на себя работодатель. Например, Apple, Facebook, Amazon, Intel и Oracle не хотят искушать судьбу и ежегодно тратят немалые суммы на обеспечение безопасн...

IDC: расходы на защиту данных растут на 9-10% в год Эксперты IDC подсчитали, что по итогам 2019 года компании потратят на защиту данных $106,6 млрд, что на 10,7% превышает прошлогодние показатели. Прогноз приведен в очередном отчете агентства, посвященном мировым инвестициям в информационную безопасность. В исследовании приня...

Строительство китайского завода Foxconn по производству LCD-панелей оказалось под угрозой На этой неделе стало известно, что руководство Foxconn решило отказаться от строительства фабрики по производству жидкокристаллических панелей в Висконсине.

Arm Musca-S1 — необычная тестовая микросхема для IoT Компания Arm в сотрудничестве с Samsung Foundry, Cadence и Sondrel продемонстрировала тестовую микросхему Musca-S1 для устройств интернета вещей, изготовленную на мощностях Samsung по 28-нанометровому техпроцессу FD-SOI. Это первая микросхема такого рода, оснащенная вст...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

Фотографии чипсета AMD X570 пролили свет на его происхождение А вот техпроцесс изготовления на фотографиях не разглядишь.

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

Улучшенная версия 7-нм техпроцесса TSMC поднимет быстродействие на 5% Шанс для AMD поднять производительность процессоров малой кровью.

NVIDIA Ampere: цены, быстродействие, техпроцесс – всё что мы знаем о новом поколении на текущий момент Сидим и ждем новых слухов об NVIDIA Ampere

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Потоковое вещание в прошлом году обошло кабельное по числу подписчиков Компании, транслирующие кабельное телевидение, уже давно испытывают конкуренцию со стороны сервисов потокового вещания. Однако именно прошлый год оказался знаменательным в их соперничестве. В отчете Американской ассоциации кинокомпаний (MPAA) за 2018 год со ссылкой на д...

По прогнозу IDC, расходы на общедоступные облачные сервисы к 2023 году более чем удвоятся Специалисты аналитической компании IDC подготовили прогноз, касающийся облачных сервисов. Аналитики ожидают, что в период с 2019 по 2023 год расходы на общедоступные облачные сервисы более чем удвоятся. В указанный период они будут расти в среднем на 22,3% в год —...

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Агенты ГРУ выкрали у США технологию гиперзвукового оружия В этом уверены американские СМИ. Американским СМИ не дают покоя успехи России в разработке гиперзвукового оружия. Они никак не могут поверить в то, что российские учёные и инженеры смогли самостоятельно совершить такой рывок в этой области, что оставили США далеко позади. ...

Samsung дарит Galaxy S10 Plus бывшим сотрудникам Компания Samsung подтвердила, что в конце этого месяца закроет свой последний завод по производству смартфонов в Китае, расположенный в провинции Гуандун. С закрытием фабрики сотрудникам будут выплачены денежные пособия, а также будут подарены смартфоны и «умные» часы Samsu...

«ФИНАМ ФОРЕКС» формирует отчётность в системе «Фабрика XBRL» С III квартала 2018 года для формирования финансовой отчётности для Банка России  компания использует программный продукт разработчика «Синтегро консалтинг»

Как сократить расходы и обеспечить оптимальную ИТ-поддержку бизнес-модели. Ищем «серединный путь спасения» Достижение баланса между сокращением расходов и оптимальной поддержкой бизнес-модели остается одной из основных задач для многих ИТ-отделов. Им также необходимо рассчитать, каким образом можно адаптировать объемы ИТ-поддержки с учетом потребностей бизнес-модели, чтобы не усл...

AMD Radeon Pro W5700 — видеокарта для 3D-дизайнеров, архитекторов и инженеров AMD анонсировала видеокарту AMD Radeon Pro W5700 с первым в мире графическим процессором на базе 7-нм техпроцесса для профессиональных рабочих станций.

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

Аналитики IDC ожидают, что расходы на интернет вещей в этом году достигнут 745 млрд долларов Специалисты аналитической компании IDC подготовили прогноз, относящийся к рынку интернета вещей (IoT) в 2019 году. По мнению аналитиков, соответствующие расходы в этом году достигнут 745 млрд долларов. Такой прогноз соответствует росту рынка на 15,4% по сравнению с 2018...

Инвестиционная группа «УНИВЕР» сдаёт отчётность в формате XBRL Проект реализован на базе собственных программных продуктов: «Синтегро ОСБУ» и «Фабрика XBRL». В результате работ компании «УНИВЕР Капитал» и «Ю Джи Эм Кэпитал» успешно сдают отчётности в формате XBRL уже с 1 квартала 2018 года.

GlobalFoundries продала сингапурский завод Fab 3E Контрактный чипмейкер GlobalFoundries объявил о продаже своего сингапурского завода Fab 3E компании Vanguard International Semiconductor (VIS). Новому владельцу достанется не только сама фабрика и оборудование, но также сотрудники и связанные с производством технологии....

Компания Samsung планирует создать чипы по техпроцессу 3 нм Чипы, созданные по техпроцессу 3 нм, будут потреблять вдвое меньше энергии, чем современные, созданные по техпроцессу 7 нм. Производительность таких чипов вырастет на 35%. Размер чипа станет меньше на 45%.

BOE начала массовое производство панелей Micro OLED Согласно последним сообщениям, BOE начал массовое производство панелей Micro OLED в Куньмине, провинция Юньнань, в октябре этого года. Диагонали дисплеев не уточняются. Теперь китайскому производителю дисплеев ищет партнеров, которые будут использовать панели Micro OLED...

АО ИК «АК БАРС Финанс» внедрил решение «Фабрика XBRL» Проект был реализован по нетиповому плану. В результате отчётность в формате XBRL создаётся в системе «Синтегро ОСБУ». Это упростило работу сотрудников АО ИК «АК БАРС Финанс», отвечающих за сдачу бухгалтерской (финансовой) отчётности – они работают только в одной сис...

Криптовалютный океан: обзор топ-50 проектов с CoinMarketCap Когда смотришь на бесконечный список криптовалют на CoinMarketCap, первый вопрос который приходит в голову: а чем все они отличаются? И зачем их столько? Первый же ответ из зала — это все хайп и маркетинг! Но осознанные люди не поддаются очарованию простых ответов. Чтобы от...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

Qualcomm представила чипсет Snapdragon 712 для среднеуровневых смартфонов Компания Qualcomm анонсировала новый мобильный чипсет Snapdragon 712. Новинка основана на том же 10-нм техпроцессе FinFET, что и Snapdragon 710, но имеет более быстрый 8-ядерный процессор, который до 10% производительней, чем предшественник, а также улучшенные технологии ИИ....

Intel увеличивает штат предприятия в Нью-Мексико, где выпускается память 3D XPoint Из Юты переедут не все.

Обзор IT-Weekly (22.04 - 28.04.2019) "Рикор" в серверных платформах увеличивает долю отечественных комплектующих...

TSMC увеличивает степень зависимости от американского рынка И снижает – от китайского.

Google Nest Wifi покроет весб дом скоростным Wi-Fi и ответит на любые вопросы пользователя Компания Google представила устройство, которое призвано обеспечить стабильное и высокоскоростное покрытие всего дома или квартиры беспроводной связью Wi-Fi. Новинка будет конкурировать с другими mesh-системами вроде Xiaomi Mesh Router Suite. Но у решения Google есть од...

Видеокарты NVIDIA Ampere поступят в продажу в первом полугодии 2020 года: нас ждет 7 нм техпроцесс и невероятная мощь Начинаем экономить уже сейчас, а иначе на новую видеокарту не накопить!

Зарплаты разработчиков в Армении Зарплаты в ИТ секторе Армении не поддаются общим зарплатным условиям, установленным в стране: порядок цифр значительно выше средней зарплаты, зарплаты сопоставимы, если не с московскими, то региональными по России, с зарплатами в tech-секторе Беларуси. Рассчитали средние за...

В IDC ожидают, что расходы на «цифровую трансформацию» в 2023 году достигнут 2,3 трлн долларов Специалисты аналитической компании IDC ожидают, что в 2023 году расходы на «цифровую трансформацию», включая оборудование, программное обеспечение и сервисы, достигнут 2,3 трлн долларов. Этот прогноз соответствует среднегодовому росту на 17,1% в период с 201...

Астрономы детектировали странное поведение далекой черной дыры NASA/CXO/CSIC-INTA/G.Miniutti et al.; Optical: DSS Разумеется, черная дыра сама по себе не производит излучения, которое могло бы быть детектировано учеными, — излучает аккреционный диск вокруг нее. Обычно гигантские черные дыры мерцают подобно свечам, однако черная дыр...

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

Google начал массово удалять запрещённые в России сайты из поиска Компания Google включился в борьбу с запрещёнными в России сайтами. Ссылки на заблокированные сайты начали массово удаляться из поисковой выдачи Google. На это обратило внимание издание «Ведомости» со ссылкой на сотрудника крупной интернет-компании. ...

Компания Tianma показала прототипы прозрачной панели OLED и панели OLED, изготовленной методом струйной печати На завершающейся сегодня в Нюрнберге выставке Embedded World 2019 китайская компания Tianma продемонстрировала свои последние достижения, включая гибкие панели и два новых прототипа панелей OLED. Первый прототип — прозрачная панель AMOLED диагональю 10,3 д...

Intel инвестирует почти 11 миллиардов долларов в новую фабрику в Израиле Компания Intel инвестирует 10,9 млрд долларов в новую полупроводниковую фабрику, которая будет построена в Израиле. Об этом сообщил министр финансов страны. «Глобальный менеджмент Intel сообщил нам о своем решении инвестировать еще 40 миллиардов шекелей в...

Intel инвестирует €7 млрд в новую фабрику в Ирландии В последнее время корпорация Intel вкладывает всё больше средств в расширение производственных мощностей. Не так давно мы сообщали о намерениях чипмейкера инвестировать около 11 миллиардов долларов в израильское подразделение, а на днях стало...

Аналитики IDC назвали год, когда расходы на интернет вещей превысят 1 трлн долларов Специалисты аналитической компании IDC подготовили очередной прогноз, касающийся расходов на интернет вещей (IoT). По их мнению, эти расходы в период с 2019 по 2023 год будут расти в среднем на 12,6% в год. Если прогноз оправдается, уже в 2022 году указанный рынок прев...

TSMC анонсирует начало разработки 2 нм техпроцесса Большие и мощные процессоры наконец-то начали выпускаться по 7 нм технологии, однако TSMC смотрит в будущее и уже готовится к разработкам 2 нм технологии.

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Samsung представила 7-нм SoC Exynos 990 для Galaxy S11 Samsung Electronics анонсировала мобильный процессор премиум-класса Exynos 990 и сверхбыстрый 5G-модем Exynos Modem 5123. Exynos 990Новый чипсет использует самый передовой 7-нм техпроцесс с использованием ультрафиолетового излучения (EUV). Чип включает в себя два…

Флагманский чипсет Snapdragon 875 будет выпускаться TSMC на 5-нм техпроцессе Ближе к концу года Qualcomm должна представить новый флагманский чипсет Snapdragon 865. Но ещё до этого события в сети появились первые слухи о его преемнике, который будет известен под названием Snapdragon 875. Подробнее об этом читайте на THG.ru.

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

«Мокро и опасно»: О поездке по М4 «Дон» в феврале рассказал автомобилист «Подводные камни» дороги из Москвы в Воронеж обсудили в сети, упомянув и расход топлива. В тематическом сообществе в одной из социальных сетей автомобилист рассказал о поездке из Москвы в Воронеж по федеральной магистрали М4 «Дон». По словам водителя, время в пути составило...

Статистика Mindfactory: AMD опять увеличивает своё преимущество, Intel отстаёт А впереди дебют процессоров Ryzen 3000.

Вышло майское обновление Windows 10 Оно увеличивает размер системы на 7 Гбайт

[Перевод] SSD GIGABYTE Aorus RGB M.2: мал, да удал даже для RGB-светодиодов (1 часть) В прошлом году, несколько робко, GIGABYTE вышла на рынок твердотельных накопителей с SATA-дисками начального уровня; что сказать, их продукт неплохо работает до сих пор. Новые SSD Aorus RGB — это высокопроизводительные накопители NVMe, разработанные для геймеров. Так как M.2...

В России научились ускорять компьютеры в 10 раз Как сообщает ТАСС со ссылкой на пресс-службу холдинга «Росэлектроника», концерн «Созвездие» разрабатывает аппаратный ускоритель, якобы способный увеличивать производительность сервера как минимум в пять, а то и в 10 раз. Работа ведётся совместно с бойцами научной роты красно...

Объем заказов на 7-нм чипы TSMC продолжает расти благодаря AMD и другим производителям За последние несколько месяцев TSMC столкнулась с рядом серьезных трудностей. В частности, в августе 2018 серверы компании оказались заражены вирусом WannaCry, что могло повлечь за собой задержку производства. В январе текущего года на фабрике Fab 14B произошла авария, из-за...

77% затрат на видеорекламу приходится на out-stream ролики Эксперты Mail.ru Group проанализировали ключевые тренды в использовании видеорекламы в 2018 году. В исследовании рассматривали инвестиции рекламодателей в разные форматы видеороликов, в том числе в отдельных товарных категориях.Как показывают данные, рост вложений в видеорек...

Методы оптимизации кода для Redd. Часть 2: некэшируемая память и параллельная работа шин В прошлой статье мы выяснили, что кэш — это безусловно полезная штука, но применительно к контроллерной логике он иногда создаёт трудности. В частности, он вносит непредсказуемость длительности импульсов либо иных задержек при программном формировании временных диаграмм. Ну,...

АО «ГПБ-УА» представляет отчётность в Банк России с использованием программного продукта «Фабрика XBRL» АО «ГПБ-УА» обратилось в ООО «Синтегро консалтинг» для автоматизации процесса заполнения форм бухгалтерской (финансовой) (БФО), а также надзорной (статистической) (НИС) отчётности в формате XBRL Банка России. Специалисты ООО «Синтегро ...

Cubux 2.0 семейная бухгалтерия и управление бюджетом Cubux.net – представляет собой онлайн сервис для учета расходов, доходов, долгов. Благодаря полноценной синхронизации данные сохраняются в базу с любого устройства. Функция «Совместного учета» позволяет пользовать приложением сразу всей семье. Операция по расходам з...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

Samsung представила мобильный чипсет Exynos 9825 Samsung официально представила мобильный чипсет Exynos 9825, который будет лежать в основе будущих новинок Galaxy Note10 и Galaxy Note10+. Exynos 9825 является первым чипсетом компании, выполненным по 7-нм техпроцессу EUV. Подробнее об этом читайте на THG.ru.

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

Заблокированному в Facebook из-за цензуры российскому СМИ отказали в суде В 2018 году Facebook заблокировала сотни российских аккаунтов, связанных, по мнению администрации соцсети, с «фабрикой троллей». Под раздачу попал и аккаунт российского Федерального агентства новостей (ФАН). СМИ попыталось отсудить право на восстановление страницы, но у него...

Intel забуксовала со своими дискретными видеокартами, главная проблема – в их невысокой эффективности Только ленивый не упрекает Intel в том, что она засиделась на 14-нанометровом техпроцессе в своих CPU, но выбор его же для 3D-карт сыграет с последними злую шутку еще до их выпуска: по эффективности они не смогут тягаться 12-нанометровыми решениями Nvidia и 7-нанометров...

TSMC не справляется с огромным числом заказов на 7-нм продукты: сроки выполнения выросли в 3 раза 7-нм техпроцесс пользуется огромным спросом среди клиентов TSMC. Конечно, это не может не радовать тайваньского контрактного производителя, но у этой медали есть и оборотная сторона. Подробнее об этом читайте на THG.ru.

Доказано: сигареты в сочетании с алкоголем увеличивают риск заболевания раком в 30 раз Лучше уж выбрать что-то одно.

Google: наличие H1 и H2 не влияет на ранжирование Они только увеличивают удобство сайта

Выжимаем соки из ПК: разгон памяти Увеличиваем производительность ПК за счет оверклокинга оперативной памяти

Чат-боты увеличивают продажи на сайте на 67%. Исследование Но 87% потребителей предпочитают общение с человеком, а не роботом

Наличие AMP-страниц увеличивает органический трафик на 27% Так говорится в совместном исследовании Stone Temple и WompMobile

Huawei увеличивает объёмы поставок базовых станций для сетей 5G Санкции особо не мешают.

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Нейрополис: как устроен город, которым управляет искусственный интеллект Что произойдёт за следующие 100 лет, если люди получат доступ к источнику дешёвой энергии? У нас есть интересный вариант. Приглашаем вас в Нейрополис — многоэтажный город будущего, где путешествуют на VR-дронах и входят в интернет с помощью нейроимплантов, а в домах есть циф...

Вместо точечного отключения интернета американцы испортили жёсткие диски и разослали вирус в компьютеры российской компании В сети появились подробности об операции Киберкомандования США по блокировки доступа в интернет так называемой российской «фабрике троллей» 6 ноября 2018 года, в день промежуточных выборов в Конгресс США.

«Цифровизация» в этом году обойдется предприятиям в 1,2 трлн долларов Предприятия по всему миру делают значительные инвестиции в технологии и услуги, которые обеспечивают цифровую трансформацию («цифровизацию») их бизнес-моделей, продуктов и услуг, а также организационных структур. По прогнозу IDC, мировые расходы на цифровиза...

Intel анонсировала мобильные процессоры Core 10-го поколения Ice Lake Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus. В основе процессоров 10-го поколения – структура Intel Sunny Cove, которая содержит четыре ядра с восемью потоками и частотой до 4,1 ГГц. Для…

Кейс. «Построение сквозной аналитики на примере компании «Фабрики окон» 30 октября система сквозной аналитики Alytics провела в Москве вторую конференцию «День сквозной аналитики». Материал подготовлен на основе выступления Андрея Зайко, руководителя отдела аналитики агентства performance-маркетинга Adventum.

Fujitsu вынуждена скрыть имена процессоров Coffee Lake Refresh с 8 ядрами и пониженным TDP Когда ждать их появления, до конца не понятно.

AMD представит новую линейку топовых видеокарт в начале января Неожиданная, но очень приятная новость пришла под самый конец воскресенья. Как пишет источник со ссылкой на ресурс Chiphell, правильно предсказавший ряд анонсов видеокарт AMD прошлых поколений, компания готовит большой анонс на выставке CES 2020. Это мероприятие, напомн...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Американцы выборочно отключают российских пользователей от интернета Военные США успешно провели кибероперацию против России, заблокировав доступ в интернет так называемой российской «фабрике троллей» 6 ноября 2018 года, в день промежуточных выборов в Конгресс США. Об этом рассказало издание Washington Post со ссылкой на нескольких американск...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Видеокарты Nvidia Ampere появятся в первой половине 2020 года Судя по последним слухам, уже в первой половине следующего года Nvidia выпустит новые видеокарты поколения Ampere. Новые GPU будут выполнены с использованием 7-нм техпроцесса и, как ожидается, предложат заметный прирост производительности по сравнению с предшественниками. По...

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

Генеральный директор Intel пообещал, что дефицита процессоров больше не случится Корпорация наращивает мощности по 14-нанометровому техпроцессу и планирует к новогоднему сезону выпустить больше 10-нанометровых чипов, чем предполагалось раньше

Электрический самосвал eDumper благодаря рекуперативной системе заряжает себя сам Электросамосвал eDumper массой 45 тонн работает в каменном карьере около Биля, Швейцария. Каждый день он не только возит грузы, но и вносит огромный вклад в экологию. Работа eDumper состоит в том, чтобы отвозить 65 тонн породы вниз по склону горы — по грунтовке с уклон...

Яндекс: Турбо-страницы для десктопа снижают отказы на 60% И в 2 раза увеличивают средний доход на визит от РСЯ

Шведская Vostok New Ventures продаст свою долю в Avito за $540 млн Основной владелец сервиса Naspers увеличивает свою долю.

Откуда берётся грыжа позвоночника и что с ней делать Сидячая работа и лишний вес увеличивают риски.

Завершении работы над архитектуры Zen 3 Учитывая окончание работ на Zen 3, первые чипы, построенные по этой микроархитектуре, могут появиться на рынке уже в 2020 году. Тестирование прототипов Zen 4 AMD планирует начать в 2021-м. Вполне возможно, что за два года полупроводниковое производство перейдёт на более сове...

По прогнозу IDC, в этом году расходы на системы искусственного интеллекта вырастут до 35,8 млрд долларов Специалисты аналитической компании IDC подготовили очередной прогноз. Он касается расходов на системы искусственного интеллекта в текущем году. По мнению аналитиков, в годовом исчислении указанный рынок вырастет на 44% и достигнет 35,8 млрд долларов. В период с 2018 по...

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

Поисковики будут вынуждены раскрыть секреты ранжирования Заставит их это сделать Европейский Союз.

SuperTabs для Chrome отображает вкладки в вертикальном списке Пригодится тем, кто вынужден работать со множеством сайтов разом.

Крупный исследовательский центр Qualcomm появится на Тайване Это вынужденный шаг, продиктованный вердиктом антимонопольных органов.

Частное мнение: Intel будет вынуждена снизить цены на процессоры AMD не оставляет конкуренту иного выбора.

Новые iPhone, включая флагманы, будут собраны в Индии Apple намерена перенести сборку iPhone, включая флагманские модели, в Индию в 2019 году – сообщает авторитетное издание Reuters, ссылаясь на инсайдерскую информацию. Сборкой флагманских iPhone вместо китайской фабрики Wistron займётся индийское подразделение компании Foxconn...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

Кристалл контроллера ввода-вывода AMD Ryzen 3000 построен на 12-нм техпроцессе Как мы знаем, процессоры AMD Ryzen 3000 (Matisse) используют многокристальную компоновку с одним или двумя 7-нм чиплетами CPU Zen 2 и кристаллом контроллера ввода-вывода. И, хотя предполагалось, что он построен на 14-нм технологиях, последние данные говорят о другом. Подробн...

MediaTek MT6885 с поддержкой 5G, выполненную по 7-нм техпроцессу покажут 26 ноября На прошлой неделе мы рассказали о том, что Redmi K30 может получить однокристальную систему от MediaTek. Еще несколько лет назад этот поизводитель довольно успешно конкурировал с Qualcomm, но потом переключился на ультрабюджетные решения для китайских смартфонов. Видимо, так...

Китайцы будут тратить на AR и VR более $65 млрд уже в 2023 году Согласно отчету, опубликованному аналитической компанией IDC, расходы Китая на дополненную реальность (AR) и виртуальную реальность (VR) превысят $65 млрд в 2023 году. Специалисты подсчитали, в период между 2018 и 2023 годами совокупный годовой темп роста (CAGR) расход...

Продажи фотошаблонов для полупроводникового производства в 2018 году достигли рекордного уровня Отраслевая ассоциация SEMI, в которую входят представители цепочки поставок в области производства и проектирования электроники, сообщила интересный факт: в 2018 году продажи фотошаблонов или масок для полупроводникового производства впервые превысили 4 млрд долларов. Г...

Компания «Поинт» приглашает на демонстрацию возможностей генеративного дизайна 28 мая в павильоне выставки «Металлообработка-2019», посвященном промышленным аддитивным технологиям, пройдет конференция компании Autodesk «Цифровое производство: ПО и оборудование для фабрики будущего». Для демонстрации возможностей технологии генеративного дизайна, продук...

Pegatron инвестирует до 1 миллиарда долларов в индонезийскую фабрику по производству чипов для iPhone Поставщик Apple Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple, сообщил во вторник представитель министерства Индонезии (через Reuters). Тайваньский производитель дал обещание в подписанном письме пра...

Лицензии на возобновление торговли с Huawei до сих пор не выданы американским компаниям Бюрократические проволочки увеличивают убытки.

Компоновка Intel Foveros значительно увеличивает сложность интеграции Но позволяет снизить удельное энергопотребление в пересчёте на контакт.

Inoi 243: ультратонкий телефон в ударопрочном корпусе Inoi 243 – телефон в премиальном цельнометаллическом корпусе из авиационного алюминия, который увеличивает прочность, а также уменьшает вес устройства.

В клиентском сегменте AMD увеличивает долю процессорного рынка пятый квартал подряд Какова её величина, компания не называет.

Доказано: грязный воздух увеличивает риск психических заболеваний Может ли воздух, которым мы дышим, влиять на наше ментальное здоровье? Учёные полагают, что да.

Исправленный BIOS для Ryzen не увеличивает быстродействие процессора Ryzen 9 3900X из-за планировщика Windows Остается лишь ждать и надеяться на лучшее

AMD бьёт рекорды по объёму продаж процессоров в немецкой рознице, опережая Intel в 4 раза «Красная команда» увеличивает своё превосходство.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)