Социальные сети Рунета
Вторник, 16 апреля 2024

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

TSMC начнет производство 5-нм чипов в марте 2020 года Ранее в 2019 году компания приступила к массовому производству микросхем по технологическому процессу 7 нм+ второго поколения.

Процессоры AMD доминируют в розничной продаже через Amazon с США, Великобритании и Германии Компания AMD выпустила достаточно удачные в плане производительности процессоры, в то время как Intel продолжает топтаться на месте с освоением более передовых технологических процессов производства чипов. Такая ситуация привела к тому, что многие пользователи, самостоятельн...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

Рикор модернизировал производство серверных платформ Российский инновационный холдинг "Рикор" объявил о завершении ряда мероприятий по модернизации технологических процессов линии производства современных серверных платформ.

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Компания "Байкал электроникс" с большим опозданием представила свой второй процессор Процессор Baikal-M, выполненный на архитектуре ARM по технологии 28 нм, планировалось представить еще в 2016 году. Производство и поставки чипа должны начаться во второй половине 2020 года.

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Во второй половине 2019 года планируется продать 75 млн новых Apple iPhone В настоящее время цепочка поставок Apple нацелена на производство компонентов для более чем 75 млн смартфонов iPhone во второй половине текущего года, сообщает Bloomberg. Сама компания ожидает, что спрос на смартфоны восстановится. Подробнее об этом читайте на THG.ru.

Intel выпустила 2 новых процессора Comet Lake-U и снимает с производства NUC на базе чипов Cannon Lake, Braswell и Broadwell Компания Intel выпустила два новых процессора в рамках семейства Comet Lake-U, которые предназначены для применения в составе тонких и лёгких ноутбуков. Новинки представляют собой решения начального уровня. Чипы Intel Pentium Gold 6405U и Intel Celeron 5205U относятся к 10-м...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Intel может привлечь Samsung в качестве контрактного производителя своих дискретных GPU Раджа Кодури, возглавляющий проект Xe по созданию дискретных GPU в компании Intel, недавно посетил завод по производству кремниевых чипов компании Samsung Electronics. Напомним, буквально на днях Samsung заявила о грядущем запуске производства чипов по нормам 5-нанометрового...

Смартфон Meizu с 5G выйдет во втором квартале следующего года Компания Meizu сейчас находится далеко не в лучшем положении, но это не значит, что компания не строит планов на будущее. В частности, есть у компании и планы относительно внедрения поддержки 5G. Как сообщает источник, в текущем квартале Meizu будет заниматься тестами м...

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

Intel начнёт поставки 7 нм процессоров в 2021 году Во вторник компания Intel провела встречу с инвесторами, на которой главный инженер Мёрфи Редучинтала со сцены рассказал о планах по освоению новых технологий производства процессоров.

IFA 2019: процессоры среднего уровня Qualcomm Snapdragon получат поддержку 5G Компания Qualcomm в ходе выставки IFA 2019, которая сейчас проходит в Берлине (Германия), поделилась планами по внедрению поддержки 5G в свои мобильные процессоры. Сейчас поддержка сотовых сетей пятого поколения в устройствах на базе флагманских чипов Snapdragon 855 и Snapdr...

Samsung запускает в массовое производство мобильного чипа памяти на 12 ГБ Компания Samsung объявила о начале массового производства чипа LPDDR4X DRAM емкостью 12 ГБ для смартфонов, который дает возможность предлагать смартфонам больше оперативной памяти, чем многие ультратонкие ноутбуки. Чип емкостью 12 ГБ имеет толщину 1,1 мм и позволяет про...

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

Twitter предупредил пользователей об удалении неактивных аккаунтов Представители сервиса микроблогов Twitter официально уведомили пользователей о планах в ближайшее время начать удаление неактивных пользовательских аккаунтов. Приступить к работе планируется с 11-го декабря нынешнего года, а весь процесс растянется на несколько месяцев.

Нехватка процессоров Intel сохранится до 2020 года По данным тайваньского ODM-производителя Compal Electronics, нехватка процессоров Intel продолжится во втором полугодии этого года и сохранится до начала 2020 года. В конце прошлого года Intel заявила о дефиците производственных мощностей, что привело к нехватке 14-нанометро...

Торговая война между Японией и Южной Кореей ударила по производству Samsung Galaxy Note 10 Так как презентация фаблета Samsung Galaxy Note 10 намечена на 7 августа, южнокорейская компания уже приступила к его производству. Однако уже ощущается влияние затянувшегося торгового спора между Южной Кореей и Японией, поскольку технологический гигант вынужден был замедлит...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

Сегодня NVIDIA проведёт конференцию GTC 2019, на которой ожидается анонс новой графической архитектуры с 7-нм производством Сегодня компания NVIDIA намерена провести мероприятие GPU Technology Conference 2019 (GTC 2019). Оно откроется в 14:00 по тихоокеанскому времени (23:00 по киевскому времени). Как ожидается, в рамках проведения конференции GTC 2019 компания NVIDIA анонсирует новую графическую...

Экран смартфона Nokia 9 PureView получит тонкие рамки без вырезов Появилась информация о пока не представленном официально смартфоне Nokia 9 PureView. Экран у аппарата сможет похвастаться тонкими рамками без вырезов. Девайс получит 5,99-дюймовый экран, процессор Snapdragon 845, 6 Гбайт ОЗУ и сканер отпечатков пальцев. Сзади расположатся п...

Компания Fujitsu завершила проектирование суперкомпьютера Post-K и приступила к его изготовлению Компания Fujitsu объявила, что совместно с институтом RIKEN (Институт физико-химических исследований — крупный научно-исследовательский институт в Японии, почти полностью финансируется правительством Японии) она завершила проектирование суперкомпьютера Post-K, кот...

Стартовало массовое производство экранов для смартфона Samsung Galaxy Fold Компания Samsung Display приступила к массовому производству экранов для гибкого смартфона Galaxy Fold. Генеральный директор компании 9 апреля провёл мероприятие с целью отметить старт производства. На мероприятии присутствовало около 100 руководителей Samsung различны...

Ростех будет производить детали газотурбинных двигателей методом 3D-печати Освоение технологии производства крупногабаритных деталей для промышленных газотурбинных двигателей происходит на самарском предприятии ПАО "Кузнецов" (входит в ОДК). Технология заключается в послойном создании крупногабаритных корпусных деталей на станке 3D-печати...

Samsung получила заказы на производство процессоров от Intel Компания Intel в очередной раз сообщила, что она испытывает проблемы с объёмами производства процессоров. Гонка с AMD за количество ядер привела к увеличению физического размера чипов, а значит, уменьшению их числа на одной пластине и дальнейшему росту дефицита.

Завершении работы над архитектуры Zen 3 Учитывая окончание работ на Zen 3, первые чипы, построенные по этой микроархитектуре, могут появиться на рынке уже в 2020 году. Тестирование прототипов Zen 4 AMD планирует начать в 2021-м. Вполне возможно, что за два года полупроводниковое производство перейдёт на более сове...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

[Перевод] Intel Comet Lake-U и Comet Lake-Y: до 6 ядер для тонких и легких ноутбуков Подводя итоги нескольких весьма напряженных недель, Intel анонсировала вторую половину своего стека процессоров Core 10-го поколения с низким энергопотреблением. С новым именем Comet Lake, процессоры мощностью до 15 Вт основаны на существующей архитектуре процессора Intel Sk...

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

TSMC ускоряет переход на 5 нм Компания TSMC приступила к рисковому производству чипов по 5 нм нормам. К массовому производству эта технология будет доступна в первой половине 2020 года.

Представлен дизайн первого процессора RISC-V для европейских суперкомпьютеров будущего Разработкой процессоров и платформ в рамках инициативы по созданию новых суперкомпьютеров на базе европейских компонентов занимается консорциум European Processor Initiative (EPI). На днях EPI представил Еврокомиссии первый дизайн процессора, который и станет основной для бу...

Samsung Display потратит на модернизацию производства 11 миллиардов долларов Компания столкнулась с перепроизводством ЖК-панелей из-за снижения спроса на телевизоры и смартфоны и переходом крупнейших заказчиков на OLED-панели. Компания Samsung Display до 2025 года планирует вложить в разработки технологий изготовления дисплеев и модернизацию произ...

В декабре TSMC поделится успехами в освоении 5-нм технологии Массовое производство будет налажено уже во втором квартале.

TSMC верит в 7 нанометров Представители компании TSMC считают, что во второй половине 2019 года загрузка 7-нанометровой технологии возрастет. Дело в том, что некоторые крупные производители уже разрабатывают свои решения на данном технопроцессе и совсем скоро эти проекты запустят в производство. Учит...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Samsung разработала 10-нм DDR4 DRAM 3-го поколения Компания Samsung разработала новые микросхемы памяти 10-нм DDR4 DRAM третьего поколения без использования экстремальной ультрафиолетовой (EUV) литографии. Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого года. 10нм класс ( 1z-нм ) 8G...

Huawei отрицает сообщения СМИ о сокращении производства По данным инсайдеров Nikkei, компания на 20-30% понизила прогнозируемые объемы поставок своих устройство во второй половине 2019 года.

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

Слух: TSMC приступает к производству новых процессоров для смартфонов Apple Линейка «айфонов», которую представят нынешней осенью, будет оснащена более совершенным процессором Apple А13.

Графические процессоры станут для Intel второй категорией продуктов по важности На технологической конференции Citi для инвесторов интересы Intel представлял корпоративный вице-президент Джейсон Гриб (Jason Grebe), который отвечает за сегмент «облачных» решений, но подобная специализация не мешала ему отвечать на широкий спектр вопросов. Когда присутств...

Apple не в состоянии перенести производство своих устройств в США Тим Кук был одним из тех, кто помог компании перенести производство в Китай. Обратного пути, похоже, просто нет В США много говорят о том, чтобы начать процесс возвращения производства из Китая «на родину». Об этом любят дискутировать политики, рассказывают для привлечения...

TSMC ускоряется — наймёт 8 тыс. новых сотрудников для внедрения 3-нм техпроцесса Компания TSMC намерена нанять дополнительные 8 тыс. сотрудников в новый научно-исследовательский центр, который будет создан в 2020 году. Он будет ориентирован на исследования и разработки технологий для 3-нанометрового и более тонких технологических процессов. По словам исп...

Foxconn начала подготовку к массовому производству новых iPhone Если верить недавним слухам, то официальный анонс нового поколения iPhone состоится 10 сентября. Во второй половине месяца Apple должна начать продавать свои новинки. Сейчас же партнеры яблочной компании готовятся к старту производства новых моделей. Например, компания Foxco...

«Рикор» приступил к производству опытных образцов серверных блоков питания Российский инновационный холдинг «Рикор» приступил к производству опытных образцов серверных блоков питания …

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

OLED плюс QLED. Samsung намерена в ближайшее время начать производство панелей QD-OLED На рынке телевизоров компания Samsung сделала ставку на технологию QLED, которая подразумевает использование обычных ЖК-панелей, но с дополнительным слоем из квантовых точек. В прошлом году корейский гигант представил технологию, объединяющую OLED и QLED, хотя подробно...

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

Google закрывает подразделение YouTube по производству оригинальных шоу и сериалов Администрация сервиса YouTube приняла решение отказаться от дальнейшего выпуска оригинальных сериалов и выйти из конкурентной борьбы с сервисами Netflix, Amazon Prime и другими подобными. Дальнейшие работы над проектами Origin и Overthinking with Kat & June остановлены, ...

Утечка раскрыла характеристики грядущего чипсета Qualcomm Snapdragon 735: 7-нм техпроцесс, GPU Adreno 620 По данным инсайдера Sudhansu Ambhoe, компания Qualcomm работает над созданием нового мобильного процессора смартфонов предтопового сегмента под названием Snapdragon 735, и этот чипсет изготавливается по нормам 7-нанометрового технологического процесса. И это существенное отл...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

Huawei Mate 30 может стать первым смартфоном с процессором Kirin 985 Первым смартфоном Huawei на основе фирменного флагманского процессора следующего поколения HiliSilicon Kirin 985, вероятнее всего, станет модель Mate 30. По крайней мере, об этом сообщают веб-источники. Reuters По уточнённым данным, чип Kirin 985 дебютирует в третьем квартал...

В этом году AUO планирует построить линию 6G по выпуску панелей OLED методом струйной печати По данным отраслевых источников, компания AU Optronics (AUO) планирует до конца 2019 года построить линию по выпуску панелей OLED методом струйной печати, рассчитанную на положки 6G. В текущем полугодии тайваньский производитель установит тестовую линию 3,5G. AUO разра...

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

Новый iPad mini и недорогой iPad можно ожидать до середины лета Тайваньский ресурс DigiTimes подтвердил слухи о том, что компания Apple готовит к выпуску пятое поколение планшета iPad mini и новый недорогой iPad в первой половине 2019 года.  Об этом говорят ожидаемые объёмы поставок сенсорных панелей от поставщиков компании Ap...

Смартфон Galaxy Note10 первым получит 7-нанометровую SoC Samsung В сети появились новые подробности о различиях флагманских смартфонов серии Galaxy S10 и Galaxy Note10, чей выпуск ожидается ближе к осени.  По данным китайских источников, в основу Galaxy Note10 ляжет не Exynos 9820, а более быстрая и совершенная SoC. Платформа E...

Intel рассказала о 14-нм серверных процессорах Cooper Lake На фоне успехов AMD на серверном рынке, компания Intel решила поделиться своими планами по дальнейшему развитию в этом сегменте и объявила о скором появлении новых серверных процессоров Intel Xeon Scalable, известных под обозначением Cooper Lake. Подробнее об этом читайте на...

Американский стартап по производству электробордов Inboard закрылся и сейчас находится в процессе ликвидации Калифорнийский стартап Inboard Technology готовится к ликвидации и уволил всех 24 сотрудников. В рамках ликвидации компания продаст свои активы и интеллектуальную собственность, рассказал основатель и теперь уже бывший гендиректор Inboard Райан Эванс Отметим, что данная комп...

TSMC планирует приступить к производству 5-нанометровых процессоров для Apple Речь идет о чипа Apple A14, которые появятся в 2020 году.

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Kirin 990 может стать последним чипсетом от Huawei Как вы уже знаете, под давлением правительства США многие крупнейшие американские и британские компании вынуждены прекратить сотрудничество с Huawei. Несмотря на все заверения главы Huawei о том, что ничего страшного не произошло, для китайской компании последствия могут быт...

Apple объявила о покупке бизнеса Intel по производству модемов для смартфонов По условиям сделки в 1 млрд доларов, которую планируется закрыть к концу 2019 года, в Apple перейдут около 2200 сотрудников Intel. Также компания из Купертино получит в собственность ряд патентов Intel.

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Заводское тестирование МЦОД GreenMDC Производители оборудования часто говорят о необходимости и важности заводских испытаний продуктов для их дальнейшей безопасной эксплуатации. А если речь идет не об одном продукте, а о сложном решении, сочетающем в себе более десятка инженерных систем, то испытания становятся...

Первый 5G-смартфон HTC появится не раньше второй половины 2019 года Тайваньская HTC приехала на CES 2019 с многочисленными обещаниями по возрождению своего бизнеса по производству смартфонов. Но как это произойдёт, до сих пор остаётся непонятно. Подробнее об этом читайте на THG.ru.

Заводское тестирование модульного дата-центра Производители оборудования часто говорят о необходимости и важности заводских испытаний продуктов для их дальнейшей безопасной эксплуатации. А если речь идет не об одном продукте, а о сложном решении, сочетающем в себе более десятка инженерных систем, то испытания становятся...

Samsung ограничит производство смартфонов в Китае Руководство компании Samsung приступило к оптимизации своей производственной площадки в Китае, осуществляющей выпуск смартфонов. Корейский гигант объявил о сокращении масштабов производства в связи с общим падением объемов реализации и необходимостью избежать перепроизводств...

В Южной Корее нашли способ ускорить импортозамещение Южнокорейские производители микросхем, такие как Samsung Electronics, разрешат отечественным поставщикам использовать свое оборудование для проведения проверки качества продукции.  Это предусмотрено соглашением, заключенным при посредничестве правительства и направ...

Однокристальная система Huawei Kirin 985 будет представлена до конца квартала Еще в декабре появились первые сведения, что Huawei работает над однокристальной системой Kirin 985, которая станет преемницей нынешней флагманской модели Kirin 980. Как и Kirin 980, она будет спроектирована в расчете на технологические нормы 7 нм, но может стать первой...

В Европу поставят новый высокоскоростной модем российского производства На территории особой экономической зоны Москвы будет построен завод, где планируется локализовать производство устройства.

Компьютеры Mac перейдут на процессоры ARM в 2020 году Как известно, в будущем Apple откажется от использования процессоров х86 в компьютерах собственного производства. Но примечательно то, что переход на архитектуру ARM не заставит себя ждать — Apple намерена перейти на новые чипы уже в следующем году. Об этом сообщает и...

Как не промахнуться с бюджетом на серийное производство корпусов-2: цены на мелкосерийное литьё пластика В этой статье на Хабре мы привели 20 примеров цен на изготовление партий корпусов. Добавляем ещё несколько реальных случаев: это цены на мелкосерийное производство литьём пластика, от 25 до 50 штук. Возможно, теперь вы более лучше (с) представите, сколько «это» будет стоить....

SK Hynix начала производство микросхем 4D QLC NAND объёмом 1 Тбит Компания SK Hynix приступила к производству 96-слойных микросхем памяти 4D QLC NAND объёмом 1 Тбит. На данный момент начаты поставки образцов этих микросхем крупным разработчикам контроллеров для твердотельных накопителей. А это означает, что до массового производства этих м...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Двигатель РД-191 для ракеты «Ангара» подешевеет в полтора раза Пермское предприятие «Протон-ПМ» (входит в НПО «Энергомаш») рассчитывает снизить стоимость двигателя РД-191 в полтора раза после начала серийного производства. Об этом, как сообщает ТАСС, рассказал исполнительный директор «Протон-ПМ» Дмитрий Щенятский. Изображения НПО «Энерг...

Samsung начинает массовое производство чипов памяти eUFS 3.0 ёмкостью 512 ГБ Samsung начала массовое производство eUFS 3.0 объемом 512 ГБ, который состоит из восьми 512 Гбит V-NAND кристаллов пятого поколения с интегрированным высокопроизводительным контроллером.Он может похвастаться последовательной скоростью чтения 2100 МБ/с и скоростью записи 410 ...

Спрос на iPhone 11 оказался высоким: Apple увеличит производство на 10% Apple сообщила об увеличении производства своих новых смартфонов iPhone 11 и iPhone 11 Pro на 10%, отмечает Nikkei Asian Review. Данный рос увеличит текущие производственные планы до 8 млн единиц, поскольку спрос на устройства оказался выше ожидаемого. Подробнее об этом чита...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

У Intel теперь есть свой T1000. Компания представила специализированные процессоры платформы Nervana Компания Intel за последние месяцы представила два специализированных процессора платформы Nervana: NNP-I и NNP-T. Первый показали в июне, а второй — в августе. Сегодня Intel решила представить новинки ещё раз, но уже более конкретно. Итак, на самом деле решения ...

В России приступили к производству первых SSD с интерфейсом PCIe GS Nanotech ― приступил к производству первых в России твердотельных накопителей

Adidas закроет роботизированные заводы в Германии и США В 2016 году Adidas запустила производство обуви на роботизированной фабрике Speedfactory в немецком городе Ансбах. В 2017 году появилась еще одна роботизированная фабрика возле Атланты (США). Adidas открывала подобные заводы, пытаясь удовлетворить спрос на быструю доставку н...

Ростех создает «цифровую фабрику» для производства авиадвигателей ПД-14 Основным элементом цифрового пространства станет система планирования и учета производственных операций с применением штрихкодирования сопроводительных документов деталей и сборочных единиц ПД-14. Система позволит оптимизировать сроки создания продукта, сократить потер...

Tesli внедряет bpm’online с помощью Норбит Компания Норбит (входит в группу компаний Ланит) начала проект по интеграции CRM-системы на базе платформы bpm’online от "Террасофт" в компании Tesli. Основным видом деятельности компании Tesli является продажа, автоматизация и производство электрооборудования. В р...

Xiaomi Mi Note 10 поверг всех в шок, и вот почему Как известно, еще в первой половине нынешнего года компания Xiaomi официально заявила о том, что от дальнейшего выпуска смартфонов в рамках модельного ряда Mi Note было решено отказаться, в связи с чем на этой линейке Сообщение Xiaomi Mi Note 10 поверг всех в шок, и вот поч...

Не нравятся китайские iPhone? Теперь есть возможность купить индийские Большая часть устройств Apple производится в Китае. Но не так давно партнёры купертинского гиганта начали производство смартфонов в Индии, так как это позволяет Apple избежать дополнительных налогов в этой стране. И вот теперь сообщается, что индийские iPhone уже пошли...

Первая партия Tesla Model Y будет произведена осенью 2020 года Компания Tesla поделилась планами по выпуску их нового электрокроссовера. Производство Model Y будет происходить на […]

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Intel вложила массу средств в 10 нанометров Длительное время пользователи жалуются на то, что компания Intel якобы не хочет переходить на новый технологический процесс в 10 нанометров. Это весьма странное мнение, ведь для компании переход на новые технологии позволяет привлечь новую аудиторию и продать больше своих пр...

GE налаживает серийную 3D-печать лопаток для авиадвигателей GE9X Авиационное подразделение корпорации General Electric заказало 27 промышленных 3D-принтеров производства шведской компании Arcam AB. Электронно-лучевые системы планируется использовать в производстве турбинных лопаток из алюминида титана для турбовентиляторных двигателей пов...

Samsung анонсировала память UFS 2.1 объемом 1 ТБ – она будет использоваться в топовой версии смартфона Samsung Galaxy S10+ Слухи о том, что у смартфона Samsung Galaxy S10+ обрели под собой реальную основу: южнокорейская компания сегодня официально представила память UFS 2.1 такого объема. Модуль eUFS объемом 1 ТБ имеет габариты предшественника объемом 512 ГБ – 11,5 х 13 мм. Он состои...

В Сибири нашли способ снизить стоимость кардиостимуляторов Ученые из ИНХ СО РАН при содействии компании «Элестим-кардио» — ведущего российского производителя кардиостимуляторов, — провели изыскания и смогли применить передовую технологию химического осаждения металлорганических соединений (MOCVD) для нанесения тонкого слоя платины и...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Samsung сертифицировала инструменты для 5-нм производства Компания готовится к производству 5-нм процессоров

Foxconn начнет производство iPhone X в Индии в июле Foxconn собирается начать производство iPhone X в Индии в июле этого года, согласно сообщению The Economic Times. Производство будет осуществляться на заводе Foxconn в Ченнае в восточной Индии. По словам чиновника, знающего о планах компании, Foxconn надеется увеличить произ...

Oppo планирует удвоить производство смартфонов Китайский производитель смартфонов Oppo в пятницу объявил о завершении первой фазы своего производственного предприятия в Большой Нойде, с которой компания рассчитывает удвоить производство к 2020 году с нынешних четырех миллионов смартфонов в месяц. «Наша фабрика в Большой...

Panasonic открыл производство IP-камер в России На начальном этапе здесь планируется выпускать три популярные модели камер видеонаблюдения, в дальнейшем ассортимент может быть расширен.

Xerox покажет технологии автоматизации полиграфического производства на PrintShow "Креативная весна" Xerox проведёт PrintShow "Креативная весна" совместно с компаниями NBZ Computers и "Русском". Участники мероприятия узнают, как ускорить полиграфическое производство и повысить качество продукции, используя систему автоматизации Xerox FreeFlowCore.

Intel проведёт несколько мероприятий в рамках Computex 2019 В конце мая, в столице Тайваня, Тайбэе, пройдёт крупнейшая выставка, посвящённая компьютерным технологиям — Computex 2019. И компания Intel сегодня заявила о проведении в рамках данной выставки сразу нескольких мероприятий, на которых она расскажет о своих новых разработках ...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

SK Hynix инвестирует более $100 млрд в строительство четырех фабрик На мероприятии International Solid State Circuits Conference представители концерна SK Hynix сообщили о планах по строительству четырех новых предприятий в Южной Корее, которые будут сосредоточены на разработке и производстве памяти DRAM. На это...

LG сворачивает производство смартфонов в Корее Компания LG всячески старается повысить экономическую эффективность своего бизнеса по выпуску смартфонов, который продолжает приносить убытки. Согласно новым данным, в рамках своих усилий по реформированию и оптимизации этого бизнеса, LG в настоящее время предпринимает шаги ...

Китайцы готовятся выпускать первую разработанную в стране память DRAM На днях с подачи интернет-ресурса DigiTimes мы сообщили, что китайская компания ChangXin Memory Technologies (ранее ― Innotron Memory) собирается в четвёртом квартале приступить к производству 19-нм 8-Гбит чипов LPDDR4 (или DDR4, в этом мнения разделились). Чуть подробнее о ...

Apple инвестирует в свой индийский бизнес 1 млрд долларов Компания Apple, согласно данным источника, намерена инвестировать в развитие своего бизнеса в Индии 1 млрд долларов. Конечно, делать это купертинский гигант будет через своих партнёров во главе с Foxconn. То есть деньги пойдут в первую очередь на развитие производств с...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

Intel раскрывает планы на 10-нм техпроцесс: Ice Lake — в 2019, Tiger Lake — в 2020 10-нм процесс Intel готов к полномасштабному внедрению Первые массовые 10-нм процессоры Ice Lake начнут поставляться в июне В 2020 году Intel выпустит преемника Ice Lake — 10-нм процессоры Tiger Lake На прошедшем сегодня ночью мероприятии для инвесторов Intel сделала неско...

Московский эндокринный завод собирается инвестировать более 2,4 млрд. рублей в развитие производства Решением Межведомственной комиссии столичного Правительства был подтвержден статус ФГУП «Московский эндокринный завод», как промышленного комплекса. Таким образом, как напомнил глава столичного ДИиПП Александр Прохоров, к крупнейшему отечественному производителю фармпрепара...

Минг-Чи Куо рассказал о новинках, которые Apple выпустит в первой половине 2020 года: iPhone SE 2, iPad Pro с 3D-сенсором, MacBook с новой клавиатурой и гарнитура дополненной реальности Известный своими прогнозами аналитик Минг-Чи Куо поделился очередным своим видением дальнейшего развития событий у компании Apple. Он считает, что технологический гигант готовит к выпуску смартфон iPhone SE 2, новый планшет iPad Pro с 3D-сенсором для лучшей поддержки дополне...

Подробности о процессорах AMD с архитектурой Zen 3 и Zen 4 На конференции Консультативного совета HPC-AI в Великобритании AMD обнародовала некоторые подробности о своих будущих архитектурах Zen 3 и Zen 4, а также установила временные рамки для процессоров следующего поколения Epyc Milan и Genoa. AMD собирается обновлять свою архит...

В Индии появится свой завод по производству аккумуляторов, сравнимый по мощности с Gigafactory Компания Tesla показала всем не только то, как нужно делать и продавать электромобили, но и как решать вопросы с производством аккумуляторов для таких машин. С тех пор немало производителей объявили о намерении построить свои крупные фабрики по выпуску АКБ. Как сообщае...

Нефтеперерабатывающий завод Zeeland Refinery устраняет разрыв между объемным и календарным планированием и фактическим производством c применением программного обеспечения от Aspen Technology Нефтеперерабатывающий завод осуществляет развертывание программного обеспечения Aspen GDOT с целью максимизации прибыли Aspen Technology, Inc. (NASDAQ: AZPN), компания-разработчик программного обеспечения для оптимизации производственных активов, объявила о том, что нефтепер...

Серийное производство китайского ответа Tesla начнётся в июле Китайский производитель электромобилей Byton, столкнувшийся с проблемами финансирования расширения производства и рядом кадровых перестановок в связи с уходом соучредителя и бывшего гендиректора Карстена Брайтфельда (Carsten Breitfeld), сообщил, что получил более 50 000 пред...

Как опередить конкурентов: «СИБУР» осваивает аддитивное производство Инженер «СИБУРа» Роман Тихонов (справа) и руководитель направления 3D в компании iQB Technologies Иван Папуша. При поддержке нашей компании были разработаны программы для обучения специалистов аддитивных центров «СИБУРа». Таких специалистов, как Роман Тихонов, в России по...

NASA реализует проект по возвращению астронавтов на Луну при поддержке 11 частных компаний Американское космическое агентство NASA объявило о том, что проект, в рамках которого в 2024 году должна состояться высадка астронавтов на поверхность Луны, будет реализовываться при участии 11 частных коммерческих компаний. Частные предприятия будут задействованы в процессе...

Руководитель Devil May Cry 5 вскоре примется за разработку новой игры Издание Gematsu со ссылкой на 4Gamer опубликовало интервью с несколькими японскими игровыми разработчиками. Среди потока информации наибольший интерес вызвали слова директора Devil May Cry 5 Хидеаки Ицуно (Hideaki Itsuno). Известный разработчик поделился планами на ...

Поставщики Apple готовятся к массовому производству обновленных iPad и AirPods По сообщениям тайваньского сайта DigiTimes, компании в цепочке поставок Apple «готовятся к массовому производству» обновленных моделей iPad и AirPods. Фирмы по производству печатных плат Flexium Interconnect и Zhen Ding Technology готовятся к массовому производству устройст...

Google перенесёт производство смартфонов на фабрику Nokia из-за антикитайских пошлин Трампа Компания Google начала комплектовать старую фабрику Nokia во Вьетнаме в рамках подготовки переноса производства смартфонов Pixel из Китая. Об этом сообщили два источника издания Nikkei Asian Review.

Серийное производство электроники в России. Как мы делали телеметрию для вендинга Часто встречаемся с мнением, что производить в России электронику – занятие бессмысленное. Дорого, долго, плохо и вообще лучше делать в Китае. В этой статье мы бы хотели поделиться опытом разработки и серийного производства коммерческой электроники – модулей МТУТА. Мы ра...

Huawei начинает производство 5-нм чипов Kirin 1000, которые дебютируют в смартфонах Mate 40 В начале сентября китайская компания Huawei представила новый флагманский чип Kirin 990, который производится по улучшенному 7-нанометровому технологическому процессу с использованием EUV-литографии.

Tetra Pak начала выпускать в России упаковки с индивидуальными QR-кодами Нанесение на упаковку индивидуальных QR-кодов стало возможным после модернизации печатной линии на фабрике Tetra Pak по производству упаковочного материала в городе Лобня. В марте здесь была выпущена первая партия упаковок с уникальными QR-кодами, а продукция в ней появится ...

Huawei готовится захватить рынок 5G-смартфонов Несмотря на все запреты и проблемы Huawei остаётся второй крупнейшей компанией по производству смартфонов в мире. А учитывая такое количество поставок, производство устройств также ведётся в больших масштабах. Подробнее об этом читайте на THG.ru.

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Samsung Galaxy A70S будет пионером с 64 Мп камерой В этом месяце в портфолио датчиков изображения Samsung появился новичок — ISOCELL Bright GW1 разрешением 64 Мп. Были предположения, что он может дебютировать в планшетофоне Samsung Galaxy Note 10, который должен выйти в августе нынешнего года. Но впоследствии авторитет...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Samsung планирует начать массовое производство 3-нм чипов в 2021 году Южнокорейская корпорация Samsung поделилась планами начать серийное производство 3-нм полупроводниковой продукции с новым типом транзисторов GAAFET (gate-all-around FET) в 2021 году. Технологию GAAFET разрабатывается Samsung и другими компаниями с начала 2000 годов. Она...

Xiaomi анонсирует юбилейный флагман Mi 10 уже завтра Напомним, что уже завтра состоится ежегодная конференция Snapdragon Technology Summit, на которой Qualcomm покажет мобильные процессоры нового поколения, в частности Snapdragon 865. Известно, что в рамках официального мероприятия партнеры компании поделятся своими разработка...

Intel обещает освоить 7-нм технологические нормы в 2021 году На мероприятии Investor Meeting 2019 корпорация Intel поделилась планами по выпуску продукции и переходу на новые производственные нормы в течение ближайших пары лет. Как известно, первые 10-нм CPU Intel Ice Lake-U в составе...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Realme в топ-10 производителей смартфонов За второй квартал 2019 года, Realme вошла в топ-10 производителей смартфонов. Представители Realme сообщили, что за 14 месяцев они продали более 10 миллионов смартфонов по всему миру. В ближайшие время компания планирует ускорить процесс производства в Индии и Китае и анонс...

iPhone в 2020 году получат 5-нм процессоры Тайваньская компания по производству полупроводников (TSMC) объявила о создании инфраструктуры для 5-нм техпроцесса, которая уже находится в стадии предварительного производства. Компания планирует инвестировать $25 миллиардов в объём производства к 2020 году. Микросхемы, в…

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Qualcomm решила ускорить анонс Snapdragon 865 Qualcomm готовится анонсировать флагманский процессор Snapdragon следующего поколения ранее запланированного времени — в ноябре нынешнего года. По крайней мере, так утверждают инсайдеры и обещают нам премьеру Snapdragon 865 в последний осенний месяц. Ранее чипмейкер п...

Apple выпустит тонкие и лёгкие очки дополненной реальности в 2020 году Аналитик Мин-Чи Куо (Ming-Chi Kuo), отлично известный по своим прогнозам о продукции Apple, выдал новую порцию предсказаний. По данным аналитика, компания Apple может представить очки дополненной реальности в середине 2020 года.  Очки будут подвигаться как ак...

Все заказы на модемы 5G пока достаются TSMC Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, уже получила заказы на выпуск модемов 5G, разработанных компаниями, не имеющими собственного производства. Более того, по данным отраслевых источников, пока что все заказы на вы...

Китайский гигант Tencent собрался выйти на рынок игровых смартфонов Как сообщают источники, китайский гигант Tencent намерен выйти на рынок игровых смартфонов. Компания не собирается сама заниматься разработкой устройства, так что ей придётся прибегнуть к чьей-то помощи. На данный момент точных данных касательно партнёра Tencent нет, но...

Apple сократит производство iPhone в первом квартале 2019 г. примерно на 10% Apple продолжает оставаться одной из самых обсуждаемых тем в технологической индустрии в последние недели. С одной стороны, компания ведет юридическую битву с Qualcomm, а с другой — имеет дело с ухудшившимися торговыми отношениями между Америкой и Китаем. Все эти проце...

Бизнес-кейс: Как 3D-печать ускорила и упростила производство элайнеров Исходные данные: Компания Smartee, расположенная в промышленном парке в Цзясине, является одним из крупнейших производителей зубных элайнеров в Китае.  UnionTech - китайский производитель 3D-принтеров, лидер в области стереолитографии. Все 3D-принтеры UnionTech имеют открыту...

Volkswagen инвестирует $800 млн в завод в США по выпуску электромобилей Немецкий автопроизводитель подтвердил планы инвестировать $800 млн в модернизацию своего завода в Чаттануге (штат Теннесси, США) для его подготовки к производству электромобилей на новой модульной платформе электрического привода (MEB). «США являются одним из ...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Процессоры A13 готовы к серийному производству TSMC, основной производитель чипов для Apple, готов запустить массовое производство процессоров следующего поколения A13 для нового модельного ряда iPhone.

Intel отказывается от дальнейшего производства двух процессоров линейки Cascade Lake-SP Intel приняла решение отказаться от выпуска двух процессоров линейки Cascade Lake-SP: Xeon Gold 6222 и Xeon Gold 6262. Подробнее об этом читайте на THG.ru.

В чём процессоры Apple превосходят Intel В обозримом будущем все компьютеры Mac перейдут на процессоры собственного производства, полагает аналитик Минг Чи-Куо. Грандиозный переход должен состояться уже в 2020 году. По слухам, на чипы ARM сначала перейдут портативные решения — MacBook, MacBook Air и MacBook ...

SK Hynix сократит выпуск флеш-памяти NAND более чем на 10% Как мы уже сообщали, сегодня компания SK Hynix опубликовала квартальный отчет. По сравнению с предшествующим кварталом доход и операционная прибыль сократились на 32% и 69%. По словам производителя, причина в том, что цены на его продукцию снижались «быстрее, чем ...

Начало производства компьютерных материнских плат в России. производство Российских материнских плат формата MicroATX на архитектуре процессоров INTEL

Samsung и LG остановят конвейеры по сборке смартфонов в ... LG и Samsung сокращают производственные мощности по сборке мобильников в Южной Корее. Об этом сообщили аналитики, знакомые с ситуацией. По их данным, все идет к тому, что оба южнокорейских гиганта переместят производство смартфонов в страны третьего мира. В качестве основных...

В Samsung пока не приняли решение об инвестициях во вторую фабрику по производству памяти в китайском Сиане Южнокорейский технологический гигант Samsung Electronics еще не определился с дополнительными инвестициями во вторую линию по производству микросхем памяти в китайском городе Сиань. Об этом сообщила сама компания, опровергая сообщение китайского информагентства «С...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Samsung начинает производство чипов памяти eUFS 3.0 на 512 ГБ для смартфонов Мобильные устройства в скором времени по скорости чтения и записи данных будут сопоставимы с современными ноутбуками, по крайней мере флагманские смартфоны компании Samsung так уж точно. Южнокорейский гигант приступил к массовому производству первого в отрасли чипа флеш-памя...

Как стратегия Intel для рынка смартфонов снова провалилась Недавно компания Intel отказалась от своих планов по выпуску и продаже 5G-модемов для смартфонов после того, как её основной клиент, Apple, 16 апреля объявил, что вновь начнёт использовать модемы Qualcomm. В прошлом Apple уже использовала модемы этой компании, но перешла на ...

NEC провела пресс-конференцию в Москве, рассказав о планах компании Проведение мероприятия дало возможность представить новые многофункциональные пути решения касаемо информации, отображаемой посредством «цифры», что сопутствует урегулированию недочетов множества отраслей. Компания с восторгом поведала о полученных в ходе работ по РФ и СНГ...

Серийное производство российского электрокара Zetta начнётся в 2020 году Глава Минпромторга РФ Денис Мантуров сообщил о планах начать серийное производство первого российского электромобиля Zetta в первом квартале 2020 года. По его словам, сертификация машины находится на финальном этапе. Ранее запуск производства российских электрокаров был анон...

Intel на CES 2019: новая серия Ice Lake и обновления в Coffee Lake-S Refresh Как один из крупнейших производителей процессоров в мире, корпорация Intel попросту не могла пропустить всемирную выставку потребительской электроники Consumer Electronics Show (CES) 2019. В рамках этого мероприятия американская компания презентовала новые процессоры серии C...

Volkswagen и JAC построят в Китае электромобильный завод Совместное предприятие немецкого автопроизводителя Volkswagen AG и китайской компании Anhui Jianghuai Automobile (JAC) планирует инвестировать 750 млн долларов в новый завод по производству электромобилей. Производство будут размещено в восточном китайском городе Хэфэй....

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Kaby Lake: Если “тик-так” не получается… Время от времени законы мироздания предоставляют нам случай узнать много нового о себе и о самих этих законах. Перед такими случаями, как правило, у тех кто на передовом крае науки и техники, возникает иллюзия всезнания. Они уверенно планируют достижения, рисуют стрелки на...

Apple купила часть Intel за 1 млрд долларов Apple выкупила у американского производителя процессоров Intel большую часть бизнеса по производству модемов для мобильных устройств. Кроме патентов, оборудования и различной интеллектуальной собственности, Apple перейдут и более 2 тыс сотрудников выкупленного отдела. Сумма ...

8 способов приступить к задаче, которую не хочется делать Поделитесь своими планами с окружающими, временно переключитесь на рутинное занятие и не забудьте о вознаграждении.

LG Display существенно увеличит производство OLED для больших телевизоров Компания LG Display не смогла составить достойной конкуренции Samsung по выпуску небольших OLED для смартфонов, а снизившийся спрос на флагманские модели и вовсе грозит опустить доходы до предельно низкой отметки. Но в чём LG Display сильна, так это в производстве OLED для б...

BILL-E - маленькие роботы, способные собирать большие структуры совместными усилиями Современная промышленность уже широко использует роботов для производства таких вещей, как автомобили, электронные устройства и т.п. И каждый робот занимает в технологическом процессе строго определенное место, выполняя только одну заданную ему работу. Исследователи из Масса...

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Спасибо, AMD. Intel представила новые серверные CPU Xeon W-2200 (Glacier Falls W) по вдвое сниженной цене и сделала дешевле массовые Core 9-го поколения без видеоядра Как известно, последние три года AMD демонстрирует стабильный рост на рынке x86-совместимых процессоров, причем во всех без исключения сегментах. Да, темпы, особенно на серверном рынке, который всегда отличался большой инертностью, не такие высокие, как хотелось бы некоторым...

Apple и Valve объединились для создания очков AR Компания Apple начала сотрудничество с Valve для разработки собственной AR-гарнитуры. В настоящее время Valve Index является одной из популярных на рынке VR-гарнитур, а платформа Steam предлагает широкий ассортимент VR-игр. Это подстегивает слухи о том, что Apple может...

Главный флагман Xiaomi 2019 года снят с производства Сегодня стало известно, что китайская компания Xiaomi сняла с продаж свой первый флагманский смартфон 2019 года. Речь идёт о модели Xiaomi Mi 9, которая была представлена во второй половине февраля в Китае и Европе с интервалом в несколько дней.

Цифровая трансформация радиоэлектроники Наибольший интерес для организаций российской радиоэлектронной промышленности представляют технологии 3D-печати - 48% респондентов отмечают ее применимость в радиоэлектронике: при создании макетов, прототипов и опытных образцов (например, в сфере микроэлектроники), производс...

5G-смартфон ZTE выйдет в первой половине 2019 года Наступивший 2019 год должен стать началом эры 5G. Именно в этом году многие производители явят миру свои первые устройства с поддержкой сотовых сетей пятого поколения. Не останется в стороне и китайская компания ZTE, которая поделилась своими планами на 2019 год. В план...

Adidas закрывает роботизированные фабрики в Германии и США Компания Adidas объявила сегодня о намерении закрыть свои высокотехнологичные «роботизированные» заводы, расположенные на территории США и Германии. Эти фабрики создавались с целью приблизить производство к клиентам. Однако теперь представители компании объявили о том, что в...

Digital-мероприятия в Москве c 12 по 18 августа Подборка мероприятий на неделю. Трансформация бизнеса: угрозы и возможности 13 августа (вторник) НижСыромятническая 10стр3 бесплатно 13 августа в рамках открытого лектория приглашенные эксперты из разных компаний поделятся своим опытом проведения изменений и обсудят ключев...

Запуск спутников «Гонец» состоится на ракете «Союз-2» в 2021 г. В соответствии с производственными планами Госкорпорации "Роскосмос" на Производственном объединении "Полёт" (г. Омск) разворачивается и в 2023 году будет запущено серийное производство ракет семейства "Ангара". В настоящее время готовится возоб...

ThyssenKrupp Marine Systems получила разрешение на изготовление деталей для подводных лодок с применением аддитивных технологий Немецкая компания ThyssenKrupp Marine Systems получила лицензию на производство конструкционных элементов подводных лодок с помощью аддитивных технологий. Как пишет Naval Today, предприятие прошло лицензирование международного сертификационного общества DNV GL и, следователь...

Промышленное производство биткоинов в России - открывается гигантская майнинг ферма на бывшем заводе. В планах достичь 20% от мирового производства Биткоинов И снова Россия очутилась впереди планеты всей!

Основатель Foxconn призывает Apple убрать производство из Китая Терри Гоу (Terry Gou), основатель Foxconn, предложил Apple перенести производство из Китая в соседний Тайвань в надежде избежать пошлин, введённых администрацией Дональда Трампа. Taiwan News Планы администрации Трампа ввести высокие пошлины на товары китайского производства ...

Foxconn изменила планы на пресловутую фабрику в США Летом прошлого года после череды слухов и заявлений компания Foxconn наконец-то приступила к строительству своей первой фабрики в США. Завод строят в штате Висконсин. Он должен создать до 15 000 новых рабочих мест, а стоимость объекта составит около 10 млрд долларо...

Panasonic прекращает производство LCD к 2021 году Panasonic объявляет о том, что к 2021 году выйдет из производства ЖК-дисплеев. Компания была ведущим производителем телевизоров, но из-за острой конкуренции со стороны китайских и южнокорейских производителей, ранее прекратила производство ЖК-телевизоров в 2016 году. Вместо...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

Yangtze Memory начала массовое производство 64-слойных микросхем 3D NAND Китай стремится к технологической независимости, вкладывая значительные средства в полупроводниковую промышленность, что позволит уменьшить зависимость от иностранного импорта и технологий. Для достижения этой цели в 2016 году была создана компания Yangtze Memory Technologie...

[Перевод] Срочная новость: крупнейший в Западном полушарии завод по производству солнечных батарей начал работу Hanwha Q Cells начали отгрузку первых солнечных батарей со своего 1,7ГВт завода на границе Джорджии и Теннесси. Это второй крупный завод на территории США, выпускающий солнечные модули, запустивший производство на этой неделе. Подробности о солнечной энергетике США

Производство автомобилей Lotus переносится в Китай В эксклюзивном материале источник утверждает, что Geely Holding Group — китайский владелец легендарной британской марки автомобилей Lotus — планирует начать производство спортивных автомобилей Lotus в Китае. Их будут собирать на новом заводе Geely стоимостью...

Производство легендарных чипов памяти Samsung B-die закончено, успейте купить то, что осталось Производство переходит на более тонкие нормы

ASML сообщает о превосходном спросе на EUV-сканеры Нидерландская компания ASML ― глобальный лидер по выпуску производственного литографического оборудования для изготовления полупроводников ― сообщила о рекордном по сумме заявок квартале. Не в последнюю очередь в этом проявился чрезвычайно высокий спрос на сканеры диапазона ...

Xiaomi построила суперфабрику по производству флагманских смартфонов Руководитель Xiaomi Лей Цзунь (Lei Jun) выступил сегодня на мероприятии World 5G Conference, где сделал очень интересное заявление. Оказывается, компания построила суперсовременную фабрику по производству смартфонов в особой экономической зоне Пекина (Beijing Economic a...

Samsung продолжит инвестировать в производство ... Samsung среди тех компаний, кто активно переводит свои продукты на OLED-матрицы и инвестирует огромные деньги в развитие и наращивание производства таких панелей. На данный момент южнокорейский гигант доминирует на рынке экранов OLED и контролирует более 90% рынка дисплеев и...

КАМАЗ создает цифровые двойники на производстве "За 11 месяцев 2018 года спроектировано 1780 новых изделий различных видов оснастки - станочной, сборочной, сварочной, термической, разнообразного режущего инструмента, контрольных приспособлений, а также технологического оборудования", - рассказал главный конструк...

НПО Энергомаш модернизирует производство Главный инженер НПО Энергомаш Андрей Анатольевич Борисов отметил, что в рамках модернизации производства в 2019 году было приобретено новое оборудование в различные подразделения. Так, в цехе покрытий появилась новая установка каталитического газового азотирования. В це...

Пятикратный оптический зум и разрешение 100 Мп: новые подробности о камере Samsung Galaxy S11 Многие уже журили Samsung за то, что компания не использует свои передовые технологии в своих же смартфонах. К примеру, 64-мегапиксельные датчики Samsung ISOSELL первыми появились в смартфонах Redmi, а первый 100-мегапиксельный, тоже производства Samsung, появится, судя...

Intel добилась прогресса в освоении 10-нм технологий Похоже, Intel всё-таки начинает входить в эпоху 10-нм процессоров, и руководитель компании Роберт Суон (Bob Swan) не преминул похвастаться, что до конца этого года Intel планирует выпустить более внушительное число 10-нм процессоров, а время их производства удалось сократить...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

Очередное дополнение к договору с GlobalFoundries развязывает руки AMD В пресс-релизе AMD, посвященном итогам четвертого квартала 2018 года и года в целом, есть небольшой параграф, озаглавленный «Обновление соглашения о поставке пластин». При всей лаконичности и малозаметности на фоне финансовых показателей он весьма важен. В ...

Facebook запустит свою криптовалюту в 2020 году Компания Facebook планирует уже в начале будущего года запустить собственный криптовалютный проект. Сообщается, что рабочее название токенов - GlobalCoin, а к их полноценному тестированию планируется приступить уже в нынешнем году.

Анонс процессора Kirin 985 ближе, чем ожидалось В конце прошлого года в сети появилась информация, что Huawei намерена в этом году выпустить два флагманских чипа. Помимо Kirin 990 компания пополнит ряд фирменных однокристальных систем платформой Kirin 985, которая должна стать разогнанной версией Kirin 980. А еще ест...

Российские вертолёты получат созданные методом 3D-печати детали Холдинг «Вертолёты России», входящий в состав государственной корпорации «Ростех», намерен внедрить аддитивные технологии при изготовлении деталей винтокрылых машин. Фотографии холдинга «Вертолёты России» Речь идёт об использовании метода 3D-печати. Это позволит значительно ...

Производитель системы силовых фальшполов DATAFLOOR® стал финалистом Национальной премии «ЦОДы.РФ» Отечественный производитель систем силовых фальшполов DATAFLOOR® претендует на победу в номинации «Лучший отечественный продукт» по версии Национальной премии «ЦОДы.РФ». 17 февраля завершился отборочный этап голосования, по результатам которого DATAFLOOR® вышел в финал и буд...

[Перевод] Как разрабатываются и производятся процессоры: будущее компьютерных архитектур Несмотря на постоянные усовершенствования и постепенный прогресс в каждом новом поколении, в индустрии процессоров уже долгое время не происходит фундаментальных изменений. Огромным шагом вперёд стал переход от вакуума к транзисторам, а также переход от отдельных компоненто...

Ростех представит на ЦИПР-2019 дорожные карты по развитию 5G в России Переход на новые стандарты связи в масштабах страны - это всегда большие трудозатраты и гигантские финансовые вложения. По данным аналитиков развитие сетей 5G в 2020-2027 гг. обойдется каждому сотовому оператору в России в 130-165 млрд руб. Финальные суммы зависят от того, н...

Sony решительно настроена дотянуть качество съемки в камерах смартфонов до уровня DSLR Не так давно Sony провела в Китае пресс-конференцию, в рамках которой глава подразделения Imaging & Sensing Solutions (I&SS), занимающегося производством фотосенсоров, рассказал о планах на ближайшее будущее и поделился достижениями в разработке впечатляющих технолог...

Производство электрических грузовиков Tesla Semi перенесено на следующий год Электрические грузовики Tesla Semi, согласно первоначальному плану компании, должны были бы поступить в производство в текущем году. Однако, как это нередок бывает у Tesla, планы изменились. Компания теперь говорит о старте производства в следующем году. Более того, на ...

Анализ цен криптовалют: безоблачное начало года позади О дальнейших возможных сценариях движения цены биткоина и нескольких популярных альткоинов рассказывает ведущий аналитик RoboForex Дмитрий Гурковский. Начало прошлой недели на рынке криптовалют казалось абсолютно безоблачным и предполагало дальнейший подъем котировок в рамка...

Samsung инвестирует $11 млрд в производство дисплеев на ... Сегодня корпорация Samsung сделала официальное объявление о своих планах инвестировать $11 млрд (13,1 трлн вон) в разработку и производство дисплеев следующего поколения с квантовыми точками. Такое решение принято в попытке справиться с падением спроса на LCD-матрицы, растущ...

Samsung откладывает выпуск телевизоров QD-OLED По данным источника, компания Samsung Display (SDC) недавно вложила значительные средства в покупку запатентованных технологий QD-OLED и micro-LED у одной из южнокорейских компаний. Ранее компания Samsung продвигала технологию QLED, в которой жидкокристаллические панели...

Правительство Южной Кореи планирует ограничить экспорт оборудования OLED в Китай После того, как руководство и сотрудники южнокорейской компании Toptec были обвинены в продаже полученных от Samsung Electronics сведений о технологии OLED китайской компании BOE Technology, правительство Южной Кореи решило принять дополнительные меры по защите технолог...

Huawei увеличит портфолио чипов сразу двумя ... До конца нынешнего года Huawei планирует представить две фирменные однокристальные системы. По инсайдерской информации, одна из них — Kirin 985 и дебютирует она в семействе Huawei Mate 30. Это будет первый чип, произведенный с использованием 7-нанометрового техпроцесс...

25 марта Apple представит игровую платформу для iPhone и iPad Компания Apple запланировала проведение своего мероприятия на 25 марта, в рамках которого должны дебютировать несколько программных продуктов. ***

Fitbit переносит производство своих устройств за пределы Китая Компания пока не сообщила, где именно планируется разместить производство, но пообещала раскрыть подробности на телеконференции по поводу квартальных результатов.

Intel застрянет на 14 нм процессе ещё на два года Очередная утекшая дорожная карта процессоров Intel намекает на то, что компания не сможет начать производство 10 нм CPU как минимум до конца 2020 года.

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Экстремально изогнутые по бокам дисплеи. Инсайдер о новых революционных смартфонах Известный сетевой информатор под ником Ice Universe, который регулярно снабжает общественность новой информацией из индустрии смартфонов, продолжает подогревать интерес к новым моделям, ожидаемым во второй половине этого года. В этот раз он утверждает, что производители...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

Huawei Mate 30 станет первым смартфоном с 7-нанометровой SoC Kirin 985 Источники утверждают, что первым смартфоном, который будет построен на базе однокристальной системы Huawei Kirin 985, станет флагман Huawei Mate 30. Ранее сообщалось, что однокристальная система Huawei Kirin 985 будет первой SoC для смартфонов, изготовленной с использов...

На Дальнем Востоке появится инжиниринговый центр «Вертолетов России» Об этом говорится в соглашении, подписаном во Владивостоке холдингом "Вертолеты России" Госкорпорации Ростех с Минвостокразвития, Минкомсвязи России и Дальневосточным федеральным университетом."В ближайшие два года мы намерены сформировать портфель проектов и ...

Nvidia разделит производство 7-нм GPU между Samsung и TSMC О планах Nvidia наладить выпуск графических процессоров следующего поколения на мощностях Samsung Electronics стало известно более полугода назад. В начале месяца представители «зелёного» чипмейкера впервые начали открыто говорить о расширении партнёрства с южнок...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Очередной коммерческий пуск ракеты «Протон-М» состоится в конце лета Следующий коммерческий пуск ракеты-носителя «Протон-М» будет выполнен в конце лета. Об этом сообщает сетевое издание «РИА Новости», ссылаясь на информацию, полученную от представителей Центра Хруничева. Фотографии Роскосмоса Предполагается, что в рамках предстоящей пусковой ...

О системе бережливого производства Lean TPM рассказали на заводе «Балтика-Санкт-Петербург» 25 января на «Балтике» состоялось мероприятие, посвященное бережливому производству Lean TPM, в рамках Всероссийской программы обмена лучшими практиками повышения производительности труда, организованной Общественной палатой Российской Федерации. Бережливое производство стан...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Потреблением энергоресурсов можно будет управлять с мобильника Система подскажет потребителю, как экономить энергоресурсы за счет оптимальной эксплуатации бытовой техники. Новые измерительные приборы станут единственными в России такого типа, построенными на отечественной элементной базе и российском программном обеспечении. Интеллектуа...

Безрамочный смартфон Meizu 16S Ёмкость аккумулятора составляет 3600 мАч, при этом беспроводная зарядка не поддерживается. По мнению CEO Meizu, технология зарядки по воздуху в нынешнем виде не практична. Сзади будет установлена 48-мегапиксельная камера с поддержкой оптической стабилизации изображения. Из п...

Foxconn передумала выпускать в США ЖК-дисплеи для телевизоров Крупнейший в мире контрактный производитель Foxconn Technology Group пересмотрел планы по производству современных жидкокристаллических панелей для телевизоров в кампусе в Висконсине (США) и теперь планирует вместо завода создать здесь «технологический центр», который будет ...

Apple начнёт тестовое производство наушников AirPods во Вьетнаме В прошлом месяце мы сообщали о том, что компания Apple собралась постепенно переносить производственные мощности за пределы Китая и для этих целей обратилась к своим поставщикам с просьбой просчитать последствия такого шага при условии переноса 15-30% мощностей. Если в...

Apple запускает производство флагманских iPhone в Индии Apple уже производит в Индии «бюджетные» смартфоны iPhone 6S, SE и iPhone 7, а в скором времени к ним присоединяться и флагманские модели iPhone X и XS. Как пишет источник, партнер Apple, компания Foxconn, запланировала приступить к опытному производству эти...

Мобильные процессоры Tiger Lake-U будут поддерживать память LPDDR5 В таможенной базе данных Евразийской экономической комиссии (ЕЭК) были зарегистрированы наборы для разработчиков с ещё не вышедшими процессорами Tiger Lake-U. И благодаря этому выяснилось, что данные мобильные процессоры будут поддерживать работу с памятью типов LPDDR4X и LP...

Смартфон Xiaomi Mi 9 производят уже на трех заводах Недавно компания Xiaomi выполнила план по производству 1 миллиона смартфонов Mi 9 за месяц, а сегодня подробно рассказала о выпуске своего флагмана. Оказывается, смартфон производят уже на трех фабриках: Langfang Foxconn, Xi'an BYD и Nanjing Yinghuada, принадлежащей Inventec...

Национальная лаборатория Ок-Ридж решила главную проблему разработчиков космических устройств: дефицит плутония-238 В 2015 году на Хабре публиковалась статья о том, что малое количество производимого плутония-238 ставит под угрозу планы НАСА по запуску космических аппаратов на термоэлектрических генераторах. Плутоний-238 (Pu-238) — почти идеальное топливо для спутников, роверов и космич...

"Газпром" при поддержке "Роскосмоса" начал строительство современного центра по производству спутников В г. Щелково Московской области состоялось торжественное мероприятие, посвященное началу строительства "Газпромом" сборочного производства космических аппаратов (СПКА). В мероприятии приняли участие заместитель Председателя Правления ПАО "Газпром" Виталий Маркелов, первый з...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Константину Носкову представили опыт цифровизации компании «Протон-ПМ» В мероприятии также приняли участие губернатор Пермского края Максим Решетников и заместитель председателя правительства - министр промышленности, предпринимательства и торговли Пермского края Алексей Чибисов. Интегрированную структуру ракетного двигателестроения представили...

Аналитики Digitimes Research прогнозируют дальнейшее сокращение поставок однокристальных систем для смартфонов Аналитики Digitimes Research ожидают, что мировые поставки однокристальных систем для смартфонов в 2019 году сократятся. Это будет второй год сокращения подряд. Свой прогноз аналитики обосновывают ухудшением перспектив рынка из-за неопределенности в отношении затянувшег...

Natura Siberica решила заняться производство бутилированной воды Компания Natura Siberica анонсировала строительство на Курильских островах завода по производству бутилированной воды. В реализацию данного проекта производитель косметики планирует вложить более 200 млн рублей.

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

Intel снимет с производства процессоры Skylake-X Этой осенью корпорация Intel выведет на рынок обновлённую платформу LGA2066 вместе с процессорами Cascade Lake-X. В рамках подготовки к этому событию чипмейкер снимает с производства 14-нм решения семейства Skylake-X, дебютировавшие пару лет назад...

Samsung Galaxy S10 Lite полностью провалился Уже во второй половине февраля нынешнего года состоится презентация нескольких смартфонов флагманского уровня, а одним из таких станет Samsung Galaxy S10 Lite. По слухам, этот аппарат получит плоский Super AMOLED-экран, флагманский процессор Qualcomm Snapdragon 855, Сообщен...

Китайская компания ChangXin Memory Technologies приступила к массовому производству DRAM-памяти Конкуренция обостряется, цены падают, покупатели счастливы

Чемпионат профессионального мастерства по стандартам WorldSkills «Молодые профессионалы Роскосмоса» Компания «РОБОТМАШ» приняла непосредственное участие в организации и проведении четвертого корпоративного Чемпионата профессионального мастерства по стандартам WorldSkills «Молодые профессионалы Роскосмоса». Всего в мероприятии приняли участие 127 конкурсантов и 124 эксперта...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

TCL наладит выпуск гибких OLED панелей в этом году Интерес производителей смартфонов к складному форм-фактору очень высок. В числе них — TCL, которая еще в начале года заявила о своих планах выпустить гибкий мобильник. И, возможно, даже не один.     Серьезный шаг к созданию складного смартфона уже сделан &md...

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Конференция и практические семинары по аддитивным технологиям В рамках 25-ой Международной промышленной выставки МЕТАЛЛ-ЭКСПО 2019 приглашаем вас принять участие в конференции и практических семинарах по аддитивным технологиям. Мероприятия запланированы на 14 и 15 ноября 2019 года. Участие БЕСПЛАТНОЕ, но количество участников ограничен...

В Германии призывают отказаться от использования туалетной бумаги Вместо этого предложили альтернативу – биде. В Германии журналистка Лара Тиде призвала найти альтернативу туалетной бумаге и прекратить ее использование. Институт исследования рынка сообщил, что практически каждая семья может использовать приблизительно 93 рулона бумаги в г...

Huawei начала пробное производство 5-нм SoC Kirin 1000 Компания Huawei уже начала пробный этап производства новых флагманских чипов. По предварительным данным, данные SoC получат название Kirin 1000 и будут построены по 5-нм техпроцессу. Ожидается, что первыми смартфонами на базе этих процессоров окажутся флагманские Huawei Mate...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

МС-21 получит обогреваемое птицестойкое стекло Входящее в государственную корпорацию «Ростех» ОНПП «Технология» создаёт два варианта стекол — из поликарбоната и силикатное. Им предстоит пройти порядка 20 различных тестов: на устойчивость к попаданию посторонних предметов, птиц, молнии, воздействие высоких и низких темпер...

Илон Маск планирует запустить на орбиту прототип Starship в течение полугода Глава частной аэрокосмической компании SpaceX Илон Маск провёл на испытательном полигоне SpaceX вблизи местечка Бока Чика (штат Техас) мероприятие, приуроченное к годовщине первого успешного запуска компании — в 2008 году была отправлена в космос ракета Falcon 1. Участникам ...

Последний завод Panasonic по выпуску LCD закроется в течение следующего года Честь и хвала пионерам, но в этом мире выживают те, кто способен преодолевать марафонские дистанции. Японцы с этим не справились. Китайские и южнокорейские товарищи показали пример выносливости, в частности, выбив японцев из ниши производства жидкокристаллических панелей. По...

Как делают стеклянные ёлочные украшения Согласно одной из версий, первые стеклянные ёлочные игрушки появились в Саксонии в XVI веке — раньше первой документально зафиксированной установки рождественского дерева! Другая версия звучит более правдоподобно и связывает их появление с катастрофическим неурожаем яблок в ...

Трения между Кореей и Японией отражаются на производстве смартфонов Samsung По данным инсайдеров, Samsung пришлось на 10% снизить запланированный объем производства мобильных процессоров Exynos, которыми будут укомплектованы новые смартфоны Note 10.

Xiaomi будет активно множить 5G-смартфоны Xiaomi будет среди тех смартфоностроителей, которые будут активно способствовать появлению большего количества 5G-смартфонов на рынке. Всего планируется выпустить в первой половине 2020 года 10 моделей с поддержкой сетей пятого поколения и все они будут стоить не менее $284....

LG Display инвестирует 2,6 млрд долларов в производство панелей OLED в Южной Корее Компания LG Display сообщила, что инвестирует 2,6 млрд долларов в свою линию по производству панелей OLED, расположенную в Южной Корее. Ранее поставщик панелей для устройств Apple прогнозировал, что 2019 год будет тяжелым, поскольку значительные средства в выпус...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

GlobalFoundries раскрыла планы по выходу на публичное размещение акций В августе 2018 года компания GlobalFoundries, которая с момента своего основания в 2009 году являлась основным производителем центральных процессоров AMD, внезапно объявила об отказе от освоения 7-нм и более «тонких» технологических процессов. Своё решение она мотивировала в...

Предварительные данные о производстве и продаже электромобилей компании Tesla за 4-й квартал и за 2018-й год Источник Сегодня компания Tesla в своем пресс-релизе сообщила предварительные результаты производства и реализации автомобилей за 4-й квартал и за 2018-й год в целом. В прошлом квартале компания почти достигла отметки в 1 тыс. автомобилей, которые она производила и реализ...

Компания Brunner Elektronik — от CAD-системы до кабины пилота Компания Brunner Elektronik AG специализируется на проектировании и производстве силовой электроники и мехатронных систем, а также на оказании технической поддержки. Основанный в 1968 г. семейный бизнес обслуживает заказчиков в ряде отраслей, включая машиностроение, медицинс...

Ducati готовит экспансию на рынок электрических мотоциклов Один из мировых лидеров в производстве мотоциклов итальянская компания Ducati (в настоящее время принадлежит Audi AG) уже неоднократно заявляла о своих намерениях вплотную заняться производством электрических мотоциклов.

[Из песочницы] Stand-up, Scrum, Daily meetings — что это и для чего Часто стал замечать, что люди все больше и больше перетягивают методологии и практики из IT сферы в производственные, банковские, сферы услуг и прочие. Одной из самых распространенных «заимствованных» из мира IT практик является проведение Scrum, Daily, Stand-up митингов ( к...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

В России запретят пластиковые пакеты Пресс-служба Роспотребнадзора выступила с официальным заявлением, из которого становится ясно о ближайших планах ведомства. Так, например, оно занимается разработкой нового законопроекта. Согласно ему, в дальнейшем в России должно будет сократиться производство одноразовых п...

Российский спорт цифровизируют Об этом рассказал статс-секретарь - заместитель министра цифрового развития, связи и массовых коммуникаций Российской Федерации Олег Пак во вступительном слове на панельной дискуссии "Цифровизация спортивной отрасли", прошедшей в рамках форума "Россия - спорт...

LG Display дополнительно инвестирует 3 трлн. вон (2,5 млрд. долл.) Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370

Видеокарты NVIDIA с 7-нм GPU увидят свет в 2020 году Как сообщают источники, NVIDIA планирует заказывать производство своих 7-нм чипов будущего поколения не у традиционного партнёра, TSMC, а у компании Samsung. Утверждается, что такой выбор вызван тем, что Samsung в рамках 7-нм процесса сможет предложить EUV-литографи...

Pegatron инвестирует до 1 миллиарда долларов в индонезийскую фабрику по производству чипов для iPhone Поставщик Apple Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple, сообщил во вторник представитель министерства Индонезии (через Reuters). Тайваньский производитель дал обещание в подписанном письме пра...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

Процессоры AMD станут более популярными в ноутбуках Начиная со второй половины 2018 года популярность процессоров AMD в ноутбуках начала расти, что связано не только с успехами самой AMD, но и дефицитом производственных мощностей Intel.

LG Display дополнительно инвестирует 3 трлн. вон (2,5 млрд. долл.) в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея.

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

OPPO создала новый бренд Zhimei для экспериментов с инновациями Корпорация OPPO объявила о создании дочерней компании под названием Zhimei. В рамках этого бренда китайский производитель будет выпускать продукты с «новыми и появляющимися технологиями». Отвечать за развитие нового бренда назначили Лю Бо (Liu Bo) — бывшего главного директор...

Компания Маска займется прокладкой тоннелей в КНР И. Маск поделился с пользователями Twitter своими планами касательно дальнейшего развития бизнеса The Boring Company. Учрежденная предпринимателем компания намерена открыть подразделение в КНР.

Глава Meizu о начале производства Meizu 16s, искусственном ... Хуан Чжан — человек без преувеличения интересный и способный на креатив. Именно он стал основателем и идейным вдохновителем компании Meizu. Он редко дает интервью, предпочитая общаться с фанатами компании на различных форумах под псевдонимом Джек Вонг. Его считают наст...

Intel готовится к 7 нм EUV-производству Компания Intel уже долгие годы не может перейти на 10 нм производственный процесс, однако она готовится к 7 нм технологии.

ZTE представит собственный 5G-модем для смартфонов во второй половине 2019 года До того как Huawei запустила смартфон Mate 20X 5G, стоимость которого приблизительно равна $900, компания ZTE представила аппарат Axon 10 Pro 5G, цена которого равна $720. Это означает, что устройство ZTE является самым доступным смартфоном с поддержкой 5G. Однако между упом...

Обзор внутриканальных наушников FiiO FA7 3D печать постепенно проникает во все отрасли производства. Полным ходом идет использование её в медицине, строительстве и, конечно, в изготовлении электроники. Компания FiiO решила тоже применить эту технологию в производстве своих устройств и выпустила флагманскую модель н...

Samsung начинает массовое производство uMCP-модулей памяти LPDDR4X объёмом 12 Гбайт Сегодня Samsung объявила, что начала массовое производство первых в отрасли uMCP-модулей памяти LPDDR4X объёмом 12 Гбайт. Данное заявление было сделано в рамках ежегодного мероприятия Samsung Tech Day, которое прошло в Сан-Хосе (Америка, Калифорния). Подробнее об этом читайт...

CATL — не единственный китайский партнер Toyota на рынке электромобилей Компания Toyota Motor заявила, что будет разрабатывать электромобили и аккумуляторы для них совместно с китайской компанией BYD. Это еще один шаг японского производителя, направленный на реализацию его планов продавать доступные электромобили на крупнейшем автомобильном...

Intel рассказала о технологиях и планах В Москве прошло мероприятие Intel, на котором специалисты вендора поделились некоторыми планами развития корпорации...

Samsung массово увольняет персонал, больше всего пострадало подразделение телефонов Согласно последним известиям из Китая, Samsung China уволит более трети своих сотрудников. Одиннадцать филиалов и отделений Samsung в стране в конечном итоге будут объединены в пять. По сообщению инсайдеров, вчера днем состоялось собрание по этому вопросу. В настоящее в...

Sony официально прекратила производство PlayStation Vita Эпоха PlayStation Vita подошла к концу. Компания Sony сообщила о завершении производства двух последних моделей своей портативной консоли, передает портал Polygon. Vita была выпущена в конце 2011 года. Приставка так и не смогла получить по‑настоящему большой популярност. Все...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Microsoft работает над прототипами Surface Pro на базе ARM-процессоров По информации портала Thurrott.com, компания Microsoft создала прототипы Surface Pro на базе процессоров Qualcomm Snapdragon. Вероятно, руководство всерьёз рассматривает возможность выпуска бюджетных моделей линейки с использованием ARM-процессоров. Напомним, что нынешнее п...

TSMC получила заказы на производство модемов 5G Компания Taiwan Semiconductor Manufacturing Company (TSMC) получила заказы на изготовление 5G-модемов от всех известных бесфабричных разработчиков. Об этом сообщает ресурс DigiTimes со ссылкой на отраслевые источники.

Samsung начинает производство модулей AiP для 5G mmWave В июле прошлого года компания Qualcomm представила первые в мире антенные модули (AiP) 5G NR mmWave и радиочастотные модули sub-6 ГГц для смартфонов и других мобильных устройств, а к октябрю специалисты Qualcomm смогли уменьшить антенные модули 5G NR mmWave на 25%. ...

В этом году BOE опередит LG Display и станет крупнейшим в мире производителем плоских дисплеев Китайский производитель дисплеев BOE продолжает укреплять свои позиции. С тех пор, как компания успешно представила OLED-дисплеи для Huawei Mate 20, ее продукты становятся все более доступными и привлекательными. Производственные мощности компании по производству плоски...

Руководитель производства электромобилей Tesla во Фримонте покинул компанию Питер Хоххолдингер (Peter Hochholdinger), вице-президент Tesla по производству на заводе во Фримонте, покинул компанию после трех лет работы в ней. Об этом сообщает Reuters со ссылкой на собственный источник. Хоххолдингеру было поручено улучшить производство седана Tesl...

OnePlus 7 запущен в массовое производство, к старту продаж обещано более миллиона смартфонов Смартфон OnePlus 7 ждут многие — он, как и предшественники, должен обеспечить идеальный баланс цены, характеристик и возможностей. Понимая это, в компании рассчитывают создать достаточный объем складских запасов — чтобы не повторилась ситуация с Xiaomi Mi 9....

До выборов. В Канаде хотят отложить решение по Huawei и 5G Канада, вероятно, отложит принятие решения о том, разрешать ли китайской компании Huawei Technologies поставлять оборудование для сетей 5G, до федеральных выборов, которые назначены на октябрь. Об этом Reuters стало известно от трех источников, знакомых с ситуацией. На...

Боб Сван: Мы подводим клиентов, они вправе ожидать от нас большего Неожиданный и продолжительный дефицит процессоров Intel смазал результаты рекордного квартала. Доходы Intel в третьем квартале, наверное, оказались рекордными, но руководству компании на подведении итогов большую часть времени пришлось извиняться. Да-да, именно извинят...

[Перевод] Смартфоны Samsung в скором времени получат 1 ТБ внутренней памяти Надоела нехватка дискового пространства на вашем телефоне, заполненном приложениями, фотографиями и видео? Когда-нибудь в ближайшем будущем смартфоны будет поставляться с более чем одним терабайтом внутренней памяти которая будет работать в 10 раз быстрее, чем SD-карточка. ...

Российский Aliexpress станет «автосалоном» Помимо модельного ряда Chery Tiggo, на страничке продавца будут размещены комплектующие и фирменные аксессуары. Открытие новой локальной категории на Aliexpress приурочено к крупной распродаже в конце марта. За оставшееся до нее время аналитики площадки планируют оценить спр...

Arctic Freezer 50 TR ARGB: мощный кулер для процессоров AMD Ryzen Threadripper Компания Arctic официально представила кулер Freezer 50 TR ARGB, предназначенный для отвода тепла от мощных процессоров Ryzen Threadripper производства AMD. Первая информация о решении, напомним, появилась ещё в феврале нынешнего года. Монструозный охладитель способен справл...

Очки дополненной реальности от Apple появятся благодаря сотрудничеству с Valve Множество противоречивых слухов ходило о гарнитуре дополненной реальности производства компании Apple. Мнение экспертов полярно менялось между вариантами о скором выходе устройства, так и о прекращении его разработки. Новая информация помогла поставить точку в данном вопросе...

Роскосмос приступил к разработке беспилотного трамвая Беспилотный трамвай производства «ПК Транспортные системы» и Cognitive Technologies испытывают на площадке депо имени Баумана, Москва Объединенная ракетно-космическая корпорация (ОРКК), которая входит в структуру Роскосмоса, совместно с компанией «Инвитро» рассматривают пр...

Компания TSMC приступила к освоению 2 нм техпроцесса Технологии не стоят на месте, и это прекрасно!

Вебинар Теплицы: как устроен сервис для вебинаров и конференций Zoom С помощью сервиса Zoom можно проводить видеоконференции и вебинары. Он отлично подходит для некоммерческих организаций и прост в использовании. Вы можете созваниваться с коллегами, проводить собеседования или обучение сотрудников. 1 августа в 11:00 специалисты Теплицы прове...

Утечка характеристик чипсета Qualcomm Snapdragon 865 незадолго до анонса Ежегодный технологический саммит Qualcomm Snapdragon Tech Summit будет проходить на острове Мауи. В рамках этого мероприятия ожидается, что компания представит новый чипсет Snapdragon 865. Новинка станет последователем текущей модели Snapdragon 855. И хотя Qualcomm также пре...

Samsung Electronics выпускает первый твердотельный накопитель, в котором используется флеш-память V-NAND шестого поколения с более чем 100 слоями Компания Samsung Electronics объявила о начале серийного выпуска твердотельного накопителя объемом 250 ГБ, в котором используется флеш-память V-NAND шестого поколения с более чем 100 слоями (производитель использует обозначение 1xx). В накопителе с интерфейсом SATA испо...

LG Display дополнительно инвестирует 3 трлн. вон в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея. Благодаря этим инвестициям компания у...

Преемник eFlash готов. Samsung Electronics начинает коммерческую поставку eMRAM Компания Samsung Electronics объявила о начале массового производства своего первого коммерческого продукта со встроенной магнитной памятью с произвольной выборкой (eMRAM) на основе 28-нанометрового технологического процесса 28FDS, построенного на использовании полность...

Смартфоны Samsung получат 1 ТБ памяти! Корейская компания уже приступила к массовому производству модулей памяти eUFS соответствующего объема. Причем микросхемы имеют точно такие же габариты, как и их предшественники емкостью 512 ГБ – 11,5 х 13 мм.

Умные часы Apple Watch с экраном microLED могут выйти в следующем году Источник сообщает, что компания Apple ведёт переговоры с тайваньским производителем дисплеев относительно поставок экранов microLED для будущих умных часов. Сейчас, напомним, Apple Watch используют экраны OLED производства LG. Массовое производство необходимого ...

Microsoft призналась в прослушивании голосовых записей пользователей Компания Microsoft в середине нынешнего августа обновила политику конфиденциальности для своих пользователей. Стало известно, что в продуктах компании производился сбор голосовых данных. В этом участвовали как сотрудники Microsoft, так и сторонние подрядчики.Представитель Mi...

Tesla закончила третий квартал с прибылью, акции компании идут вверх Сегодня Tesla отчиталась об итогах работы в третьем квартале текущего года, и они оказались очень позитивными: если аналитики Wall Street прогнозировали убытки в размере $0,15 на акцию, то в реальности случилась прибыль — $1,91 на акцию! Tesla Q3 results: - Shangh...

Глава Huawei: 5G в смартфонах и об инвестициях в новые ... В конце года принято подводить итоги года уходящего, а также строить планы на год будущий. Это же сделал глава мобильного подразделения Huawei Ю Чэндун в своем выступлении в рамках 15-й Глобальной конференции аналитиков, прошедшей в Китае. Прежде всего, он напомнил о 200 мил...

Foxconn эксплуатировала школьников для производства продуктов Amazon Издание The Guardian опубликовало информацию о незаконной эксплуатации компанией Foxconn детей для изготовления продукции американской компании Amazon. Несовершенных заставляют работать по ночам и сверхурочно. Журналисты The Guardian раздобыли документы, в которых говорится…

В планах Redmi обеспечить своими продуктами 70% населения планеты В рамках мероприятия, на котором были представлены смартфоны линейки Redmi Note 8, 70-дюймовый телевизор и 14-дюймовый ноутбук, глава бренда Redmi поделился планами компании. ***

Tesla собирается преподнести сразу несколько сюрпризов во второй половине этого года Несмотря на то, что начало 2019 года выдалось для компании Tesla не совсем удачным, руководство, включая Илона Маска, не унывает. Наоборот, многие сотрудники компании полны энтузиазма и планируют удивить уже существующих и потенциальных покупателей во второй половине этого...

Intel подтверждает намерение в 2021 году выпустить процессоры Sapphire Rapids Рассказывая о графическом процессоре Ponte Vecchio и программной модели oneAPI, компания Intel также опубликовала план выпуска процессоров для суперкомпьютерных вычислений. Это обновленная версия прошлогоднего плана. Она охватывает период с 2019 по 2021 год. По мнению ...

Найден способ превращения лунной пыли в кислород Лунный грунт содержит в себе огромное количество кислорода Луна — не самое гостеприимное место для землян: отсутствие атмосферы, стабильной температуры на поверхности нашего спутника и воздуха делает этот бело-серый шар над нашими головами абсолютно непригодным для жиз...

BMW и Jaguar Land Rover совместно будут разрабатывать компоненты электромобилей Компании BMW и Jaguar Land Rover заявили, что будут совместно разрабатывать электродвигатели, коробки передач и силовую электронику, объединившись в еще один отраслевой альянс, участники которого рассчитывают за счет сотрудничества снизить затраты на разработку электром...

Fitbit скоро перенесет производство из Китая Компания Fitbit планирует производить все свои фитнес-браслеты и умные часы за пределами Китая, начиная с января 2020 года. На этот шаг американская компания вынуждена пойти, чтобы избежать тарифов на импорт китайской продукции в США, введенных американской администраци...

В России стартовало производство SSD GS Group с интерфейсом PCIe Центр разработки микроэлектроники в составе GS Group ― GS Nanotech ― приступил к производству первых в России твердотельных накопителей с интерфейсом PCIe и с поддержкой протокола NVMe. Разработка и производство новинок полностью локализованы в России в инновационном кластер...

Samsung Galaxy Fold 2 показали на концепт-рендерах Второе поколения гибкого смартфона Galaxy Fold является одним из самых ожидаемых устройств Samsung в следующем году. Сегодня своим видением устройства поделился пользователь Instagram под никнеймом @samsung.unleashed. Главной особенностью дизайна в его концепте можно назвать...

Яндекс запускает производство собственных сериалов Компания Яндекс намерена в этом году заказать производство 8−10 сериалов и, вероятно, показать свои первые проекты в начале 2020. С помощью контента собственного производства компания планирует развивать свой онлайн-кинотеатр и увеличивать число платных п...

Самоуправляемые автомобили Waymo будут выпускаться в Детройте Компания Waymo, входящая в холдинг Alphabet, выбрала завод в Детройте для организации серийного производства самоуправляемых автомобилей. Генеральный директор компании Джон Крафчик (John Krafcik) сообщил в своем блоге, что Waymo будет сотрудничать с American Axle & ...

OCS анонсирует второй форум «IT-Ось» Компания OCS Distribution анонсирует второй всероссийский технологический форум «IT-Ось». В этом году главной темой мероприятия станет феномен …

Серийный российский электромобиль появится в 2020 году Компактный электромобиль Zetta с трёхдверным кузовом планируется собирать в Тольятти. Новинка сможет развивать до 120 км/ч максимальной скорости, а запас хода без подзарядки в зависимости от комплектации составит от 200 до 580 км. «Мне коллеги докладывали, что сертификация э...

Optimus Ride испытает свои робомобили на территории Нью-Йоркской военно-морской верфи Бостонская компания Optimus Ride, основанная сотрудниками Массачусетского технологического института, объявила о планах испытать свои робомобили на территории Нью-Йоркской военно-морской верфи. Отметим, что занимающая площадь в 300 акров Нью-Йоркская военно-морская верфь был...

Стартовало производство опытной серии 1-Гбит STT-MRAM: ёмкость выросла вчетверо Компания Everspin Technologies, которая единственная в мире выпускает чипы магниторезистивной памяти в коммерческих объёмах, некоторое время назад сообщила о начале опытного производства нового поколения микросхем STT-MRAM. Серийная продукция Everspin, которую по её заказу в...

Смартфоны Redmi Note 8 уже собирают. Видео с производства Известный сетевой информатор Мукул Шарма (Mukul Sharma) сообщил радостную новость для поклонников смартфонов Xiaomi и Redmi, которой поделился вице-президент Xiaomi и глава бренда Redmi Лу Вейбинг (Lu Weibing). Производство нового 64-мегапиксельного смартфона, который д...

Virgin Orbit планирует отправить спутники к Марсу в 2022 году Virgin Orbit намерена стать первой частной компанией, отправляющей спутники типа «кубсат» к Марсу. Недавно Virgin Orbit, являющаяся дочерним предприятием компании Virgin Galactic, объявила о заключении партнёрских договором с несколькими польскими университетами, а также пре...

Теперь и у недорогих смартфонов будет по 12 ГБ ОЗУ Компания Samsung объявила о том, что приступила к массовому производству первой в отрасли 12-гигабайтной мультичиповой упаковки памяти LPDDR4X. Компания называет такие решения uMCP.

Начато производство корпуса космического корабля «Федерация» В России началось изготовление корпуса первого экземпляра космического корабля нового поколения «Федерация». Читать дальше →

Samsung инвестирует 11 млрд долларов в разработку и производство QD-OLED С помощью инвестиций Samsung рассчитывает сохранить технологическое преимущество над китайскими вендорами, которые уже догоняют своих южнокорейских конкурентов.

Представитель Xiaomi объяснил дефицит MI 9 на старте ... Недавно Xiaomi наконец смогли увеличить объёмы производства нового флагмана Xiaomi Mi 9 и избавились от досадной необходимости принимать предзаказы на новинку и вынуждать покупателей ждать по три недели. К концу марта поставки аппаратов наконец превысили 1.5 миллиона единиц....

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Глава Samsung Display подтвердил намерение начать производство панелей QD-OLED В то время как компания Samsung Display, являющаяся дочерним предприятием Samsung Electronics, намеревается сокращать производство жидкокристаллических дисплеев, прилагаются немалые усилия для запуска производства органических светодиодных панелей с квантовыми точками. В одн...

Санкт-Петербург примет мировых экспертов по стандартизации технологий интернета вещей в 2019 году Международная организация по стандартизации (International Organization for Standardization, ISO) и Международная электротехническая комиссия (International Electrotechnical Commission, IEC) являются ключевыми организациями в области международной стандартизации и единственн...

Для Apple выгоднее перейти на процессоры AMD Чипы AMD Ryzen третьего поколения с ядром Zen 2 и Ryzen Threadripper более чем уверенно соревнуются с продукцией Intel почти во всех задачах, за исключением самых ресурсоемких игр. Слухи о том, что Apple готовится начать производство собственных процессоров для компьютеро...

Apple снижает производство новых iPhone ещё на 10% После того, как Apple пересмотрела квартальный прогноз продаж своих смартфонов, объём производства гаджетов на январь-март 2019 года сокращается на 10%. Apple уже уведомила поставщиков о сокращении заказов на iPhone Xs, iPhone Xs Max и iPhone Xr в первом квартале текущего…

Вслед за Apple топовые мировые производители планируют перенести производство из Китая Согласно новому докладу, оказалось, что Apple не единственная крупная компания, которая намерена перенести часть своего производства из Китая. Подобную возможность рассматривают и такие известные производители, как HP, Dell, Microsoft, Google, Amazon, Sony, Lenovo, Acer, Asu...

[Перевод] Siemens строит электролизный завод для производства стали, работающий за счет ветряков Процесс «озеленения» металлургии — тренд 2019 года. До последнего времени традиционно выплавка металла считалась процессом который невозможно сделать экологичным, но попытки заменить часть процессов при выплавке на более дружественные окружающей среде начались. Причем заме...

Новый флагман Samsung под угрозой. Производство Galaxy Note10 пострадало из-за торговой войны Кореи с Японией Затянувшиеся торговые трения между Южной Кореей и Японией уже сказались на Samsung Electronics. Компания была вынуждена снизить темпы производства однокристальных систем для будущего флагманского смартфона Galaxy Note10. Об этом сообщило корейское издание The Inves...

Tesla установила новый рекорд Обещания Илона Маска сбылись: Tesla добилась рекордных показателей по производству и продажам электромобилей. За второй квартал 2019 года компания поставила своим покупателям почти 100 тысяч машин.

Сделано в Южной Корее. Таких смартфонов вскоре не останется В ближайшее время выпуск смартфонов на территории Южной Кореи прекратится. Согласно отчету отраслевых аналитиков, оба производителя смартфонов из Южной Кореи (Samsung Electronics и LG Electronics) либо закрывают свои производственные линии, либо сокращают производство н...

Компания NEC рассказала о своих ключевых продуктах 30 января в Москве прошла пресс-конференция компании NEC, в рамках которой нам рассказали об общих тенденциях рынка дисплеев, ключевых продуктах компании и о ее дальнейших планах. Компания NEC Display Solutions Europe занимается производством и оснащением специализирован...

Производство легендарных тамагочи возобновится Японская компания Bandai объявила о возобновлении производства тамагочи

В Samsung рассказали о темах выступлений в рамках конференции SDC19 Компания Samsung Electronics обнародовала план выступлений в рамках конференции для разработчиков Samsung Developer Conference 2019 (SDC19), которая пройдёт в Сан-Хосе (Калифорния, США) с 29 по 30 октября. Мероприятие откроет Донг Джин Ко (DJ Koh), президент и главный исполн...

Китай объявил о планах по строительству лунной базы На волне успешного выполнения текущей миссии космического аппарата «Чанъэ-4» на обратной стороне Луны Китайское национальное космическое управление объявило о дальнейших планах по исследованию и освоению естественного спутника Земли. Как сообщает китайское информационное аг...

Airbus прекратит производство самых больших авиалайнеров А380 в 2021 году Компания Airbus объявила о прекращении производства крупнейшего серийного пассажирского авиалайнера в мире А380 в 2021 году сразу после отгрузки последнего борта авиакомпании Emirates в рамках ранее подписанного соглашения. Причина принятия такого решения заключается в сокра...

В КБХА внедрена PLM-система В КБХА внедрение PLM-системы происходит в рамках проекта "Цифровые технологии проектирования и производства". Координацию работ осуществляет Управляющий комитет проекта под руководством генерального директора НПО Энергомаш (входит в Госкорпорацию "Роскосмос&qu...

Продан легендарный производитель дискет и CD Verbatim Mitsubishi Chemical Holdings Corporation передаст во владение CMC Magnetics все, что имеет отношение к Verbatim. Сюда входят в первую очередь принадлежащие компании патенты на разработки, а также все имеющиеся в ее распоряжении торговые марки. Помимо этого, CMC Magnetics пол...

Немецкий электромобиль Sono Sion будут производить в Швеции на бывшем заводе SAAB. Модель за €25,5 тыс. получит батарею на 35 кВтч и запас хода 255 км (WLTP) Немецкая компания Sono Motors объявила, что ее первый электромобиль Sion будет производиться компанией NEVS (National Electric Vehicle Sweden) на бывшей фабрике SAAB в Тролльхеттане (Швеция). При этом на сборочных линиях будет использоваться исключительно энергия из возобнов...

Samsung Electronics инвестирует 133 трлн вон в производство логических микросхем к 2030 году Компания Samsung Electronics объявила, что к 2030 году инвестирует 133 трлн вон для укрепления своей конкурентоспособности в области производства …

LG Chem покупает у DuPont технологию, необходимую для изготовления панелей OLED методом струйной печати LG Chem, дочерняя компания LG Group, приобрела у американской химической компании DuPont ключевые технологии, используемые для производства «растворимых органических светоизлучающих диодов» (soluble OLED). Эти технологии необходимы для изготовления дисплеев ...

Российскими инвалидками можно будет управлять силой мысли Автомобили для инвалидов в России могут оснастить специальными нейроассистентами. Об этом рассказало издание РБК со ссылкой на копию подготовленного законопроекта.  С проектом выступила рабочая группа «Нейронет» Национальной технологической инициативы....

Konica Minolta: завод на возобновляемых источниках энергии Компания Konica Minolta объявила, что одна из площадок по производству МФУ в Китае, Konica Minolta Business Technologies Co., Ltd. из провинции Гуандун, полностью перешла на электричество из возобновляемых источников.

Специалистами Covestro созданы удобные для ношения электронные пластыри Компания Covestro разработала специальные воздухопроницаемые термопластичные полиуретановые пленки, позволяющие использовать процесс рулонного производства для изготовления носимых электронных пластырей, которые в настоящее время используются во многих областях медицины...

Unisoc готовится к производству 5G-модемов Компания Unisoc (ранее — Spreadtrum) в ближайшее время организует производство 5G-модема для мобильных устройств нового поколения, о чём сообщает ресурс DigiTimes. Фотографии Reuters Речь идёт об изделии IVY510, первая информация о котором была раскрыта в феврале нынешнего г...

LG закроет завод по производству смартфонов Компания перебросит производство во Вьетнам, чтобы избавить мобильный бизнес от затяжных убытков.

Microsoft проводит мероприятие 2 октября Microsoft разослала приглашения на пресс-мероприятие в октябре, где компания расскажет о своих следующих устройствах под маркой Surface. Мероприятие состоится 2 октября в Нью-Йорке. В этом году на мероприятии может появиться двухэкранное устройство Surface под кодовым назва...

OneWeb привлекла $1,25 млрд инвестиций для массового производства спутников В раунде финансирования, о котором было объявлено 18 марта 2019 года, участвовали SoftBank, Qualcomm, правительство Руанды, а также мексиканская группа компаний Grupo Salinas. В число других инвесторов также вошли Intelsat, Bharti Enterprises и Virgin Group."Этот раунд ...

Bosch выкупает долю Daimler в совместном предприятии по производству электродвигателей Немецкий поставщик автомобильных комплектующих изделий Robert Bosch сообщил, что выкупил долю своего партнера Daimler в совместном предприятии EM-Motive GmbH, созданном для производства двигателей для электрических и гибридных автомобилей. Завод в Хильдесхайме, Германия...

«Рикор» еще больше увеличивает долю отечественных комплектующих в серверных платформах Компания «Рикор» приступила к производству опытных образцов серверных блоков питания собственной разработки. В продуктовой линейке представлено …

Дискретный видеоадаптер Intel Xe успешно прошел первые этапы тестирования На днях генеральный директор Intel Боб Свон (Bob Swan) сообщил, что компания уже начала осваивать производство первых графических чипов Xe по 10-нм технологическому процессу. Более того, образец видеокарты с обозначением DG1 (Discrete Graphics...

Schneider Electric Innovation Day: Secure Power — всё об энергосбережении и защите электропитания Во второй половине апреля в московском ЦВК «Экспоцентр» на протяжении четырёх дней проводилось крупнейшее в России мероприятие компании Schneider …

Искусственный интеллект впервые в истории разработал новый рецепт виски Шотландская винокурня Mackmyra объявила о запуске совместного проекта с Microsoft и Fourkind, финской технологической компанией. Они намерены поручить искусственному интеллекту разработать новый рецепт виски, чтобы выпустить на рынок продукт, аналогов которому не существует....

iPhone SE 2 поверг всех покупателей в полный шок Как известно, еще в первой половине 2016 года компания Apple официально представила общественности флагманский смартфон iPhone SE, который стал хитом своего времени, и таковым он оставался до недавнего времени, пока его производство полностью не прекратилось, Сообщение iPho...

Вскоре в Индии начнут производить актуальные модели iPhone Apple уже несколько лет при помощи своих партнёров производит смартфоны на территории Индии. Это позволяет избежать дополнительных налогов и получить прочие преференции. Однако на данный момент в Индии производят лишь старые модели iPhone. Ранее уже появлялись слухи о ...

Стартап по производству «растительного мяса» Impossible Foods приступил к разработке заменителя рыбы К 2035 году стартап поставил цель создать аналоги для всех продуктов животного происхождения.

Знакомьтесь, Mara X и Mara Z — первые африканские смартфоны Компания Mara Group решила стать пионером африканских смартфонов и открыла первую фабрику по производству мобильников в городе Кигали, столице Руанды. Штат сотрудников насчитывает всего 200 человек и именно они будут заняты в выпуске первых двух африканских смартфонов Mara X...

[Из песочницы] Mes на производстве стальных радиаторов Опыт этого проекта показывает, что техническая реализация системы управления производством это лишь полдела. Знакомство с заводом Нас встречают завалы полуфабрикатов, неаккуратно разложенные в цеху. Планирование на заводе это когда коммерсанты верстают план из прогноза про...

16-дюймовый MacBook Pro будет использовать процессоры Intel 9-го поколения с поддержкой до 8 ядер 16-дюймовый MacBook Pro от Apple, по слухам, будет работать на процессорах 9-го поколения Coffee Lake Refresh от Intel в соответствии с 15-дюймовым MacBook Pro, выпущенным в мае. Если это точно, это означает, что 16-дюймовый MacBook Pro будет конфигурироваться с 8-ядерным п...

«Летающий зад»: самый длинный в мире дирижабль больше не полетит Hybrid Air Vehicles, компания, построившая летательный аппарат Airlander 10 (самый длинный в мире летательный аппарат, известный также как «летающий зад» за свое сходство с задницей), заявила, что ее нынешний прототип перестраиваться не будет, однако она займется созданием ...

Microsoft представила планшет Surface Pro X на ARM-процессоре Microsoft представила на прошедшем сегодня в Нью-Йорке мероприятии ряд новинок, включая планшет Surface Pro X с фирменным чипсетом Microsoft SQ1, разработанным в сотрудничестве с Qualcomm. Как сообщается, новый процессор построен на чипе Qualcomm Snapdragon 8cx. По словам Mi...

Китайский производитель Haier открыл в Татарстане индустриальный парк по производству бытовой техники на базе Интернета вещей В Набережных Челнах открылся индустриальный парк для серийного производства бытовой электроники и завод стиральных машин китайского производителя Haier. В мероприятии приняли участие полпред Президента РФ в ПФО Игорь Комаров ...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Ростех подготовит дорожные карты для нацпроекта «Цифровая экономика» Презентация дорожных карт пройдет рамках конференции "Цифровая индустрия промышленной России", которая состоится в Иннополисе с 22 по 24 мая 2019 года. Оператором дорожных карт по 5G, IIoT и Big Data определен Национальный центр информатизации Госкорпорации Ростех....

Производители NAND внедряют 120/128-слойную память 3D NAND По информации DigiTimes многие производители стали ускорять переход своих производств на выпуск 120/128-слойной памяти 3D NAND, чтобы начать её массовое производство в 2020 году.

Россия создаст космический корабль «Союз» для полетов на Луну Россия создаст модифицированную версию космического корабля «Союз-МС», которая будет использоваться для полетов на Луну. Глава «Роскосмоса» Дмитрий Рогозин в начале января рассказал о том, что американские коллеги из NASA попросили российскую сторону создать подходящий кора...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Google прекращает производство Chromecast Audio Компания Google решила свернуть линейку устройств Chromecast Audio. Согласно официальному заявлению, закрытие производства связано с тем, что у корпорации есть более продвинутые продукты. Между тем, по мнению TechCrunch, отказ от Chromecast Audio связан с желанием Google пер...

Huawei Mate 30 с HongMeng OS или Ark OS представят 22 сентября Ожидается, что линейка флагманских телефонов Huawei Mate 30 и Mate 30 Pro будет представлена осенью этого года. Свежая информация, которой поделился в Twitter источник, указывает на то, линейка Mate 30 будет построена на однокристальной системе Kirin и работать под упра...

Российский электромобиль от Zetta будет стоить 450 000 рублей. Как тебе такое? Компания Zetta анонсировала продажи бюджетного электромобиля российского производства, который в базовой комплектации обойдется покупателю в 450 000 рублей (около 7000 долларов по текущему). Это будет небольшой трехдверный «сити-мобиль» с электроприводом на два или четыре к...

Имя, которое следует запомнить: бывший президент Gionee Лю Вейбинг назначен главой бренда Redmi Сегодня ожидается крупный анонс Xiaomi: компания представит первый смартфон этого года, по совместительству – первую модель самостоятельного бренда Redmi. Но еще до самого мероприятия Xiaomi официально объявила персону, ответственную за Redmi в новом его состоянии...

«IT-ОСЬ 2019»: Метаморфозам быть! Компания OCS провела второй технологический форум «IT-ОСЬ». Мероприятие состоялось 18 апреля в московском Центре международной торговли при поддержке ...

Игровой процесс Werewolf: The Apocalypse — Earthblood покажут на E3 2019 Компания Bigben Games и студия Cyanide Studio сообщили о своих планах на игровую выставку E3 2019, которая пройдет в Лос-Анджелесе с 11 по 14 июня. На этом мероприятии разработчики покажут геймплей своей игры...

Слухи: Apple начинает производство AirPower Согласно последним слухам, долгожданная беспроводная зарядка Apple AirPower скоро все-таки увидит свет. Источник гонконгского сайта ChargerLAB утверждает, что компания Luxshare — единственный производитель AirPower — приступит к итоговой сборке устройства 21 января.

Microsoft и Sony объединяются ради игр Как говорится в сообщении на новостном портале Microsoft, компания предоставит мощности своей облачной платформы Azure для игровых и стриминговых сервисов Sony. В рамках партнерства обе корпорации обменяются опытом для оптимизации как «изнанки» всех подобных сайтов, так и ко...

Наигрались: Razer сворачивает производство Razer Phone 3 и закрывает мобильное подразделение Компания уволила 30 сотрудников, которые занимались производством и продвижением смартфонов, а также созданием программного обеспечения для них.

Essential прекращает производство Essential Phone Последний год был не самым удачным для Essential. На данный момент, компания подтвердила, что прекращает производство смартфона Essential Phone и сконцентрируется на производстве нового продукта. Подробнее об этом читайте на THG.ru.

TSMC готовит 7 нм EUV производство на этот квартал Сайт DigiTimes сообщает, что компания TSMC близка к началу производства продукции по 7 нм EUV технологии. Источником информации выступил китайский ресурс Commercial Times.

В этом году нехватка процессоров Intel устранена не будет Как известно, Соединенные Штаты отложили введение 10-процентного тарифа на некоторые китайские товары, относящиеся к категории потребительской электроники, включая ноутбуки и смартфоны. Однако неопределенность, связанная с торговой войной между США и Китаем, продолжает ...

Apple начнет выпускать в Индии последние модели iPhone Производство iPhone X, XS, XS Max и XR планируется запустить в 2019 году на предприятии компании Foxconn, расположенном в южном индийском штате Тамилнад. Сейчас в Индии выпускаются iPhone SE и 6S.

Компания Intel признала, что ее модемы 5G появятся в смартфонах не раньше 2020 года Вчера представители компании Intel заявили, что ее модемы 5G не появятся в смартфонах до 2020 года. Это повышает вероятность того, что крупнейший клиент Apple будет отставать от конкурентов более чем на год в производстве устройств, поддерживающих новые, высокоскоростны...

TSMC освоила 5-нм производство Компания подготовила проектную инфраструктуру и готова поделиться ей

Dyson закрывает свой проект электромобиля Dyson решила свернуть свой проект электромобиля после неудачной попытки найти покупателя. Джеймс Дайсон разослал электронные письма с решением прекратить дальнейшие усилия. Компания решила сконцентрироваться на сложной задаче по производству твердотельных батарей, а также на...

AMD представила мобильные процессоры Ryzen нового поколения Итак, не дожидаясь старта CES 2019, компания AMD анонсировала новые мобильные процессоры. Если верить сегодняшним слухам, к сожалению, настольных новинок компании мы в ближайшие дни не увидим. Но при этом мобильных новинок далеко не две. Для начала параметры. Процесс...

Россия построит завод для выпуска автоматов Калашникова в Саудовской Аравии В рамках выставки Dubai Airshow, глава “Ростеха” Сергей Чемезов сообщил о планах России и Саудовской Аравии построить завод по производству автоматов Калашникова в королевстве.

Huawei отыграется уже в 2021 году Поставки смартфонов Huawei в 2019 году могут упасть на 60 млн единиц В прошлом году Huawei отгрузила более 206 миллионов смартфонов. В первом квартале этого года мировые поставки составили 59 миллионов единиц, что 50,3% больше по сравнению с аналогичным периодом в прош...

#Мнение: Почему новый iPod Touch нужен Apple Буквально на днях стало известно о том, что Apple разрабатывает новый iPod Touch. А почему бы и нет? Даже сейчас этот выглядит как идеальный iPhone, с потрясающе тонким корпусом и низкой ценой. Когда начали появляться первые слухи об iPhone 6, многие говорили, что его корпу...

Дефицит процессоров Intel Intel признают, что у них проблемы с поставками. В решение проблемы вложены огромные средства. Они увеличили объем производства 14 нм процессоров и одновременно увеличили темп создания 10 нм процессоров. Это позволило Intel увеличить объемы поставок процессоров для ПК во вто...

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

Samsung увеличила скорость работы накопителей в смартфонах Samsung Electronics объявила о начале массового производства первого в отрасли встраиваемого накопителя Universal Flash Storage (eUFS) 3.0 емкостью 512 ГБ для мобильных устройств нового поколения. В соответствии с требованиями спецификаций eUFS 3.0, представленное ре...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

BCN3D и оптимизация производства Производство индивидуальных стелек для обуви от компании Zoles Компания Zoles производит индивидуальные стельки по всей Европе, используя 3D-принтеры BCN3D, которые позволяют ускорить весь процесс и сэкономить до 80% от общих производственных затрат с каждой пары. Zoles пре...

Спрос на LCD-экраны падает, но Samsung вложит в модернизацию $11 млрд Компания Samsung Display планирует вложить $11 млрд в завод по производству LCD-экранов в Южной Корее. При этом LCD-производство компании страдает от все более активной конкуренции со стороны китайских производителей и растущего спроса на OLED-экраны.

HP, Dell и Microsoft тоже переносят производство электроники из Китая По данным источника, вследствие американо-китайской торговой войны HP, Dell, Microsoft и другие компании стремятся перенести значительную часть своих производственных мощностей из Китая. Исход крупнейших мировых производителей бытовой электроники угрожает подорвать поло...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

Intel прекращает производство своих самых забавных процессоров Несколько лет назад компания Intel представила высокопроизводительную коммутационную архитектуру Omni-Path. Она позволяет передавать данные на скорости 100 Гбит/с на один порт, что в итоге даёт 25 ГБ/с пропускной способности интерфейса. Omni-Path использовалась Intel в...

HP, Dell и Microsoft могут вывести часть производства из Китая Об этом пишет "КоммерсантЪ" со ссылкой на Nikkei. Агентство сообщает, что в планах HP и Dell перенос около 30% нынешнего производства своих ноутбуков в КНР в другие страны.Также Nikkei сообщает, что подобную возможность рассматривают и некоторые другие производител...

Открылся завод стиральных машин Haier в Набережных Челнах 28 августа 2019 года корпорация Haier открыла в Набережных Челнах еще одно свое производство стиральных машин. В будущем количество стиральных машин достигнет 1 млн в год. На заводе будут трудиться более 380 человек, на данный момент — 150 сотрудников. ООО «Хайер Апплаенси...

Искусственный интеллект в ECM: реалии и перспективы Во второй половине нынешнего десятилетия тематика управления корпоративным контентом (ECM) вступила …

Бюджетный складной смартфон Huawei Mate X с гибким экраном представлен официально Во второй половине февраля нынешнего года случилось то, чего многие ждали более пяти лет. Был официально представлен бюджетный смартфон Huawei Mate X, но есть одна проблема, а заключается она в цене данного мобильного устройства. Дело Сообщение Бюджетный складной смартфон H...

Samsung приступает к производству чипов памяти типа A-Die То, что компания Samsung работала над созданием микросхем памяти типа A-die, не является секретом. В связи с этим обозреватели ожидают дальнейшего удешевления памяти.

TSMC тестирует 5-нм производство Серийное производство запланировано на первую половину 2020 года

Массовое 14-нм производство чипов в Китае стартует в первой половине года Мы строили, строили...

Samsung Galaxy Note 10 поверг в шок всех покупателей Современные технологии стремительно развиваются, что позволяет производителям электроники создавать все более интересные во всех отношениях электронные гаджеты. Сегодня, 13 мая 2019 года, оказался неожиданно рассекречен один из самых интересных телефонов второй половины нын...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Ubisoft в Украине: игровые студии Ubisoft Kiev и Ubisoft Odesa Чуть более года назад, в марте 2018 г., компания Ubisoft, один из крупнейших разработчиков и издателей видеоигр в мире, объявила об открытии второй в нашей стране студии, в Одессе. На днях Ubisoft провела в городе на берегу Черного моря специальное мероприятие, на котором ра...

Половина американцев уверена, что электромобили надо заправлять бензином В рамках подготовки к запуску в производству своего серийного электромобиля Ford решил развенчать существующие мифы о подобного рода транспорте. В частности, опросив американских водителей, марка выяснила, что 42% респонденов уверены в необходимости заправки электромобиле бе...

HMD Global объявила о презентации своих новых смартфонов Компания HMD Global объявила о презентации своих новых смартфонов 5 декабря. Об этой информации компания поделилась своем Twitter аккаунте. На мероприятии должны показать новый смартфон Nokia 8.2, который станет первым обладателем нового 8-ядерного процессора Snapdragon 735...

Светодиодные лампы GP Компания GP, хорошо известная по батарейкам, аккумуляторам, зарядным устройствам и пауэрбанкам, приступила к производству светодиодных ламп. Первая партия прибыла в Россию в ноябре и я протестировал все модели. Читать дальше →

Массовое производство чипа Kirin 985 от Huawei начнется во втором квартале 2019 года В прошлом году компании Hisilicon, которая принадлежит Huawei, удалось опередить всех своих конкурентов, выпустив первый в мире 7-нм процессор Kirin 980. ***

Samsung хочет производить процессоры для iPhone, iPad и Mac Корейская компания планирует крупные инвестиции в свое производство чипов для конкуренции с Intel, Qualcomm и TSMC. Samsung хочет изготавливать процессоры для широкого спектра устройств.

Samsung инвестирует $116 млрд в разработку и производство микропроцессоров в ближайшие 10 лет В 2018 году подразделение по производству чипов принесло компании 75% всего операционного дохода, а новые инвестиции, по мнению Samsung, помогут обогнать Qualcomm и TSMC.

[Перевод] Сложное модульное архитектурное окружение в UE4 Тайлер Анлауф подготовил подробный анализ модульного окружения ROME: Church of Sant’Ivo созданного им в UE4 и 3ds Max. В статье он рассказывает о предварительном черновом плане (blockout), модульной сборке, освещении, постобработке и многом другом. ROME: Church of Sant’Ivo...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

5 ошибок, которые следует избегать при создании 3D-моделей для 3D-печати Известно, что процесс моделирования для аддитивного производства не всегда прост: в 3D-моделировании, как и в 3D-печати, не существует единственно верного решения. Мы используем разное программное обеспечение, печатаем из разных материалов и используем разные 3D-принтеры и...

У 76% производителей нет опыта внедрения аддитива — почему это хорошо По оценкам экспертов, ежегодно отечественный рынок аддитивных технологий растет на 20% и достигнет 14 млрд рублей в 2023 г. И так во всём мире — исследование EY показало, что 76% промышленных компаний не имеют опыта внедрения аддитивных технологий (АТ) в свое производство....

Microsoft опровергла заявление о переносе производства из Китая Сообщается, что Microsoft опровергла заявление о переносе части своего производства за пределы Китая. Напомним, что первоначально источник Nikkei предполагал, что Microsoft, Dell, HP, Amazon и другие технологические компании рассматривают возможность перемещения цепочки пост...

Foxconn рассчитывает получить заказы Apple на дисплеи, в которых используется технология micro-LED В 2014 году компания Apple приобрела компанию LuxVue, специализирующуюся на создании дисплеев по технологии micro-LED. Полученные активы оказались хорошей отправной точкой для дальнейших разработок, судя хотя бы по тому, что за последние годы Apple подала несколько деся...

Итоги конференции по технологиям совместной работы Microsoft Профессионалы по MS SharePoint, Office 365 и Azure поделились с участниками последними технологическими новостями. Мероприятие организовано международным сообществом aOS Community при поддержке компании Шаресофт.

Более 10 миллионов долларов. Huawei решила вложиться в развитие альтернативы сервисов Google в России Компания Huawei объявила на конференции в Москве о планах инвестировать более 10 миллионов долларов в развитие экосистемы Huawei Mobile Services в России.  В рамках первой конференции Huawei Developers Day компания поделилась своими успехами по развитию фирм...

Дорогие процессоры AMD оказались востребованнее дешевых 12-ядерный процессор Ryzen 9 3900X производства AMD уже много месяцев как официально представлен и поступил в продажу, но несмотря на это, устройство все еще находится в заметном дефиците, в первую очередь из-за своего соотношения цена/мощность.

Разработчик: PS5 и Xbox Scarlett будут мощнее Google Stadia В рамках мероприятия GDC 2019 была представлена платформа Stadia, а также её спецификации и характеристики. Учитывая скорое появление консолей нового поколения, было бы любопытно узнать, что думают разработчики о проекте Google. Своим мнением об этом поделился вице-президент...

Оргкомитет по проведению Года Театра в Москве приступил к работе под руководством Натальи Сергуниной Как сообщает Москва24, в Год Театра правительство Москвы увеличит финансовую поддержку учреждений театральной культуры. Об этом рассказал Сергей Собянин во время заседания оргкомитета по проведению мероприятий в рамках Года Театра в Москве. Мэр столицы подписал распоряжение ...

Компания Intel первой продемонстрировала 144-слойную флеш-память QLC NAND Вчера в Сеуле прошло мероприятие, в ходе которого компания Intel рассказала о своих технологических разработках и представила несколько достижений. В частности, участникам встречи были представлены планы Intel по эксплуатации новой линии, выпускающей память Optane на пр...

Оборудование для производства памяти приносит Applied Materials 44% выручки Один из крупнейших в мире производителей оборудования для изготовления полупроводников опубликовал отчетность за четвертый финансовый квартал.

Tesla за 200 млн долларов приобрела производителя ионисторов и прочих энергетических решений Компания Tesla известна своими электромобилями. Однако амбиции на рынке электромобилей привели к тому, что Tesla к тому же ещё и стала крупным производителем аккумуляторов. Как сообщается, сегодня Tesla для усиления своих позиций приобрела компанию Maxwell, специализир...

Dyson — все. Производитель пылесосов отказался от проекта создания электромобилей, на который потратил почти 3 миллиарда долларов Первые слухи о том, что производитель аккумуляторных пылесосов Dyson заинтересовался выпуском электромобилей, появились еще в 2016 году. Слухи усилились, когда Dyson за 90 миллионов долларов купила мичиганский стартап Sakti3 по производству твердотельных аккумуляторных ...

Как мы провели «Демодуляцию». Музей Яндекса и его друзья Праздник — это когда друзья собираются вместе и хорошо проводят время. Недавно команда Музея Яндекса организовала праздник ретрокомпьютинга «Демодуляция» — фестиваль для всех, кому интересна история техники. Гости мероприятия могли не только познакомиться с легендарными комп...

Обзор плюсов и минусов флагманского смартфона Samsung Galaxy Note10 В этом году компания Samsung представила сразу две новые модели Galaxy Note – стандартную Galaxy Note10 и более продвинутую Galaxy Note10+. В этом обзоре мы рассмотрим достоинства и недостатки Galaxy Note10, российский старт продаж которого, как и Galaxy Note10+, намечен на ...

Qualcomm намерена предложить поддержку 5G в смартфонах ... На выставку IFA 2019, которая сейчас проходит в Берлине, приехала и компания Qualcomm. Каких-то новинок американский чипмейкер с собой не привез и ограничился только объявлением о своих планах по переводу процессоров на поддержку 5G‑сетей.   На данный момент привилеги...

iPhone 2019 получат 4 ГБ ОЗУ и смогут заряжать другие смартфоны Аналитик Минг-Чи Куо (Ming-Chi Kuo), имеющий связи с цепочкой поставок Apple, поделился своими прогнозами насчет новых устройств «яблочной» компании на 2019 год. Согласно данным аналитика, Apple вернётся к производству iPod Touch и iPad Mini, а также выпустит обновленные лэп...

Honor выпустит свой 5G смартфон во второй половине 2019 года Уже известно, что компания Huawei собирается представить свой 5G смартфон в первой половине 2019 года. Сегодня стало известно о планах ее суббренда Honor. ***

Samsung приступила к массовому производству 5G-модемов Модем Exynos 5100 стал доступен для лицензирования

Huawei начинает пробное производство 5-нм процессора Kirin 1000 Новейшими процессорами компании Huawei являются Kirin 990 и Kirin 990 5G. На данный момент они установлены внутри флагманов Mate 30/Mate 30 Pro и их 5G версий. ***

Intel снимет с производства процессоры Skylake Компания Intel выпустила 6-е поколение процессоров Core Skylake в августе 2015 года. И вот, спустя 4 года, в Санта-Кларе решили прекратить их производство.

Обновлённый ноутбук Acer Nitro 5 с процессором до Intel Core i7 9-го поколения появился в России Компания Acer начинает продажи на российском рынке обновлённой версии геймерского ноутбука начального уровня Acer Nitro 5. Ноутбук получил процессор Intel Core i7 девятого поколения, более тонкие рамки вокруг экрана и IPS-матрицу с разрешением Full HD и частотой обновления 1...

10-нанометровым настольным CPU Intel быть. Только, видимо, не раньше, чем через два года Вчера в Сеть попала информация о том, что Intel якобы отказалась от 10-нанометрового процесса для настольных CPU и в 2022 году перейдёт сразу на нормы 7 нм. И могло бы быть вполне логичным шагом. Однако теперь в ситуация вмешалась сама Intel, решив прокомментировать дан...

Будущие iPhone обзаведутся дисплеями microLED Вот уже который год в Сети циркулируют слухи о том, что Apple работает над новой перспективной технологией — экранами на основе microLED. Для этих целей Apple даже открыла секретный завод в Калифорнии, где компания тайным образом разрабатывает и тестирует дисплеи ново...

В Руанде открыли первое в Африке предприятие по производству смартфонов Завод компании Mara Group расположен возле Кигали, и на нем осуществляется не только сборка двух недорогих смартфонов под брендом Mara, но и производство комплектующих для них.

Предварительный обзор Honor 20 Lite. Мощность за адекватные деньги Компания Huawei официально представила новый смартфон среднего класса, который может похвастаться отличной камерой, достаточно производительным процессором и большим ярким экраном. При этом цена на базовую версию очень даже достойная, хотя есть и недостатки. Давайте об этом ...

Hyundai запустит в Калифорнии сервис бесплатных автономных такси По сообщениям сетевых источников, 4 ноября этого года компания Hyundai запустит сервис бесплатных автономных такси в калифорнийском городе Ирвин. Примечательно, что эта новость появилась после того, как южнокорейский автопроизводитель объявил о намерении в течение следующих ...

3D-печать металлами: 5 очевидных преимуществ на практическом примере Завихритель, изготовленный по SLM-технологии. При серийном изготовлении таких деталей сокращение стадии подготовки производства может достигать 50% Об особенностях и выгодах, которые способна дать предприятию технология селективного лазерного плавления (SLM), написано нем...

Foxconn получил заказ на производство более 50 млн 5G-смартфонов Huawei Несмотря на ограничения доступа к продуктам американских технологических компаний, компания Huawei по-прежнему остаётся вторым крупнейшим в мире производителем смартфонов. И, судя по всему, китайская компания не намерена сдавать позиции. Как сообщают осведомлённые источники,...

Samsung закрывает центр по производству процессорных ядер. Что будет с чипом Exynos? Что будет с процессорами Samsung? Южнокорейский технологический гигант Samsung закрывает научно-исследовательский центр по разработке модифицированных процессорных ядер, расположенный в штате Техас. Занимался центр, как нетрудно догадаться, совершенствованием линейки процесс...

Версию Mortal Kombat 11 для Nintendo Switch создают авторы Scribblenauts Showdown Продюсер Mortal Kombat 11 Тревор Трауб (Trevor Traub) в интервью изданию GameRevolution поделился некоторой информацией о версии игры для Nintendo Switch. Он сказал, что её разработкой занимается студия Shiver Entertainment, которая отметилась выпуском Scribblenauts...

Смартфоны Samsung с этикеткой Made in China скоро исчезнут Как пишет источник, компания Samsung, начала увольнять персонал со своего единственного китайского завода по производству смартфонов: на предприятии Huizhou Samsung Electronics Co. запущена программа компенсаций при сокращении. Все увольнения осуществляются на доброволь...

Uber займется доставкой еды с помощью летающих дронов Во время мероприятия Elevate Summit компания Uber объявила о намерении в этом году приступить к полноценным испытаниям сервиса доставки еды с помощью беспилотных летательных аппаратов в рамках своего проекта Uber Eats. Недавно Федеральное управление гражданской авиации США ...

Intel рассказала о Lakefield чуть больше Мы по-прежнему не знаем, в компьютерах какого рода этот процессор будет использоваться, но предполагаем, что ими станут тонкие и легкие ноутбуки. В компании Intel сообщило, что поставки гибридной архитектуры Lakefield начнутся в четвертом квартале. Причем дальнейшие верси...

Новый уровень: усовершенствованный Acer Nitro 5 уже в России Компания Acer представила на российском рынке обновлённую версию Acer Nitro 5, геймерского ноутбука начального уровня. Новинка получила поддержку современных комплектующих, включая процессоры Intel Core i7 9-го поколения, более тонкие рамки экрана и ...

Samsung ищет альтернативу сырью из Японии Южнокорейский производитель электроники Samsung Electronics приступил к тестированию фтористого водорода производства компаний, не базирующихся в Японии. Об этом сообщил ресурс Nikkei Asian Review со ссылкой на информированные источники.

Nikkei: Apple сократит производство новых моделей iPhone во второй раз за два месяца В начале 2019 года компания выпустит на 20% меньше iPhone, чем годом ранее, говорят источники.

Стали известны характеристики еще неанонсированного чипа Snapdragon 865 Каким будет новый процессор Snapdragon? Технологический гигант в лице Qualcomm еще даже официально не объявил о том, что разрабатывает процессорный чип следующего поколения. Однако данные о том, что подобная разработка существует появлялись и ранее. Ну а совсем недавно, благ...

Schunk Carbon Technology приступила к эксплуатации 3D-принтеров Anisoprint Компания Schunk Carbon Technology, входящая в состав немецкого концерна Schunk Group и специализирующаяся на разработке, производстве и применении решений на основе углерода и керамики, взяла на вооружение 3D-принтеры для печати армированными полимерами российско-люксембургс...

Московская фабрика 3D-печати займется производством запасных частей для локомотивов Российская компания «2050.Аддитивные технологии» приступила к созданию фабрики по 3D-печати деталей для сервисных локомотивных депо и предприятий транспортного машиностроения.Подробнее...

SK Hynix приступила к производству 128-слойной памяти 3D NAND TLC Южнокорейская фирма SK Hynix сообщила о начале коммерческого выпуска 128-слойных чипов флэш-памяти 3D NAND TLC вместимостью 1 Тбит (128 ГБ). Сама компания относит данные микросхемы к классу «4D NAND», что она объясняет переносом...

В магазинах скоро появится еда из электричества, воды и воздуха Забавный факт: три четверти всех продуктов для населения Земли поставляют всего 12 видов растений и 5 видов животных. Чтобы разнообразить наш рацион и при этом не наносить вред окружающей среде, ученые придумают новые виды еды. О производстве искусственного мяса мы уже слыш...

Covestro нарастит производство фотополимеров для 3D-принтеров Carbon Немецкая химическая компания Covestro AG поможет американскому производителю скоростных стереолитографических 3D-принтеров Carbon с наращиванием производства специализированных фотополимерных смол, используемых в качестве расходных материалов.Подробнее...

Игровая консоль и игры к ней своими руками. Little Game Engine + ESPboy Little Game Engine (LGE) – это виртуальная игровая консоль выдуманной конфигурации, с выдуманным процессором и онлайн web-SDK, состоящий из компилятора С-подобного кода в ассемблер и дальнейшей перекомпиляцией его в машинный код с возможностью исполнения этого кода там же, в...

Rolls-Royce опробует 3D-принтеры от SLM Solutions в производстве авиационных двигателей Компания Rolls-Royce, один из ведущих производителей авиационных двигателей, возьмет на вооружение 3D-принтеры производства немецкой компании SLM Solutions, работающие по технологии селективного лазерного наплавления металлопорошковых композиций (SLM).Подробнее...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

Новые части Titanfall, Need for Speed и Plants vs Zombies выйдут в этом году Компания Electronic Arts опубликовала квартальную отчетность за прошедший период, а заодно поделилась с общественностью своими мыслями о настоящем и планами на будущее. Известно, что Battlefield V продается хуже, чем ожидалось. Сейчас реализовано 7,3 млн копий (на 1 млн мен...

Intel рассказала про Ice Lake: перспективный 10-нм процессор для ПК Компания Intel рассказала первые подробности о своих перспективных процессорах Ice Lake-U, которые будут выпускаться по 10-нм технологическому процессу и появятся в готовых системах к концу этого года. Нас ждёт новая микроархитектура вычислительных ядер, новое графи...

Для новых iPhone уже готовы процессоры Компания TSMC (Taiwan Semiconductor Manufacturing) уже начала производство новых процессоров для смартфонов iPhone, чей анонс ожидается осенью. Об этом сообщило издание Bloomberg со ссылкой на осведомлённые источники.

Слух: На Microsoft Build 2019 не расскажут о Windows Core OS и Santorini Ровно через неделю начнётся ежегодная конференция разработчиков Build 2019 (6-8 мая 2019 года), которая будет наиболее интересна ИТ-специалистам. Как правило, в рамках мероприятия Microsoft рассказывает о различных продуктах, таких как Windows, Azure, Microsoft 365 и других...

Компания «Huawei» планирует полностью перейти на складные смартфоны с гибкими экранами Многие скептически относятся к складным смартфонам с гибкими экранами, но это только начало эпохи новых моделей. Некоторые производители уже решили, что именно гибкие смартфоны станут флагманскими моделями в компании. Такое заявление недавно сделала корпорация «Huawei», кото...

Yonhap: Samsung Display инвестирует $11 млрд в завод по производству ЖК-дисплеев в Южной Корее Samsung Display планирует потратить 13 трлн вон ($11 млрд) на модернизацию южнокорейского завода по выпуску жидкокристаллических дисплеев, чтобы начать производство продуктов на более высоком уровне, сообщило местное информационное агентство Yonhap со ссылкой на отраслевые и...

Шпионские страсти. Super Micro откажется от использования в своих серверах компонентов китайского производства Американский производитель серверов Super Micro Computer, прошлой осенью бездоказательно обвиненный в наличии шпионских «закладок» на системных платах, изготавливаемых в Китае, вынужден трансформировать цепочку поставок. Хотя в декабре производитель серверо...

В следующем квартале LG Display удвоит выпуск прозрачных дисплеев OLED Южнокорейская компания LG Display намерена использовать интерактивное сенсорное управление и другие передовые функции в своих коммерческих дисплеях, чтобы увеличить технологический отрыв от конкурентов на этом рынке. Об этом сообщил источник со ссылкой на слова представ...

Apple не воспользуется услугами Samsung при создании процессора A13 Официальная премьера смартфонов высокого класса от Apple не состоится до осени. На данный момент компания усиленно работает над iPhone (2019), чтобы своевременно обеспечить свои модели необходимыми аппаратными компонентами. Одним из ключевых улучшений, которые мы увидим в см...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

Пошлины на импорт электроники из Китая в США повысятся в 2,5 раза Президент Дональд Трамп на днях объявил о новом раунде повышения импортных пошлин на электронную продукцию из Китая. Повышение вступает в силу в следующую пятницу и затронет продукцию стоимостью 200 млрд долларов. Тариф повышается с нынешних 10% до 25%, то есть в...

Digital-мероприятия в Москве c 11 по 16 июня Подборка мероприятий на неделю Встреча с пользователями TheQuestion и Знатоков 11 июня (вторник) Толстого 16 бесплатно Приглашаем пользователей TheQuestion и Яндекс.Знатоков на встречу, посвящённую интеграции сервисов. Мы расскажем, как построена наша работа, и поделимся п...

Intel расширит 14 нм производство В Сети ходят слухи о том, что компания Intel может в очередной раз столкнуться с трудностями при производстве 14 нм процессоров. Компания предприняла меры по выходу из кризиса, однако к традиционному всплеску спроса в конце года она может оказаться не готова.

Oppo Reno Ace получит 90-Гц дисплей Пару недель назад в Индии состоялась премьера серии Oppo Reno 2, а сегодня аналогичное пресс-мероприятие компания устроила и у себя на родине в Китае. В рамках его проведения вице-президент Oppo сообщил о планах выпустить смартфон, обладающий дисплеем с частотой обновления 9...

Видео | Как происходит сборка Tesla Model 3 от начала до конца? Компания Tesla долгое время не могла наладить производство электрического автомобиля Tesla Model 3. Она была намерена выпускать по 5000 электрокаров в неделю, и в июле 2018 года чуть не нарушила свое слово — желаемая скорость производства была достигнута в последний момент....

Полупроводниковое производство Panasonic достанется тайваньской компании Nuvoton Technology Компания Panasonic объявила, что продаст свое производство полупроводниковой продукции тайваньской компании Nuvoton Technology за 250 миллионов долларов. Японский электронный гигант изо всех сил пытается увеличить прибыль в условиях отсутствия двигателей роста, а указан...

Nikkei: Apple сократит производство iPhone По данным Nikkei Asian Review, Apple уменьшит объёмы производства смартфонов в январе–марте. Сокращение затронет новые модели.

OCS добавила в портфель инфраструктурное ПО Quest Software В планах OCS на ближайшее будущее – организация демо-стендов с ПО Quest и проведение обучающих мероприятий для партнеров и заказчиков.

Компания Panasonic первой в отрасли разработала технологию массового производства микрофлюидных приборов методом литья стекла Компания Panasonic сообщила о разработке совместно со специалистами института микрохимических технологий (IMT) технологии массового производства микрогидродинамических или микрофлюидных приборов методом литья стекла. Эта технология обеспечивает снижение стоимости приме...

Samsung Display смещает фокус на Quantum Dot панели и инвестирует в технологию $11 млрд Компания Samsung Display дала понять, что к 2025 году инвестирует 13,1 трлн вон (около $11 млрд) в производство и дальнейшее развитие панелей на базе технологи квантовых точек. Это делается для того, чтобы опередить китайских конкурентов, которые уже догнали более ранние тех...

Инсайдеры: беспроводная зарядка Apple AirPower уже запущена в производство По данным инсайдеров, Apple наконец начала производство беспроводной зарядки AirPower, которая была анонсирована ещё в сентябре 2017 года во время презентации iPhone X. Тогда компания обещала выпустить коврик в 2018 году, однако уже через несколько месяцев столкнулась с неко...

Искусственный интеллект Сбербанка будет работать на процессорах Nvidia Компания SberCloud, являющаяся облачным провайдером группы Сбербанк, и компания Nvidia подписали соглашение о совместной разработке и внедрении на российском рынке продуктов и услуг на основе искусственного интеллекта (ИИ). Соглашением предусмотрено, что SberClo...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)