Социальные сети Рунета
Пятница, 29 марта 2024

Российская Ангстрем-Т готова выпускать силовые транзисторы Trench MOSFET Очевидный курс массового автотранспорта на электромобили, солнечная энергетика и общее развитие электроники в сторону мобильности открыто намекают на важность развития силовой полупроводниковой электроники. В перспективе отрасль будет переходить на новые материалы из разряда...

«Преодолевая» закон Мура: чем заменить традиционные планарные транзисторы / фото Taylor Vick Unsplash В прошлый раз мы говорили о материалах, которые могут заменить кремний в производстве транзисторов и расширить их возможности. Сегодня обсуждаем альтернативные подходы к разработке полупроводниковых изделий и какое применение они найдут в дата-ц...

Bosch приступает к выпуску микросхем из карбида кремния Компания Bosch сообщила о запуске производства полупроводниковых изделий из карбида кремния (SiC), которые предназначены для электромобилей. По сравнению с кремниевыми аналогами, используемыми сегодня, они меньше, могут переключаться с большей скоростью и рассеивают гор...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

[Перевод] АЛУ на 12 транзисторах (на самом деле нет) Что можно сделать на 12 транзисторах? Если схема аналоговая, это может быть, например, радиоприёмник или усилитель с достойными характеристиками. Для цифровой же схемы это катастрофически мало. Даже в такой простой микросхеме, как АЛУ К155ИП3 (74181), их значительно больше...

Samsung планирует начать массовое производство 3-нм чипов в 2021 году Южнокорейская корпорация Samsung поделилась планами начать серийное производство 3-нм полупроводниковой продукции с новым типом транзисторов GAAFET (gate-all-around FET) в 2021 году. Технологию GAAFET разрабатывается Samsung и другими компаниями с начала 2000 годов. Она...

Renesas Electronics выпускает первые радиационно-стойкие микросхемы контроллера ШИМ и драйвера в пластиковых корпусах Компания Renesas Electronics объявила о выпуске первых в космической промышленности радиационно-стойких микросхем контроллера ШИМ и драйвера ШИМ в пластиковых корпусах. Эти микросхемы на основе нитрида галлия (GaN) предназначены для использования в цепях питания электр...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

В Великобритании разработан техпроцесс, который лучше, чем CMOS Британские компании Search For The Next (SFN) и Semefab совместно разработали технологический процесс производства полупроводниковых изделий, который, как утверждается, перевернет отрасль. Разработчики не побоялись фундаментальных изменений на уровне транзисторов и вер...

Intel убеждена, что сможет увеличить плотность размещения транзисторов в 50 раз Базовый принцип развития микроэлектронной промышленности был сформулирован одним из основателей Intel Гордоном Муром (Gordon Moore) ещё в далёком 1968 году. Эмпирическое правило гласило, что плотность размещения транзисторов на единице площади полупроводникового кристалла уд...

У Samsung готова 7-нанометровая технология EUV Компания TSMC первой среди производителей полупроводниковой продукции освоила выпуск продукции по нормам 7 нм. И хотя формально это 7-нанометровая продукция, ее характеристики они находятся на тех же уровнях, которые ожидаются от 10-нанометровой продукции Intel. В компа...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Таблица сравнения полевых транзисторов Не смотря на планы купить отдельную повышающую плату для питания стола, решил все же заменить транзистор нагрева стола на Ramps. Вообще, поводом к этому стала переломленная нога предохранителя. Раз уж все равно разбирать бутерброд и паять, то почему бы не перепаять еще и тра...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Новинка HDPLEX позволяет запитать от мощного блока питания второй ПК Компания HDPLEX, известная как поставщик корпусов для HTPC и мини-ПК, представила изделие под названием DC-ATX 800W. Это преобразователь питания форм-фактора nano-ATX, который рассчитан на подключение к блоку питания и позволяет питать от него вторую систему. У преобра...

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

[Из песочницы] Максимальный постоянный ток через полевой транзистор На просторах интернета достаточно много информации о полевых транзисторах (далее ПТ) и их параметрах, но один из довольно простых, на первый взгляд, параметров, а именно – максимальный постоянный ток, который транзистор может через себя пропустить в ключевом режиме, и не сго...

Продажи фотошаблонов для полупроводникового производства в 2018 году достигли рекордного уровня Отраслевая ассоциация SEMI, в которую входят представители цепочки поставок в области производства и проектирования электроники, сообщила интересный факт: в 2018 году продажи фотошаблонов или масок для полупроводникового производства впервые превысили 4 млрд долларов. Г...

[Перевод] Как разрабатываются и производятся процессоры: проектирование ЦП Теперь, когда мы знаем, как работают процессоры на высоком уровне, настало время углубиться в разбор процесса проектирования их внутренних компонентов. Это вторая статья из серии, посвящённой разработке процессоров. Рекомендую изучить для начала первую часть, чтобы вы поним...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Китайские учёные разработали 3-нм транзистор По сообщению китайского издания South China Morning Post, группа китайских исследователей из Института микроэлектроники китайской академии наук разработала транзистор, который можно будет выпускать в рамках 3-нм техпроцесса. В отличие от 3-нм структуры транзистора компании S...

Гендиректор Intel считает, что компания поспешила с освоением норм 10 нм Во время конференции Brainstorm Tech, организованной изданием Fortune, генеральный директор Intel Боб Свон (Bob Swan) рассказал о текущем состоянии Intel и направлении будущего развития. Отвечая на вопрос о прекращении действия закона Мура, глава Intel признал, что &laq...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Сытые философы или конкурентное программирование на .NET Давайте посмотрим как устроено конкурентное и параллельное программирование в .Net, на примере проблемы обедающих философов. План такой, от синхронизации потоков/процессов, до модели акторов (в следующих частях). Статья может быть полезна для первого знакомства или для того...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

Итальянские исследователи разработали новые органические полевые транзисторы на основе графена Исследователи из итальянского института ISOF-CNF разработали новые органические полевые транзисторы n-типа на основе листов CVD-графена — OFET. Исследователи говорят, что новый процесс и материалы, которые они использовали, позволяют изготавливать гибкие и прозрач...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

#SPL #Crescendo Немецкий производитель музыкального оборудования SPL выпустил микрофонный предусилитель Crescendo, который работает с внутренним напряжением 120В и обеспечивает звук с минимальным уровнем искажений. Устройство имеет выдающиеся характеристики и позволяет полностью раскрыть...

Российские ИБП: импортозамещение плюс экспорт Компания “Парус электро” сообщила о начале самостоятельного производства изделий силовой электроники с использованием собственной производственной площадки при кооперации с заводами “Связь инжиниринг”, а также другими крупносерийными отечественными производителями...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

В Бельгии начали разрабатывать сверхъяркие тонкоплёночные светодиоды и лазеры Сверхъяркие светодиоды и лазеры прочно вошли в нашу жизнь и используются как для обычного освещения, так и в разного рода измерительной электронике. Перевести эти полупроводниковые приборы на новый уровень могли бы технологии производства с использованием тонкоплёночных стру...

Tesla отказывается от процессоров Nvidia в пользу собственной разработки Компания Tesla Motors объявила о разработке собственного процессора ИИ для самоуправляемого автомобиля. Именно он послужит аппаратной основой для функции автопилота во всей линейке электромобилей компании, сменив процессоры Nvidia DGX. Новый процессор называется...

Еще раз про транзисторы нагревателей... Всем здравствуйте!Давно не писал, но прочитав это понял, что зря стеснялся. Итак… Тема замены транзистора управляющего нагревом стола все еще актуальна. Когда я только задумал собирать свой ультик, то начитавшись в интернете об этой проблеме решил для себя избавиться от проб...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Французы предложили недорогую технологию производства экранов MicroLED любого размера Предполагается, что экраны с использованием технологии MicroLED станут следующим этапом развития дисплеев во всех проявлениях: от маленьких экранов для носимой электроники до больших телевизионных панелей. В отличие от LCD и даже OLED экраны MicroLED обещают лучшие разрешени...

VIS договорилась о покупке завода GlobalFoundries в Сингапуре и MEMS-бизнеса Похоже, Саудовская Аравия потеряла интерес к передовому полупроводниковому бизнесу. По крайней мере, вслед за новостью об отказе GlobalFoundries от вложений в освоение передовых 7-нм норм последовало ещё одно подобное известие. Тайваньская Vanguard International Semiconducto...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Охлаждать серверы больше не нужно? 3 прорыва, сулящие переворот в индустрии ЦОД Транзисторы, сверхпроводники и технологии передачи данных — в 2018 году исследователи и инженеры достигли выдающихся результатов во всех трех областях. Прорывы были настолько существенными, что, по мнению экспертов, уже скоро мы станем свидетелями появления на рынке се...

Полупроводниковый партнер Panasonic отказался продавать заводы TowerJazz сделала официальное заявление после того, как Panasonic сообщила о продаже своего полупроводникового бизнеса тайваньской Nuvoton.

Электромобиль Ford Mustang Lithium оснащен механической коробкой передач Показанный на иллюстрациях электромобиль стал плодом сотрудничества компаний Ford и Webasto. Это электромобиль Mustang Lithium, в котором используется аккумуляторная система Webasto с напряжением 800 В и технология EVDrive. Силовая установка обеспечивает крутящий момент...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

В Томске создают диоды для применения в устройствах связи 5G "Нитрид галлия по частотным свойствам лучше кремния, сохраняет работоспособность при высоких температурах и обладает высокой радиационной стойкостью. Он современный и теоретически более перспективный, чем многие другие разрабатываемые материалы. Диоды на основе нитрида ...

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

Каждый транзистор обладает своей квантовой подписью, которую можно использовать в качестве уникального идентификатора Непосвященные люди считают, что электрический ток течет совершенно одинаково через одинаковые компоненты наших электронных устройств. Однако, на квантовом уровне электрический ток может быть изображен, как текущий ручей, поверхность которого покрыта тонкой рябью, которая воз...

Силовой модуль разработчика. Работа над ошибками Приветствую! В моих предыдущих статьях (раз и два) вы ознакомились с силовым модулем полумоста, который позволяет построить преобразователь практически любой топологии. Я показал как можно быстро и без особых усилий получить макет силового преобразователя и обкатать идею, а ...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Ожидается, что к 2025 году мировой рынок флэш-памяти 3D NAND вплотную приблизится к 100 млрд долларов Аналитики Allied Market Research попытались спрогнозировать развитие рынка флэш-памяти 3D NAND. По их прогнозу, указанный рынок, объем которого в 2017 году в денежном выражении составил 9,0562 млрд долларов, к 2025 году достигнет 99,769 млрд долларов. В период с 2018 по...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

BMW и Jaguar Land Rover совместно будут разрабатывать компоненты электромобилей Компании BMW и Jaguar Land Rover заявили, что будут совместно разрабатывать электродвигатели, коробки передач и силовую электронику, объединившись в еще один отраслевой альянс, участники которого рассчитывают за счет сотрудничества снизить затраты на разработку электром...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Осторожно! Драйвер SD6128. Наткнулся на Aliexpress на довольно интересный драйвер SD6128.Это китайская копия драйвера SD6128 от Panucatt Devices http://www.panucatt.com/product_p/sd6128.htm. Драйвер сделан на чипе THB6128. Подкупило то, что на этом же чипе сделан европейский драйвер RAPS128. Характер...

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

В 2018 году компания Renesas продала продукции на 8,87 млрд долларов Компания Renesas Electronics, являющаяся крупнейшим мировым производителем микроконтроллеров и вторым в мире производителем специализированных процессоров, опубликовала отчет за четвертый квартал 2018 года и год в целом. Квартал принес японскому производ...

Резистор в цепи затвора или как делать правильно Всем доброго времени суток! Эта небольшая статья возможно станет шпаргалкой для начинающих разработчиков, которые хотят проектировать надежные и эффективные схемы управления силовыми полупроводниковыми ключами, обновит и освежит старые знания опытных специалистов или может ...

Panasonic удвоит производство аккумуляторов для электромобилей в Китае По сообщению издения Nikkei, компания Panasonic планирует почти удвоить производство аккумуляторов для электромобилей в Китае, чтобы воспользоваться потенциалом растущего рынка. В публикации говорится, что японская компания планирует потратить «сотни миллионов дол...

TSMC запустит серийное производство по нормам 2 нм уже в 2024 году Компания TSMC сообщила о том, что она начала стадию исследований и разработок, связанных с 2-нанометровым технологическим процессом. TSMC первой на рынке сделала подобное заявление. В настоящее время конкретные детали процесса не разглашаются. Соответствующий завод рас...

Fiat Chrysler протестирует технологию V2G с использованием 700 электромобилей Итало-американская компания Fiat Chrysler Automobiles (FCA) создаст экспериментальный парк электромобилей для тестирования технологии «автомобиль-сеть» (V2G). Эта технология позволяет электрическим сетям использовать энергию, запасенную в автомобильных аккум...

[recovery mode] Современное автомобилестроение. Электромобили — срыв покровов «Какой компонент электропривода в современных электромобилях имеет наивысшую плотность в виде количества ноу-хау на единицу объёма?» Если задать этот вопрос, то с очень высокой вероятностью одна часть отвечающих назовёт таким компонентом силовую батарею. Оставшаяся часть ...

День рождения процессора, от которого произошли все современные компьютеры 8 июня 1978 года появился легендарный процессор Intel 8086.Это не только первый 16-битный процессор компании Intel, но и первый чип архитектуры x86. Другими словами, он «говорил» на том же языке, что и процессоры в современных настольных компьютерах и ноутбуках. Кристалл 808...

К 2029 году парк аккумуляторных электромобилей превысит 100 миллионов штук Аналитики компании ABI Research полагают, что период, когда электромобили терялись на фоне машин на двигателях внутреннего сгорания (ДВС), миновал. Автомобили с ДВС больше не в состоянии идти в ногу с жесткими требованиями по выбросам, установленными правительствами во ...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Audi SQ2, Mercedes-AMG A35, VW Golf R и Cupra Ateca: дрэг-гонка Мощность силовых установок у Audi SQ2, Volkswagen Golf R и Cupra Ateca, участвовавших в гонке, одинаковая — 300 лошадиных сил; у Mercedes-AMG A35 же отдача силовой установки составляет 306 л.с. При этом силовые установки всех машин, принимавших участие в гонке, имеют крутящи...

Nissan готовится к массовому выпуску электрокаров и подключённых автомобилей Компания Nissan объявила о планах по переоборудованию своих заводов по всему миру в рамках подготовки к массовому производству электрифицированных, интеллектуальных и подключаемых автомобилей. Отмечается, что в эпоху электрификации и интеллектуальных систем автопроизводители...

О бедном МОСФЕТе замолвите слово.  Попробовал погреть стол. В текущей версии Стинга полевыми транзисторами управляет микроконтроллер напрямую. Т.е. на затвор чтобы открыть ключ подается 3.3В. В Манте стоят драйвера. Там на затворе 12В. Тестил на двух столах. Один с сопротивлением 1.2 Ома, второй  3...

Продажи новых электромобилей в России растут: в лидерах — Nissan Leaf Аналитическое агентство «АВТОСТАТ» обнародовало результаты исследования российского рынка новых автомобилей с полностью электрической силовой установкой. С января по август включительно в нашей стране было реализовано 238 новых электрокаров. Это в два с половиной раза больше...

3D-печать электроники на примере дрона: провода и платы больше не нужны Снимок дрона, напечатанного на 3D-принтере 3D-печать электроники с проводящими контурами внутри — вам больше не нужны провода и платы; принтер остановится ровно в тот момент, когда надо разместить процессор, транзистор или элемент питания, а затем продолжит печать. Читать ...

Toyota предоставит бесплатный доступ к патентам на гибридные автомобили Компания Toyota Motor заявила, что предоставит бесплатный доступ к своим патентам на технологии гибридных транспортных средств на период до 2030 года. Этим японский автопроизводитель рассчитывает способствовать распространению транспортных средств с низким уровнем выбро...

По мнению TrendForce, рынок 3D-сенсоров для смартфонов вступает в стадию роста Аналитики TrendForce , соглашаясь с прогнозами, что поставки смартфонов в этом году сократятся, отмечают, что производители готовятся к соревнованию в оснащенности флагманских моделей во втором полугодии. Важным компонентом в этом состязании станут 3D-сенсоры. Это дает ...

По прогнозу Digitimes Research, мировой выпуск микросхем в ближайшие годы будет расти в среднем на 5,3% в год Специалисты аналитической компании Digitimes Research взялись спрогнозировать глобальный объем производства полупроводниковых микросхем в течение ближайших пяти лет. По их мнению, выпуск этой продукции в период до 2024 года будет расти в среднем на 5,3% в год. Если этот...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Компания GlobalFoundries может быть продана, названы потенциальные покупатели Компания GlobalFoundries, уступающая на рынке контрактного производства полупроводниковой продукции только TSMC и Samsung, может быть продана новому владельцу. В прошлом году штат контрактного производителя был сокращен на 5%. А в конце января этого года стало известно...

Следующим электромобилем Jaguar станет седан XJ По сообщениям сетевых источников, вторым полностью электрическим автомобилем компании Jaguar Land Rover станет обновлённая версия седана XJ. Компания намерена инвестировать порядка $1,25 млрд на переоснащение производственного завода в Великобритании. Столь значительные влож...

Создан интерфейс, позволяющий соединить напрямую сверхпроводники и полупроводники В настоящее время при создании квантовых, нейроморфных и прочих подобных систем достаточно широко используются сверхпроводники, материалы, имеющие нулевое электрическое сопротивление при низких температурах. Но, в тех же самых устройствах используются и традиционные полупров...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

Все заказы на модемы 5G пока достаются TSMC Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, уже получила заказы на выпуск модемов 5G, разработанных компаниями, не имеющими собственного производства. Более того, по данным отраслевых источников, пока что все заказы на вы...

WorldSkills Competition 2019 Мы рады сообщить Вам, что компания iGo3D Russia как официальный представитель компании Ultimaker в России и странах СНГ примет участие в мировом чемпионате WorldSkills Competition 2019 c 22 по 27 августа в Казани в качестве партнера! WorldSkills Competition 2019 – это не пр...

[Из песочницы] Распознавание цифровых схем. Асинхронный счётный триггер Борис Цирлин и Александр Кушнеров 30.10.2019 Для опытного разработчика схем не составляет большого труда узнать знакомую схему, в каком бы виде она не была нарисована. В этой статье мы покажем, что две транзисторные схемы из патентов являются вариантом асинхронного счётного ...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Создан рекордно малый транзистор FinFET, превосходящий по характеристикам нынешние В качестве полупроводника используется арсенид индия-галлия, который благодаря его характеристикам рассматривают как альтернативу кремнию.

LG Chem и CATL и будут поставлять аккумуляторы для электромобилей Volvo Шведский автопроизводитель Volvo, принадлежащий китайскому концерну Geely, заявил, что подписал долгосрочные соглашения о поставках аккумуляторов с двумя азиатскими производителями аккумуляторов — LG Chem и Contemporary Amperex Technology Co Ltd (CATL). Компания ...

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

Производством Snapdragon 865 займется Samsung, а Snapdragon 875 — TSMC Недавно стало известно, что в случае с Snapdragon 865, анонс которого состоится в конце нынешнего года, Qualcomm сменит партнера по его производству. Выбор вновь пал на Samsung — одного из крупнейших производителей электроники в мире.   С конвейера южнокорейског...

Американские военные оплатили разработку устойчивых к радиационному поражению чипов Обычные полупроводники плохо реагируют на повышенный радиационный фон. Излучение приводит к спонтанным процессам в кремнии, что чревато сбоями и ошибками при работе с памятью. Это неприемлемо для ведения боевых действий в условиях радиационного поражения. Также устойчивость ...

Рынок полупроводников вырос преодолел 1 трлн Об этом говорится в исследовании IC Insights, на которое ссылается TAdviser.С 1978 года, когда было отгружено 32,6 млрд чипов в глобальном масштабе, полупроводниковая отрасль росла примерно на 9,1% ежегодно. Этот показатель аналитики назвали впечатляющим, учитывая цикличност...

Многострадальные SD6128. Вариант решения. Недавно тут я поднимал вопрос по поводу управления сигналом EN на драйверах SD6128 v1.2 было перепробовано много вариантов: замена транзистора на N, P канальные - результатов не дала, впайка SMD резистора 10К между выводами S и G P-канального транзистора вроде привела к изме...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

[Перевод] Раскрывая 140-летний секрет в физике Перевод статьи авторов из IBM Research. Важный прорыв в физике позволит изучить физические характеристики полупроводников в гораздо больших подробностях. Возможно, это поможет ускорить развитие полупроводниковой технологии следующего поколения. Авторы: Oki Gunawan — Staff M...

Выставка IFA 2019: мощь, простота и премиальность Мы живем в интересное время. Всего два десятка лет отделяет нас от начала третьего тысячелетия, которое было ознаменовано массовым приходом информационных технологий в жизнь обычных людей. Тогда все было просто: больше транзисторов в процессоре, больше мегагерц в тактовом ге...

Нитрид галлия превзошел кремний: нас ждет новая эра технологий На днях Anker представила свой новый крошечный блок питания. По заверениям компании, столь малый размер устройства обусловлен компонентом, который был использован вместо кремния, а именно — нитридом галлия (GaN). Растущая популярность этого прозрачного, подобного стеклу мате...

[Перевод] Трансформатор Теслы с печатными катушками, впаял три компонента — и готово Применение печатных катушек сокращает трудоёмкость изготовления электронных устройств. Если их делают на продажу, как, например, блоки УКВ-ИП-2 или RFID'ы, это вопрос себестоимости, если для себя — удобства. Вот и предлагаемый трансформатор Теслы не придётся наматывать. Гла...

Intel выпустила огромную FPGA с 43 миллиардами транзисторов Компания Intel выпустила самую большую в мире программируемую интегральную схему

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Ремонт блока питания, anycubic 4max Привет всем. Не так давно, я задался вопросом, почему не крутиться вентилятор блока питания anycubic 4max? Постоянно он должен работать или включаться при определенной температуре?      Ребята подсказали, что у многих он даже не включался. Подоспела и служба ...

TSMC пересмотрит цены с поставщиками кремниевых пластин Недавно крупнейший полупроводниковый производитель TSMC в числе первых в своей отрасли подвёл итоги работы в четвёртом квартале календарного 2018 года и сделал прогноз по выручке на первый квартал 2019 года. Компания огорошила общественность сообщением об ожидании сильнейшег...

Himax WiseEye WE-I Plus — ускоритель машинного обучения для устройств со сверхнизким энергопотреблением Компания Himax Technologies, специализирующаяся на выпуске микросхем и другой полупроводниковой продукции, представила новинку под названием WiseEye WE-I Plus. По словам производителя, это специализированная интегральная платформа со встроенным ускорителем, который позв...

В Hyundai создана система управления ходовыми характеристиками электрокаров на базе оценки массы Компания Hyundai Motor Group сообщила о разработке первой в мире системы, которая позволяет оптимизировать ходовые характеристики электромобилей на основе информации об их массе. Для оценки текущего веса транспортного средства применяются специальные датчики. Определение пол...

Cisco покупает разработчика кремниевой фотоники Luxtera Оптические технологии необходимы компании для создания оборудования для высокоскоростных сетей центров обработки данных и операторов связи. Компания Luxtera занимается разработкой полупроводниковых оптических трансиверов — микросхем, преобразующих световые сигна...

Huawei инвестирует в новый завод в Бразилии 800 млн долларов Компания Huawei Technologies планирует построить в бразильском штате Сан-Паулу завод, выделив на этот проект в течение ближайших трех лет 800 млн долларов. Так китайский технологический гигант рассчитывает нарастить свое присутствие в Латинской Америке вопреки давлению ...

В сентябре Foxconn откроет в Китае первую фабрику, которая будет выпускать только носимую электронику Компания Foxconn, являющаяся очень крупным, если не крупнейшим контрактным производителем электронных изделий, строит новое предприятие в Чэнду на юго-западе Китая. Эта фабрика будет выпускать только носимую электронику. Она должна быть открыта в сентябре. Учитывая, чт...

Производитель называет Cirrus Logic CS35L41 самым маленьким интеллектуальным УНЧ с низким энергопотреблением Компания Cirrus Logic представила изделие, позиционируемое как ответ на растущую тенденцию к оснащению смартфонов и других портативных устройств стереофоническими звуковыми системами, позволяющими с более качественным звуком слушать музыку, смотреть потоковое видео и иг...

Volvo и Geely будут вместе разрабатывать и выпускать двигатели внутреннего сгорания Компания Volvo Cars объединит свои активы в области разработки и производства двигателей с соответствующими активами материнской компании Geely. В результате объединения будет создано подразделение, которое обеспечит двигателями внутреннего сгорания следующего поколения...

В условиях давления со стороны США китайский конгломерат Tsinghua Unigroup сформировал предприятие по выпуску DRAM Поддерживаемый государством китайский полупроводниковый конгломерат Tsinghua Unigroup сообщил, что сформировал новое подразделение, которое будет заниматься выпуском памяти типа DRAM. В настоящее время на рынке DRAM лидируют производители из Южной Кореи и США. Говоря то...

Panasonic может модернизировать завод в Японии, выпускающий аккумуляторы для Tesla Компания Panasonic может модернизировать один из своих аккумуляторных заводов в Японии, чтобы производить аккумуляторные батареи улучшенного формата для Tesla, если это необходимо производителю электромобилей. Об этом сообщил Reuters источник, знакомый с ситуацией. ...

В AMD придумали интересный вариант охлаждения памяти с многослойной компоновкой Компания AMD подала заявку на патент, в которой описано охлаждение микросхемы с объемной компоновкой с помощью термоэлектрических охладителей (TEC) — элементов Пельтье. Их предлагается располагать между стеком кристаллов памяти и стеком логических кристаллов. Дос...

Volkswagen начинает строительство нового завода по производству электромобилей в США Компания Volkswagen сообщила о начале строительства нового завода по производству электромобилей. Завод на юго-востоке США, в Чаттануга, штат Теннесси, станет североамериканской сборочной базой Volkswagen для электромобилей на модульной платформе MEB. К выпуску продукци...

Huawei собирается выйти на рынок умных дисплеев Согласно представителям тайваньской цепочки поставок полупроводниковых изделий, компания Huawei стала больше интересоваться микросхемами для мультимедийных устройств и планирует сделать умные дисплеи вторым основным рынком после смартфонов. Источник отмечает, чт...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Япония рискует остаться без панелей OLED Как мы уже сообщали, Япония планирует ужесточить ограничения на экспорт высокотехнологичных материалов в Южную Корею. Это ответ на решение Южной Кореи, касающееся принудительного труда в военное время. Список материалов, экспорт которых будет ограничен, включает фторир...

Alibaba представила свой первый процессор Технология RISC-V имеет ряд преимуществ при использовании в "умных" часах и другой электронике с выходом в интернет. Кроме того, она считается недостижимой для американских санкций и может использоваться в Китае без боязни нарушить экспортные ограничения, отмечает ...

Навстречу электрификации: новые гибридные силовые установки Volvo Компания Volvo Cars представила новые и усовершенствованные версии электрифицированных силовых установок, которыми в дальнейшем будут оснащаться все автомобили. Речь идёт о гибридных агрегатах, которые объединяют двигатель внутреннего сгорания и электрический привод. В частн...

В Sony приняли решение относительно продажи бизнеса, связанного с датчиками изображения В июне этого года инвестиционный фонд Third Point, являющийся крупным акционером Sony, предложил компании выделить полупроводниковый бизнес с самостоятельное предприятие и продать его, превратив Sony в компанию, работающую только в развлекательной сфере. Напомним, что в...

Windows 11 – самая лучшая в мире операционная система, и вот почему Спрос на программное обеспечение стремительно растет по всему миру, а происходит это в первую очередь из-за того, что они одно электронное устройство на рынке не может работать без такого. В настоящее время самой современной ОС Сообщение Windows 11 – самая лучшая в мире опе...

Любуемся 14-нм кристаллом чипсета AMD X570 Два миллиарда транзисторов на огнедышащем куске кремния.

В Samsung признают, что сокращение рынка смартфонов ведет к кризису Компания Samsung Electronics провела собрание акционеров, в ходе которого руководство южнокорейского производителя признало, что компания находится на пороге кризиса в связи с сокращением мирового рынка смартфонов. Трем подразделениям — DS (device solutions...

Компания TowerJazz готова выпускать датчики изображения с глобальным затвором и пикселями размером 2,5 мкм — самыми маленькими в мире Израильская компания TowerJazz, специализирующаяся на выпуске датчиков изображения типа CMOS, объявила о готовности выпускать датчики с глобальным затвором и пикселями размером до 2,5 мкм — самыми маленькими в мире. Соответствующая технология освоена на японском п...

Переходя к выпуску электромобилей, Ford сократит в Европе 12 000 рабочих мест Компания Ford сообщила о намерении реорганизовать европейское отделение. Теперь в нем будет три подразделения: коммерческие транспортные средства (CV), пассажирские транспортные средства (PV) и импорт. Каждое из них получит отдельную управленческую структуру, включая ру...

«Тарек», брат «Карока»: В 2020 году в России наладят сборку нового кроссовера Volkswagen Tarek Немецкая компания решила завоевать российский рынок своими новинками. С появлением кроссовера Volkswagen Tarek прозванного «бестселлером» для России, эксперты пророчат ему одну из лидирующих позиций среди «одноклассников». На тематическом YouTube-канале «Тест Драйвович» рас...

Инженеры из MIT создали революционный микрочип Группой инженеров из MIT и Analog Devices была разработана самая сложная конструкция микросхемы, в основе которой кремниевые транзисторы из углеродных нанотрубок. Новый чип был изготовлен с использованием современных технологий. Подробнее об этом читайте на THG.ru.

Intel вернёт себе корону полупроводникового рынка Долгие годы компания Intel была лидером полупроводниковой отрасли. Поступления от продажи её продукции всегда превышали таковые у конкурентов, однако в 2017 году вперёд вышла Samsung благодаря резкому скачку на рынке памяти.

В России создали защищенную альтернативу Skype for Business Разработка IVA AVES-S позволяет организовать защищенную конференцию в видеоформате с разрешением Full HD. Помимо этого она предоставляет возможность обмениваться текстовыми сообщениями в чате, совместно работать над документами, проводить онлайн-опросы, презентации, вести за...

В полупроводниковой продукции, изготовленной Samsung Electronics на заказ, обнаружены дефекты Как известно, к чистоте помещений и оборудования для полупроводникового производства предъявляются очень высокие требования. Их нарушение может привести к браку. Именно это произошло на предприятии компании Samsung Electronics, выпускающем продукцию по сторонним заказам...

Эра 10-нм чипов — кто разрабатывает такие процессоры и что ждет индустрию в будущем Производители полупроводниковых устройств успешно освоили 10-нм техпроцесс. В статье рассказываем, кто выпускает микросхемы на его основе и когда ждать 5- и 3-нм технологии. Читать дальше →

RC Машинки: Первые покупки — шасси и силовая установка Силовая установка — звучит как-то странно, но если подумать, то очень четко описывает те самые компоненты которые заставляют модель двигаться. Не уверен входит ли сюда сервопривод (лево/право). Если вы читали первую часть этого цикла, то вы знаете, что есть модели на ДВС и ...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Кодури объяснил, что при оптимизации программного обеспечения важно раскрывать потенциал каждого транзистора Без программной экосистемы "железо" может оказаться бесполезным.

По данным Applied Materials, рынок микросхем памяти восстановится не раньше 2020 года Компания Applied Materials, поставляющая оборудование, услуги и программное обеспечение для производства полупроводниковых микросхем, предупредила, что восстановление на рынке микросхем памяти до 2020 года маловероятно. По данным поставщика, служащего барометром всей п...

BMW и Jaguar Land Rover будут совместно разрабатывать компоненты для электромобилей Компания BMW заявила в среду о намерении разрабатывать электродвигатели, трансмиссию и силовую электронику следующего поколения совместно с Jaguar Land Rover, что свидетельствует о появлении в автомобильной отрасли ещё одного альянса, призванного снизить затраты на разработк...

Полупроводниковое производство Panasonic достанется тайваньской компании Nuvoton Technology Компания Panasonic объявила, что продаст свое производство полупроводниковой продукции тайваньской компании Nuvoton Technology за 250 миллионов долларов. Японский электронный гигант изо всех сил пытается увеличить прибыль в условиях отсутствия двигателей роста, а указан...

Между 0 и 1: ученые создали многозначный логический транзистор Ученые нашли способ существенно поднять производительность транзисторов.

Нанолистовой транзистор — последняя надежда будущих процессоров Что такое FinFET и на какие ухищрения идут производители для достижения 3 нм техпроцесса.

[Перевод] Революция в схемах компьютерных блоков питания полувековой давности Полвека назад улучшенные транзисторы и импульсные стабилизаторы напряжения произвели революцию в схемах компьютерных блоков питания. Получила преимущества, к примеру, компания Apple – хотя не она запустила эту революцию, несмотря на заявления Стива Джобса. Без Intel внутри:...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

Колебания цен на память DRAM и NAND влияют на рост рынка микросхем Аналитики IC Insights обновили свой прогноз, касающийся рынка полупроводниковых изделий в период с 2019 по 2023 год. В обновлении уделено внимание тому, насколько сильно рынок микросхем памяти повлиял на общий рост рынка микросхем в течение последних двух лет. И насколь...

В этом году на производство памяти придется 43% капиталовложений в полупроводниковой отрасли Затраты, связанные с выпуском микросхем памяти, в последние годы служили движущей силой быстрого роста капиталовложений в полупроводниковой отрасли. Однако к настоящему моменту большинство соответствующих планов расширения и модернизации уже завершены или вступили в зав...

Полупроводниковая выручка Китая по итогам полугодия выросла почти на 12% Подъем зарегистрирован несмотря на ослабление спроса на мировом рынке чипов в первой половине 2019 года, сообщили эксперты Digitimes Research.

Рынок материалов для полупроводникового производства в 2018 году побил рекорд 2011 года Мировой рынок материалов, используемых при производстве полупроводниковых изделий, в 2018 году вырос по сравнению с 2017 годом на 10,6%. В денежном выражении он достиг 51,9 млрд долларов. Это новое рекордное значение, превысившее прежний максимум — 47,1 млрд долла...

Российский рынок носимой электроники растет быстрее западноевропейского Аналитики IDC подвели итоги второго квартала 2019 года на европейском рынке носимой электроники. Они подсчитали, что за квартал было отгружено 13,42 млн устройств. Учитывая, что год назад этот показатель был равен 5,28 млн, можно сделать вывод, что рынок в годовом выраж...

Полупроводниковая промышленность США остаётся не только самой крупной, но и хорошо сбалансированной Страна контролирует 52% выручки от реализации полупроводниковых изделий.

Системы ИБП для дата-центра: новости от Fuji Electric и Vertiv Достижения и рост в сферах облачных вычислений и виртуализации, а также развитие других передовых технологий стимулируют спрос на услуги ЦОД, что, в свою очередь, ведет к повышению востребованности источников бесперебойного питания (ИБП) для вновь возводимых или расширяемых ...

Компания Intel продемонстрировала новые серверные решения Поток данных, генерируемых человечеством, растет в геометрической прогрессии: более половины всего объема существующей информации было создано всего за 2 последних года. Уже в ближайшее время, каждый человек на планете будет генерировать в среднем 1,7 МБ данных в секунду, а ...

Решил я ремень стола поменять... Начало положено года 2 назад, когда пришел Tevo BlackWidow. Собрал, радовался. И тут через несколько дней буквально выгорает транзистор нагрева стола.  Подробнее...

Прибыль Samsung обрушилась в 2,5 раза. Растет только спрос на дисплеи компании Samsung Galaxy Note10+ во включенном состоянии засняли вживую Сегодня был опубликован финансовый отчет южнокорейской компании Samsung о результатах работы во втором квартале, который завершился 30 июня. Операционная прибыль Samsung упала на 56% в годовом исчислении до ...

Импортозамещение в китайской полупроводниковой отрасли буксует Одними санкциями против Huawei Technologies американские власти не ограничились, недавно в список компаний, чьи действия наносят урон национальным интересам США в сфере безопасности, попали ещё пять китайских структур, некоторые из них имеют совместный бизнес с AMD на террит...

Винчестер Toshiba MG08 емкостью 16 ТБ: еще одна (из всего двух) nearline-модель максимальной емкости Само по себе сравнение скоростных параметров современных винчестеров может проводиться разве что «для порядка»: в очередной раз убедиться, что ничего существенно не изменилось. Возможно, активное освоение всеми тремя производителями новых технологий (причем еще и разных — на...

Toshiba и Western Digital совместно инвестируют в завод по выпуску флеш-памяти Toshiba Memory и Western Digital заключили соглашение о совместном инвестировании в завод K1, который Toshiba Memory в настоящее время строит в Китаками (префектура Ивате, Япония). Завод K1 будет производить 3D флеш-память для удовлетворения растущего спроса на решения для х...

Bosch выкупает долю Daimler в совместном предприятии по производству электродвигателей Немецкий поставщик автомобильных комплектующих изделий Robert Bosch сообщил, что выкупил долю своего партнера Daimler в совместном предприятии EM-Motive GmbH, созданном для производства двигателей для электрических и гибридных автомобилей. Завод в Хильдесхайме, Германия...

Apple контролирует половину рынка полностью беспроводных наушников-вкладышей Исследование, проведённое компанией Counterpoint Research, говорит о том, что на мировом рынке быстро растёт спрос на полностью беспроводные наушники погружного типа. Речь идёт об изделиях вроде Apple AirPods, Amazon Echo Buds и Samsung Galaxy Buds. По оценкам, в третьем ква...

В 2019-м доля полупроводниковых компонентов в стоимости электроники снизится К падению приведет снижение средних цен на микросхемы памяти, прогнозируют аналитики IC Insights.

Tesla рассчитывает начать выпуск электромобилей в Китае уже в этом месяце Китайский завод Tesla должен начать выпуск электромобилей уже в этом месяце. Об этом агентству Reuters сообщили неназванные источники, знакомые с вопросом. Информаторы добавили, что пока неясно, когда будут достигнуты показатели производительности, запланированные на ко...

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Интерес строительной отрасли к технологии BIM растет Цифровизация строительной отрасли невозможна без перехода на технологию информационного моделирования зданий и сооружений, уверены в петербургской инженерно-консалтинговой компании ПСС ГРАЙТЕК. Число мероприятий, так или иначе связанных с технологией BIM и собирающих на терр...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

SK Hynix: экспансия смартфонов с поддержкой 5G поднимет спрос на память на 20 % Причастные к производству смартфонов с поддержкой сетей 5G компании начинают называть свои уточнённые прогнозы по скорости их экспансии в следующем году, и на недавней квартальной конференции руководство TSMC уже заявило, что в 2020 году модели с поддержкой 5G смогут занять ...

По прогнозу SEMI, в этом году продажи полупроводникового оборудования сократятся на 18,4% Отраслевая ассоциация SEMI, объединяющая поставщиков, работающих в микроэлектронной промышленности, опубликовала новый прогноз продаж полупроводникового оборудования. Этот прогноз построен с учетом данных за первое полугодие. Специалисты SEMI полагают, что в это...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

В 2,5 раза дольше. Nokia представила аккумуляторы нового поколения Nokia официально сообщила о том, что она разрабатывает новую технологию аккумуляторных батарей, которая обеспечит время работы в 2,5 раза дольше по сравнению с нынешней без увеличения объема и массы аккумулятора. Представители Nokia уверяют, что новая технология батаре...

В области биометрических технологий Россия идет своим путем Согласно исследованию российского рынка биометрических технологий на 2018-2022 гг., подготовленному компанией J’son & Partners Consulting, российский рынок биометрических технологий за последние 4 года демонстрировал активный рост на уровне 35,74% CAGR. Согласно пр...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Конец роста. В этом году ожидается сокращение расходов на полупроводниковые фабрики на 14% Аналитики отраслевой организации SEMI прогнозируют, что в текущем году мировые расходы на оборудование для производства полупроводниковой продукции сократятся на 14% (53 млрд долларов). Однако уже в 2020 году ожидается быстрое восстановление рынка — рост на 27% (6...

Xiaomi Mi 10 поверг в шок всех покупателей Стремительное развитие техники и технологий позволяет производителям электроники выпускать потрясающие во всех отношениях электронные устройства, которые с каждым годом становятся все лучше и лучше, особенно когда речь заходит о смартфонах. Сегодня, 1 июня 2019 года, Сообще...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

Samsung разрабатывает технологию упаковки чипов в 3D-TSV с 12 слоями Компания Samsung Electronics Co., Ltd., мировой лидер в области передовых полупроводниковых технологий, объявила о разработке первой в отрасли 12-слойной технологии 3D-TSV (Through Silicon Via). Новая инновация считается одной из самых сложных технологий упаковки для массово...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

По оценке TrendForce, доля TSMC на рынке полупроводникового производства в этом квартале составит 48,1% Согласно последнему отчету TrendForce, темпы развития передовых производственных процессов падают из-за ослабления спроса на большинстве конечных рынков, включая смартфоны. Отрасль столкнулась с серьезной проблемой. Ожидается, что в текущем квартале продажи сократятся д...

SK hynix может купить одну из полупроводниковых фабрик Intel Южнокорейский производитель микросхем памяти SK hynix заинтересован в приобретении фабрики Intel по производству микросхем памяти, расположенной в китайском городе Далянь. По данным китайских СМИ, переговоры между SK hynix и Intel уже идут. Предприятие Fab 68 вып...

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

Sony сделает ещё один шаг к господству на рынке датчиков изображений Японская компания Sony давно задумала стать королём рынка датчиков изображений. Ещё в 2010 году для этих целей она выкупила у Toshiba один из своих заводов в префектуре Нагасаки, который выпускал процессоры Cell для PlayStation 3, и перепрофилировала его для производства дат...

Дебют электрокара Polestar 2: мощность свыше 400 л. с. и запас хода до 500 км Polestar, бренд спортивных дорожных автомобилей Volvo, представил электрокар Polestar 2, производство которого будет организовано в начале следующего года. Polestar 2 — это «заряженный» фастбэк с пятидверным кузовом. Электрическая силовая установка обеспечивает мощность в 30...

Toyota и Panasonic будут вместе выпускать аккумуляторы для электромобилей, в 50 раз превосходящие современные по емкости Со ссылкой на издание Nikkei источник сообщает, что компании Toyota Motor и Panasonic планируют создать совместное предприятие, которое будет выпускать аккумуляторы для электромобилей. Ожидается, что о создании СП будет объявлено в ближайшее время. По предварительным да...

Alibaba представила ИИ-процессор для облачных вычислений Разработчики из Alibaba Group Holdings Ltd представили собственный процессор, который является специализированным решением для машинного обучения и будет использоваться для повышения качества услуг, предоставляемых подразделением облачных вычислений. Представленное изделие п...

На будущих Apple Watch может появиться технология Touch ID Компания Apple хочет добавить Touch ID в Apple Watch. Поданная патентная заявка относится к «сенсорному устройству, силовому сенсору, температурному сенсорному устройству и / или датчику отпечатков пальцев», которые могут быть размещены за экраном. Хотя Apple Watch уже ...

Mercedes-Benz будет выпускать аккумуляторные батареи для электромобилей в Польше Компания Mercedes-Benz Cars, принадлежащая Daimler, будет выпускать аккумуляторные батареи для своих электромобилей на предприятии в польском городе Явор. ОБ этом сообщил премьер-министр Польши Матеуш Моравецкий (Mateusz Morawiecki). «Мы очень рады, что инвестор,...

Сделан серьезный шаг на пути к созданию молекулярного компьютера С момента создания самого первого компьютера технологии стали развиваться так стремительно, как ни развивалась ни одна другая отрасль. Сейчас вычислительные машины в современном понимании уже подошли к пику своего развития и если мы хотим и дальше развивать технологии, нам ...

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Xiaomi Mi Band 4 поверг всех в полный шок Спрос на различную носимую электронику в России растет с каждым годом, а причин у этого невероятно много. Уже в нынешнем 2019 года на рынок выйдет спортивный браслет Xiaomi Mi Band 4, причем сообщил об этом Сообщение Xiaomi Mi Band 4 поверг всех в полный шок появились снача...

Pioneer выделяет все, связанное с датчиками 3D-LiDAR, в новое предприятие Компания Pioneer сообщила о создании дочернего предприятия, которое называется Pioneer Smart Sensing Innovations Corporation. В него выделено направление деятельности, которое раньше соответствовало подразделению Smart & Autonomous Mobility Business Group. Речь идет...

Наконец-то. Струйная технология OLED находится на пороге серийного производства Технология производства дисплеев из органических светоизлучающих диодов (OLED) методом струйной печати должна войти в массовое производство в следующем году, а в период 2020 по 2024 год ожидается расширение соответствующих мощностей в 12 раз. Такими данными располагают ...

Дёшево и сердито: NVIDIA готовит видеокарту GeForce GTX 1660 Ti на базе GPU TU116. Цена около $250, но без поддержки RTX Похоже, что технология RTX не появится в сегменте видеокарт стоимостью менее $250. Это вызвано тем, что более доступные графические процессоры не обладают достаточной производительностью, чтобы обеспечить обработку трассировки лучей в реальном времени, и для NVIDIA нет эконо...

В программном обеспечении SSD Samsung с интерфейсом PCIe Gen4 реализованы три ключевых новшества Компания Samsung Electronics рассказала о новшествах, реализованных в программном обеспечении ее твердотельных накопителей, оснащенных интерфейсом PCIe Gen4. Производитель называет три ключевых нововведения: технологию «fail-in-place» (FIP), виртуализацию S...

[Перевод] По большей части позитивный прогноз на будущее чипов 2019-й станет годом изменений в полупроводниковой промышленности, когда новые области исследований будут подстёгивать технологические прорывы 2019-й начался со сдержанного оптимизма по поводу полупроводниковой промышленности, несмотря на все чёрные тучи, что сгущаются на гор...

Будущие iPhone обзаведутся дисплеями microLED Вот уже который год в Сети циркулируют слухи о том, что Apple работает над новой перспективной технологией — экранами на основе microLED. Для этих целей Apple даже открыла секретный завод в Калифорнии, где компания тайным образом разрабатывает и тестирует дисплеи ново...

SK Hynix верит в будущее рынка памяти DRAM и 3D NAND Хотя последний отчет SK Hynix свидетельствует, что на выпуске микросхем памяти заработать становится труднее, чем раньше, из-за снижения цен на эту продукцию, южнокорейский производитель верит в будущее рынка памяти DRAM и 3D NAND. Он поделился планами, цель которых &md...

Отличия Спецназа от ВДВ объяснил офицер в отставке Вопреки расхожему мнению это не одно и то же. Ошибочно предполагать, что спецназ и ВДВ это одно структурное подразделение. Так, для внесения ясности офицер ВДВ в отставке рассказал ключевые отличия. Таким образом, спецназ присутствует в большинстве родов войск: и в ВДВ, и в...

Анонс процессора Kirin 985 ближе, чем ожидалось В конце прошлого года в сети появилась информация, что Huawei намерена в этом году выпустить два флагманских чипа. Помимо Kirin 990 компания пополнит ряд фирменных однокристальных систем платформой Kirin 985, которая должна стать разогнанной версией Kirin 980. А еще ест...

В России заработал 3D-принтер для создания крупногабаритных деталей авиадвигателей На уфимском предприятии Объединённой двигателестроительной корпорации госкорпорации Ростех (ОДК-УМПО) введена в строй система 3D-печати для создания крупногабаритных заготовок для деталей авиационных двигателей. ОДК-УМПО Речь идёт об использовании аддитивных технологий, кото...

Digital signage: прозрачный как «новый черный» Нишевую точку роста на рынке «цифровых витрин» и других электронных носителей создает популяризация прозрачных панелей. Технология их производства лидирующими вендорами освоена довольно давно, но только сейчас потребители начинают их «распробовать», чем ...

Во внешней звуковой карте Sound Blaster X3 используется технология Super X-Fi Компания Creative Technology на днях объявила о выходе звуковой карты Sound Blaster X3. Производитель характеризует новинку как «ЦАП высокого разрешения с интерфейсом USB и возможностью многоканального воспроизведения», одновременно называя ее своей первой з...

Oppo Reno получил быструю зарядку VOOC 3.0 Все больше смартфонов на рынке получают поддержку быстрой зарядки. Мало кто будет спорить, что это очень удобно. Ряд компаний разрабатывают свои технологии и достигли ощутимого прогресса в этом. Так, одной из лучших и быстрых на рынке является SuperVOOC от Oppo. Появится под...

Cerebras CS-1 - система на базе царь-процессора с триллионом транзисторов Компания Cerebras представила рабочую станцию на базе своего невероятного процессора

ФСБ предложила использовать в eSim российские шифры ФСБ хочет внедрить отечественное шифрование в электронные SIM-карты. Речь идет о технологии eSim, которая используется в устройствах Samsung и Apple.

Ученые решили загадку, 140 лет скрывавшуюся в одном из фундаментальных физических понятий, в эффекте Холла Ученые-физики нашли способ, позволивший получить доступ к информации, имеющей отношение к одному из фундаментальных физических явлений, к эффекту Холла, и ускользавшей от них в течение 140 лет. Напомним читателям, что физик Эдвин Холл (Edwin Hall) в 1879 году обнаружил, что ...

«Преодолевая» закон Мура: транзисторные технологии будущего Говорим об альтернативах для кремния. / фото Laura Ockel Unsplash Закон Мура, закономерность Деннарда и правило Куми теряют актуальность. Одна из причин — кремниевые транзисторы приближаются к своему технологическому пределу. Эту тему мы подробно разбирали в предыдущем пос...

Какими могут быть вычислительные системы будущего Рассказываем, что нового может появиться в дата-центрах и не только в них. / фото jesse orrico Unsplash Считается, что кремниевые транзисторы приближаются к своему технологическому пределу. В прошлый раз мы рассказывали о материалах, которые могут заменить кремний и обсужд...

Samsung получила сертификат безопасности на полупроводниковые компоненты для автомобилей Samsung Electronics объявила о получении сертификата ISO 26262, подтверждающего функциональную безопасность полупроводниковых компонентов для автомобилей. Он был выдан концерном TÜV Rheinland Group, предоставляющим услуги по тестированию устройств на предмет безопасности и с...

Илон Маск объявил о новом рекорде Tesla Model S Глава компании Tesla Илон Маск объявил о новом рекорде круга кольцевой гоночной трассы Лагуна Сека для 4-дверных транспортных авто, установленном во время тестирования электромобиля Model S с силовым агрегатом Plaid и экспериментальным вариантом шасси. Автомобиль прошёл трас...

Huawei и Xiaomi увеличили свои доли на рынке заказчиков полупроводниковой продукции на 45% и 63% соответственно Согласно последнему отчету исследовательской компании Gartner, Samsung Electronics и Apple продолжают лидировать в списке компаний, которые потратили больше остальных на полупроводниковые чипы для своей продукции в 2018 году. Они лидируют с большим отрывом, занимая сум...

Первую часть лунной базы NASA построит Maxar за 375 миллионов долларов Космическое агентство NASA заключило контракт с космической технологической компанией Maxar на 375 миллионов долларов. В рамках контракта последняя разработает первый сегмент Lunar Gateway, которая отправится в космос — почитайте о том, что такое «Лунный шлюз», будущая косм...

LG Chem инвестирует более 1 млрд долларов в расширение производства аккумуляторов в Китае Южнокорейская компания LG Chem сообщила, что планирует инвестировать в общей сложности 1,07 млрд долларов в расширение двух своих заводов, расположенных в Китае и занятых выпуском аккумуляторных батарей. Расширение производства должно быть завершено в 2020 году, чтобы п...

Virtex Ultrascale+ VU19P - самый большой в мире FPGA-чип, содержащий 35 миллиардов транзисторов Компания Xilinx, один из ведущих производителей чипов программируемой логики (FPGA), побила собственный рекорд, выпустив новый чип под названием Virtex Ultrascale+ VU19P. Кристалл этого чипа изготовлен по 16-нм технологии и у него имеется самый высокий показатель плотности л...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

Toshiba Memory и Western Digital инвестируют в предприятие по выпуску флэш-памяти Этот завод будет производить память 3D NAND flash для удовлетворения растущего спроса на устройства хранения данных для оборудования дата-центров, смартфонов и беспилотных автомобилей.

Intel вложила массу средств в 10 нанометров Длительное время пользователи жалуются на то, что компания Intel якобы не хочет переходить на новый технологический процесс в 10 нанометров. Это весьма странное мнение, ведь для компании переход на новые технологии позволяет привлечь новую аудиторию и продать больше своих пр...

Cerebras выпустила огромный чип Wafer Scale Engine с 1,2 трлн транзисторов После почти трёх лет медленного и тихого развития стартап Cerebras Systems удивил мир презентацией прототипа нового чипа Cerebras Wafer Scale Engine с рекордными 1,2 трлн транзисторов, размещённых на кристалле площадью 46,225 мм². Подробнее об этом читайте на THG.ru.

Не все так однозначно. Появились подробности об отключении электричества на фабриках, выпускающих флеш-память Источник опубликовал сведения, проясняющие недавнее сообщение о возможном дефиците флеш-памяти и росте цен на нее. Коротко говоря, хотя отключение электричества, имевшее место 15 июня, длилось всего 13 минут, производители утверждают, что восстановить работу фабрик пок...

Tesla за 200 млн долларов приобрела производителя ионисторов и прочих энергетических решений Компания Tesla известна своими электромобилями. Однако амбиции на рынке электромобилей привели к тому, что Tesla к тому же ещё и стала крупным производителем аккумуляторов. Как сообщается, сегодня Tesla для усиления своих позиций приобрела компанию Maxwell, специализир...

Российские силовики назвали свою любимую модель пистолета Силовые структуры России заинтересовались вариантом нового российского пистолета «Удав» под патрон 9Х19 «Парабеллум». Об этом сообщил журналистам конструктор пистолета Иван Козлов.

Bitspower предлагает водоблок Lotan для новейших видеокарт GeForce RTX 2080 Super Компания Bitspower анонсировала водоблок под названием Lotan, предназначенный для применения в составе систем жидкостного охлаждения (СЖО) видеокарт NVIDIA GeForce RTX 20 Series. Решение совместимо с видеоадаптерами GeForce RTX 2080 и GeForce RTX 2080 Ti, а также с новейшими...

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

[Перевод] TSMC надеется, что сможет следовать закону Мура ещё много десятилетий Если вы думали, что Intel'овская «банда» – это самые верные приверженцы закона Мура, то вы, наверное, не слыхали, как распространяется на эту тему Филип Вонг. Вонг, вице-президент корпоративных исследований Taiwan Semiconductor Manufacturing Corp (TSMC), на недавней конфер...

[Перевод] Siemens Digital Industries Software меняет подход к проектированию электрических систем Совместная работа при проектировании электрических систем изделий. Электрооборудование — основа современной продукции Сегодня большинство изделий просто напичкано электроникой. Электронные системы воспринимают окружающую среду и управляют работой оборудования. Процессоры, п...

Российские вертолёты получат созданные методом 3D-печати детали Холдинг «Вертолёты России», входящий в состав государственной корпорации «Ростех», намерен внедрить аддитивные технологии при изготовлении деталей винтокрылых машин. Фотографии холдинга «Вертолёты России» Речь идёт об использовании метода 3D-печати. Это позволит значительно ...

Представлена Huawei Kirin 990 5G — новая флагманская SoC Huawei со встроенным модемом 5G. Она содержит 10,3 млрд транзисторов Как и ожидалось, на специальном мероприятии в рамках выставки IFA 2019 компания Huawei представила новую флагманскую SoC с интегрированной поддержкой сетей 5G под названием Kirin 990, которая будет использоваться в смартфонах серии Mate 30. Анонс флагманских смартфонов Mate ...

Аналитика: ASML в 2019 году станет лидером рынка полупроводникового оборудования, обойдя Applied По данным The Information Network, впервые с 1990 года компания Applied Materials может потерять лидерство на рынке высокотехнологичного полупроводникового оборудования. «Applied Materials, которая в последние три года теряет свою долю на рынке оборудования для производства ...

Infineon приобретает компанию Cypress за 9 млрд евро Немецкая компания Infineon Technologies AG и американская Cypress Semiconductor Corporation объявили сегодня, что подписали окончательное соглашение, в соответствии с которым Infineon приобретет Cypress за 9,0 млрд евро. Покупатель рассчитывает за счет приобрете...

На одном из заводов Samsung по производству DRAM произошла авария Как стало известно накануне, несколько недель назад на одном из небольших заводов Samsung возникли проблемы с производством микросхем DRAM. Предприятие Giheung Plant находится в Южной Корее и занимается выпуском 200-мм полупроводниковых пластин по...

[Перевод] Как разрабатываются и производятся процессоры: изготовление чипа Это третья статья из серии о проектировании ЦП. В первой статье мы рассмотрели архитектуру компьютера и объяснили его работу на высоком уровне. Во второй статье говорилось о проектировании и реализации некоторых компонентов чипа. В третьей части мы узнаем, как архитектурные...

Двигатель РД-191 для ракеты «Ангара» подешевеет в полтора раза Пермское предприятие «Протон-ПМ» (входит в НПО «Энергомаш») рассчитывает снизить стоимость двигателя РД-191 в полтора раза после начала серийного производства. Об этом, как сообщает ТАСС, рассказал исполнительный директор «Протон-ПМ» Дмитрий Щенятский. Изображения НПО «Энерг...

В сети Киевстар растет количество М2М-карт для IoT Спрос на подключения M2M (Machine-to-Machine) со стороны бизнес-клиентов Киевстар стабильно растет, сообщили в компании. Их подключение можно считать первым этапом внедрения IoT (Internet-of-Things).

Maserati выпустит электрический спорткар Итальянская компания Maserati, входящая в группу Fiat Chrysler Automobiles (FCA), рассказала о планах по электрификации своих автомобилей премиум-класса. Сообщается, что все новые модели марки будут комплектоваться гибридной или полностью электрической силовой установкой. Пр...

На свет появился первый в своем роде лазерный радиопередатчик Группе исследователей из Гарвардского университета удалось передать в эфир аудиозапись одного из музыкальных произведений при помощи радиопередатчика, ключевым компонентом которого стал полупроводниковый лазер. Этот лазер используется в качестве источника радиочастотных волн...

В полупроводниковой отрасли снова усиливается M&A-активность Сумма полупроводниковых M&A-сделок в 2019-м уже превзошла результат за весь 2018 год и приблизилась к уровню 2017 года в 28,1 млрд долларов.

Полупроводниковая выручка Samsung и SK Hynix в 2019 году заметно просядет В таких условиях южнокорейские чипмейкеры задумаются о приостановке расширения своих полупроводниковых мощностей, считают эксперты.

Китай создаст производство неподконтрольной США электроники Как стало известно, правительство Китая создало фонд, финансируемый государством, на общую сумму 29 млрд долларов. Планируется, что эти деньги будут инвестированы в местную полупроводниковую промышленность, тем самым лишая китайских производителей зависимости от технологий С...

Облачное подразделение Amazon разработало новый серверный процессор Подразделение Amazon, занимающееся облачными вычислениями, разработало второе, более мощное поколение процессоров для центров обработки данных. Об этом Reuters сообщили два источника, которые знакомы с вопросом. Эта информация подтверждает, что Amazon вкладывает деньги ...

Аналитики ожидают более чем двукратное падение квартальной прибыли Samsung Electronics Как известно, еще недавно Samsung из квартала в квартал устанавливала все новые рекорды по выручке и прибыли благодаря уверенному росту объемов продаж полупроводниковой продукции и повышению цен на нее. Но в конце прошлого года Samsung столкнулась с финансовыми трудностями и...

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Сделано в России: созданы передовые изоляционные материалы для современной электроники Холдинг «Росэлектроника», входящий в госкорпорацию Ростех, сообщил о разработке первых в нашей стране изоляционных материалов для современных электронных устройств. В настоящее время российские производители электронных устройств вынуждены закупать изоля...

RAMPS-FD V1 Rev A + DUE + Marlin 2 Вот и до меня добрался бутерброд из DUE и RAMPS-FD V1 Rev A. Начитавшись кучку постов про переделку существующего бутерброда в не существующий V2. И чуть было не изкурочил плату. Но, нужных силовых транзисторов у меня не было и идею с V2 решил оставить её авторам, пусть паяю...

TSMC считает, что без интеграции памяти в процессоры не обойтись В августе на церемонии открытия мероприятия Hot Chips 31 главе AMD Лизе Су (Lisa Su) выпала честь выступить с докладом и ответить на вопросы аудитории, но вторым приглашённым докладчиком высокого уровня стал вице-президент TSMC по разработкам Филипп Вон (Philip Wong), которы...

Полупроводниковая отрасль продолжает замедляться В апреле 2019-го глобальная выручка чипмейкеров упала на 14,6% относительно прошлогодних показателей, сообщает Ассоциацией полупроводниковой промышленности.

В этом году AUO планирует построить линию 6G по выпуску панелей OLED методом струйной печати По данным отраслевых источников, компания AU Optronics (AUO) планирует до конца 2019 года построить линию по выпуску панелей OLED методом струйной печати, рассчитанную на положки 6G. В текущем полугодии тайваньский производитель установит тестовую линию 3,5G. AUO разра...

USound увеличивает время автономной работы беспроводных наушников до 12 часов с помощью MEMS Австрийская компания USound получила дополнительный транш, увеличивший последний раунд финансирования до 30 млн долларов. По словам USound, дополнительные средства позволят ускорить разработку следующего поколения звуковых излучателей, в которых используется технология ...

FLIR выпускает свою первую неохлаждаемую камеру для обнаружения метана Компания FLIR Systems, специализирующаяся на разработке и производстве тепловизионных камер, компонентов и датчиков изображения, анонсировала выпуск камеры FLIR GF77. Это первая неохлаждаемую тепловизионная камера FLIR, предназначенная для обнаружения метана. Портативн...

Обзор и тестирование материнской платы ASUS ROG Maximus XI Formula Из всех модификаций выделяется именно Formula. Так исторически сложилось, что эти модели выпускаются с особенными радиаторами на транзисторах системы питания процессора. По сути это водоблок. Значит, материнская плата предназначена в первую очередь для любителей кастомных СЖ...

Blue Origin вытесняет российские двигатели из американской космической программы Американская космическая компания Blue Origin, принадлежащая миллиардеру и владельцу торговой сети Amazon Джеффри Безосу, вложила двести миллионов долларов в постройку завода по производству ракетных двигателей. Силовые установки с напечатанными на 3D-принтерах компонентами ...

Yangtze Memory организовала массовый выпуск 64-слойной памяти 3D NAND Китайская компания Yangtze Memory Technologies (YMTC) приступила к массовому производству 64-слойных микрочипов флеш-памяти TLC 3D NAND. Об этом сообщает ресурс Digitimes, ссылаясь на отраслевые источники. Речь идёт об изделиях ёмкостью 256 Гбит. Упомянутая технология TLC пр...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Гигантские микросхемы Cerebras Wafer Scale Engine будут использоваться в лабораториях Министерства энергетики США Месяц назад мы писали про Cerebras Wafer Scale Engine — самую большую в мире микросхему. Напомним, эта микросхема имеет размеры, сопоставимые с iPad Pro, состоит из 1,2 трлн транзисторов и потребляет 15 кВт мощности. Несмотря на столь уникальные особенности проду...

Intel построит в Израиле новый полупроводниковый завод Об очередном крупном вложении американского чипмейкера в стране сообщил министр финансов Израиля Моше Калон.

Ученые и главы технологический корпораций считают вывод промышленных предприятий в космосе реальностью Что, если лучший способ защиты нашей планеты от влияния цивилизации заключается в том, чтобы покинуть Землю? По крайней мере, той части инфраструктуры, созданной человеком, которая загрязняет окружающую среду. Речь идет о промышленном производстве. Покинуть Землю не значит...

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

Релейные стабилизаторы напряжения Sven: VR-L1500, VR-A1000 и AVR SLIM-2000 LCD с разными возможностями Младший из образцов имеет меньшее количество ступеней регулировки, то есть способен поддерживать близкое к номинальному напряжение на выходе при меньших изменениях на входе, прежде всего в «минус», зато у него отличная перегрузочная способность. Два других образца хорошо под...

«Ростелеком» может приобрести одного из крупнейших операторов дата-центров Спрос на облачные технологии увеличивается из-за роста объемов данных, которые нужно обрабатывать и хранить, полагают эксперты. «Ростелеком» может купить оператора дата-центров DataLine, сообщает «Коммерсантъ». Между тем в ...

Российские сотовые операторы предлагают включить в электронные паспорта Mobile ID Крупнейшие сотовые операторы предложили дополнить внедрение в России электронных паспортов технологией Mobile ID. Предполагается, что она позволит абонентам идентифицироваться через сим-карту, в том числе в привязанном к паспорту мобильном приложении и сервисах госуслуг....

В России разработан проект стандарта протокола LoRaWAN для рынка интернета вещей Документ разработан при участии РВК и Ассоциации участников рынка интернета вещей (АИВ) и в настоящий момент выносится на публичное обсуждение.Разработанный стандарт определяет сетевой протокол и системную архитектуру сети LoRaWAN (Long Range Wide Area Networks), оптимизиро...

Архитектура Zen 3 и 7nm+ техпроцесс EUV увеличат плотность транзисторов на 20% Уже в следующем году AMD перейдёт на архитектуру Zen 3 и более совершенный техпроцесс 7nm+ с литографией в жёстком ультрафиолете (EUV). И сегодня нам удалось узнать, чего стоит ждать от этого перехода. Подробнее об этом читайте на THG.ru.

Softline: спрос на облачные технологии у российских компаний растет Российский рынок облачных услуг обладает колоссальным потенциалом роста, хотя и все еще отстает от мирового.

Машины-монстры: Cerebras - самый большой в мире процессор, предназначенный для искусственного интеллекта Молодая компания Cerebras из Сан-Диего, Калифорния, США, представила то, что смело можно назвать самым большим в мире полупроводниковым устройством. Этот огромный процессор, площадь которого составляет 46 225 квадратных миллиметров, содержит 400 тысяч вычислительных ядер, из...

Продажи электромобиля Volkswagen e-Golf превысили рубеж в 100 000 штук Volkswagen поставила на рынок 100-тысячный электромобиль e-Golf спустя более чем пять лет после его запуска в массовое производство. Выпускаемый на заводе компании в Дрездене (Германия) полностью электрический e-Golf является одним из самых популярных электромобилей в Европе...

Тайвань сохраняет лидерство с точки зрения полупроводниковых мощностей В то же время Китай демонстрирует самый значительный рост и по производительности своих полупроводниковых предприятий почти догнал Северную Америку, сообщает IC Insights.

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Боб Сван: Мы подводим клиентов, они вправе ожидать от нас большего Неожиданный и продолжительный дефицит процессоров Intel смазал результаты рекордного квартала. Доходы Intel в третьем квартале, наверное, оказались рекордными, но руководству компании на подведении итогов большую часть времени пришлось извиняться. Да-да, именно извинят...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

В России создадут электронно-лучевой 3D-принтер Основными преимуществами электронно-лучевого принтера для печати металлическими порошками, разрабатываемого НПП "Торий" холдинга "Росэлектроника", являются большая скорость и точность работы, а также низкая чувствительность к качеству порошков. Кроме того...

Начато производство новой Skoda Octavia Skoda Компания Skoda сообщила о старте производства Octavia нового поколения в городе Млада-Болеслава, Чехия. На данный момент собирается лишь универсал, однако уже в следующем месяце компания запустит сборку и лифтбека. Skoda рассчитывает, что предприятие в Млада-Болес...

«Рывок суперкара»: Нюансы нового Volkswagen Tiguan назвал владелец Автомобилист озвучил некоторые недостатки и преимущества «немца» в версии с турбированным мотором 1.4 TSI отдачей 150 л.с., который сочетается с трансмиссией DSG. Владелец нового Volkswagen Tiguan назвал нюансы машины на своем YouTube-канале «Алексей Атмайкин». Кроссовер им...

Apple установит солнечные батареи на крыше тайванской пивоварни Завод по производству пива и известного соевого соуса перейдет на альтернативную энергию. Компания Apple Inc. совместно с пивоварней Wan Ja Shan Brewery Co. разрабатывает проект по оснащению крыши завода солнечными батареями. Площадь предприятия составляет 50 000 квадр...

«МегаФон» ускорит Интернет вещей в пять раз «МегаФон» объявил о внедрении новой технологии, которая позволит пятикратно увеличить скорость передачи данных в сети Интернета вещей (IoT). Речь идёт об использовании стандарта NB-IoT Cat-NB2. Напомним, что NB-IoT (Narrow-band IoT) — это платформа для узкополосного Интернет...

«Ростелеком» может стать монополистом на рынке дата-центров Госкомпания «Ростелеком» рассматривает возможность покупки второй по размеру российской компании на рынке центров обработки данных. Речь идет о DataLine, стоимость этой компании оценивается в $500-600 млн без учета долга. Об этом сообщает «Коммерсант». Если сделка будет ре...

Ionity справляется с графиком установки зарядных станций для электромобилей в Европе Ionity, европейское совместное предприятие Volkswagen, BMW, Daimler и Ford, специализирующееся на зарядных станциях для электромобилей, успешно следует графику, которым предусмотрена установка в Европе к концу 2020 года 400 зарядок. На данный момент установлено 63 станц...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Электронная торговля в России собирается в альянсы Как пишет "КоммерсантЪ" со ссылкой на аналитиков, основной импульс сегмент получил от граждан, которые стали чаще покупать дешевые товары из Китая через AliExpress, Joom, Pandao и другие, но увеличили активность и покупатели локальных онлайн-ритейлеров: Wildberries...

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

Разработчик чипов для майнинга Innosilicon выходит на российский рынок Разработчик полупроводниковых чипов Innosilicon выходит на рынок РФ с линейкой майнингового оборудования. Innosilicon производит микрочипы для плат оперативной памяти, USB-контроллеров и других устройств, а также выпускает ASIC-чипы для майнинга BTC, ETH, LTC, ZEC и других к...

Новая статья: Обзор видеокарты Inno3D GeForce GTX 1660 Twin X2: выбор экономных Благодаря недавнему обновлению драйверов видеокарты GeForce 10-й и 16-й серии научились выполнять трассировку лучей в играх с поддержкой DXR. Если судить по нашим тестам, на GeForce GTX 1660 и GTX 1660 Ti (да и любых других моделях NVIDIA за исключением GeForce RTX и старших...

Toshiba выпускает свой первый электронный предохранитель eFuse Компания Toshiba объявила о выпуске своего первого электронного предохранителя eFuse. Говоря точнее, представлена серия интегральных схем TCKE8xx, включающая шесть изделий, поддерживающих различные функции, необходимые для защиты цепей питания. Поставки двух из них уже ...

Российским чипам вернут затраты Об этом сообщил журналистам 21 августа директор департамента радиоэлектронной промышленности Минпромторга Василий Шпак, пишет "КоммерсантЪ". Сейчас бюджетные ассигнования по субсидиям утверждены в законе о бюджете на 2019-2021 годы в рамках госпрограммы "Разви...

Продолжаю ковырять прошивку Anycubic Photon S Выкинул нафиг китайский язык, оставил только английский и русский.Почти реализовал еще одну фишку - настройку мощности засветки:Почти - потому что там нужно еще пару мелких моментов подправить.Но еще нужна и небольшая модификация железа. Если на материнской плате принтера уж...

В 2018 году рынок чипов вырос на 12,5% Несмотря на замедление, память осталась крупнейшей полупроводниковой категорией, обеспечившей 34,3% выручки, сообщили аналитики Gartner.

В России появятся электронные трудовые книжки "Развитие цифровой экономики, законодательное обеспечение внедрения современных технологий в современную жизнь - один из приоритетов работы Государственной Думы. Принятые сегодня поправки, с одной стороны, избавят бизнес от излишних трудозатрат, а с другой - сведут к ми...

«РУССОФТ»: софтверная отрасль растет стабильно в нестабильном мире Совокупный оборот предприятий софтверной отрасли России вырос по итогам 2018 г. на 19%, достигнув ₽990 млрд. Согласно расчетам, сделанным в рамках предыдущего исследования «РУССОФТ», рост ожидался выше — 25%, что ...

Специалистами Covestro созданы удобные для ношения электронные пластыри Компания Covestro разработала специальные воздухопроницаемые термопластичные полиуретановые пленки, позволяющие использовать процесс рулонного производства для изготовления носимых электронных пластырей, которые в настоящее время используются во многих областях медицины...

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

Новый водородный автомобиль Toyota Mirai удивляет внешностью Корпорация Toyota приоткрыла завесу тайны над автомобилем Mirai следующего поколения: новинка, использующая силовую установку на водородных топливных элементах, будет показана на предстоящем автосалоне 2019 Tokyo Motor Show. Новинка получила полностью переработанный дизайн. ...

Рынок Интернета вещей для бизнеса уверенно растет Энергетические предприятия будут самыми крупными потребителями IoT-оборудования.

Дополнительный БП под нагрев стола Анета Последнее время использую ABS.  Ну, чего-то поперло у меня с ним: и выходит хорошо, да и нравится он мне. А вот, как стол нагревается не нравилось! Повысил я напряжение на штатном БП до14В и получил маленькое счастие с нагревом стола  до 110* за 11минут, что и не п...

Спрос на антибактериальные ультрафиолетовые светодиоды быстро растет Специалисты аналитической компании TrendForce изучили ситуацию на рынке ультрафиолетовых светодиодов, применяемых для очистки воды и стерилизации (UV-C, длины волн 100–280 нм). В минувшем году рынок вырос меньше, чем ожидалось, но в целом рост является устойчивым....

TSMC стала самой дорогой компанией Азии, обойдя Samsung У тайваньской полупроводниковой кузницы TSMC дела идут отлично: в настоящее время компания является безоговорочным лидером производства чипов, технологически обходя даже Intel, которая годами обладала передовыми мощностями. Неудивительно, что TSMC недавно стала крупнейшей и ...

Cyclone приходит на смену Swift (в Apple A7) Фил Шиллер назвал 64-битный процессор внутри Apple A7 “процессором настольного класса”, чуть позже ему возразил его коллега из Qualcomm, назвавший 64-битный процессор для мобильных устройств маркетинговым трюком (ему пришлось взять свои слова обратно), скандал – значит, App...

Технология mini-LED придет на автомобильный рынок в этом году Экраны на миниатюрных светодиодах (mini-LED), предназначенные для использования в автомобилях, появятся на рынке уже в этом году. Таково мнение отраслевых источников. Ожидается, что панели mini-LED найдут применение в экранах с проекцией на лобовое стекло, приборных пан...

Samsung Display попытается вернуться на рынок телевизионных панелей OLED По данным Digitimes Research, компания Samsung Display попытается вернуться на рынок телевизионных панелей OLED, наладив выпуск панелей QD-OLED, в которых технология органических светодиодов объединена с технологией квантовых точек. Эти панели позволять материнской комп...

Трёхфазные ИБП как ключевой элемент силовой инфраструктуры: специфика российского рынка Динамика российского рынка источников бесперебойного питания (ИБП) за несколько последних кварталов не слишком впечатляет. Как свидетельствует ITResearch, по итогам 2018 г. этот рынок оставался стабильным в пределах ...

В этом году HiSilicon может сместить MediaTek с позиции крупнейшего азиатского разработчика микросхем HiSilicon, дочерняя компания Huawei, специализирующаяся на разработке микросхем, может в текущем году стать крупнейшим азиатским поставщиком этой продукции, сместив с позиции лидера тайваньскую компанию MediaTek. Этот прогноз основан на планах китайской компании, предус...

Россияне покупают 100 тысяч устройств для умного дома в месяц По данным аналитиков GfK, спрос на решения для дома, основанные на технологиях искусственного интеллекта, растет высокими темпами. Так, только в крупнейших европейских странах по итогам прошлого года объем продаж smart-устройств для дома достиг €2,5 млрд. При это год к году ...

Названа разновидность Linux, которую будут устанавливать на устройства Huawei Российская группа компаний Astra Linux заключила с Huawei соглашение о разработке совместных комплексных решений на территории России. Поддерживаемая данной группой компаний операционная система Astra Linux используется российскими силовыми ведомствами, спецслужбами и госуда...

Создана «умная» система хранения для торговых сетей Система, разработанная АО "ИМЦ Концерна Вега" (входит в "Росэлектронику"), работает на основе технологии радиочастотной идентификации, считывая данные RFID-меток, нанесенных на товары. Она универсальна, что позволяет сделать "умным" практически ...

Hyundai планирует расширить применение неметаллических материалов Компания Hyundai Motor объявила о подписании соглашения о сотрудничестве с нефтяной компанией Саудовской Аравии Saudi Aramco. Работы будут вестись по нескольким направлениям. В частности, договор предусматривает развитие экосистемы транспорта с водородными силовыми установка...

Microsoft и Sony хотят стать стратегическими партнерами Компании Sony и Microsoft объявили о намерении сотрудничать в вопросах использования облачных решений для игр и искусственного интеллекта. Они уже подписали меморандум о взаимопонимании, в котором сказано, что обе стороны будут изучать возможность совместной разработки ...

Агентство NASA определилось с выбором первого подрядчика для строительства лунной станции Сетевые источники сообщают о том, что американское космическое агентство NASA выбрало первого подрядчика, участвующего в строительстве космической станции Lunar Gateway, которая должна появиться в будущем вблизи Луны. Разработкой силовой установки и некоторых других элементо...

GlobalFoundries и ARM спроектировали тестовый чип с объёмной упаковкой Компания GlobalFoundries отказалась от гонки за техпроцессами и замерла на отметке 12 нм, но это не означает, что она не будет внедрять передовые технологии объёмной упаковки чипов. За счёт 3D-компоновок даже старый техпроцесс можно использовать таким образом, что результиру...

20 лет назад вышел первый в мире GPU — GeForce 256 Два дня назад, 11 октября, исполнилось 20 лет с момента запуска на рынок видеокарты GeForce 256, которая, кроме прочего, стала первенцем семейства GeForce. Данный 3D-ускоритель был представлен 31 августа 1999 года, а в продажу поступил 11 октября того же года. Nvid...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Монитор Aorus CV27Q оснащен вогнутым экраном со временем отклика 1 мс и частотой обновления 165 Гц Компания Gigabyte Technology сообщила о выпуске монитора Aorus CV27Q, который она называет вогнутым монитором с «настоящими 1500 мм» радиуса кривизны и «первым в мире тактическим монитором» с технологией Black Equalizer 2.0. Эта технология индиви...

В нанопроцессорах транзисторы могут быть заменены магнитными вентилями Группа исследователей из Института Пауля Шеррера (Филлиген, Швейцария) и Швейцарской высшей технической школы Цюриха исследовала и подтвердила работу интересного феномена магнетизма на атомарном уровне. Нетипичное поведение магнитов на уровне нанометровых кластеров предсказа...

Samsung сокращает отставание от Intel на глобальном рынке полупроводниковой продукции Специалисты IHS Markit опубликовали результаты собственного исследования мирового рынка полупроводниковой продукции по итогам второго квартала 2019 года. В отчёте компании говорится о том, что лидирующую позицию в данном направлении сохраняет компания Intel. Однако расположи...

Эффективное сжигание жира: кардио или силовые тренировки? Стандартным считается ответ, что кардио способствует сжиганию лишнего жира, а силовые нагрузки — набору мышечной массы. Давайте разберемся, какой вид тренинга больше помогает в похудении. Читать полную статью

Sony инвестирует в производство датчиков изображения еще 918 млн долларов Компания Sony намерена выделить средства на новую полупроводниковую фабрику в префектуре Нагасаки. Предприятие будет выпускать датчики изображения типа CMOS, используемые в камерах смартфонов. Производитель заложил инвестиции в размере 918 млн долларов в проект бюджета...

Энергопотребление базовых станций 5G производства Huawei на 20% ниже среднего по отрасли Председатель совета директоров Huawei Лян Хуа (Liang Hua) недавно привлек внимание к одному из достоинств базовых станций 5G, которые выпускает китайский телекоммуникационный гигант. Речь идет о высокой энергетической эффективности. Сети 5G по пропускной способн...

Azure tech lab, 11 апреля в Москве 11 апреля 2019 года Состоится Технологическая Лаборатория Azure — ключевое мероприятие по Azure этой весной. Облачные технологии последнее время вызывают все больше внимания. То, что Azure один из лидеров на рынке провайдеров облачных услуг – ни у кого не вызывает сомнения....

Innovate Cloud Technology: облако с защитой от катастроф Рынок облачных сервисов быстро растет как в мире, так и в России. Все больше компаний переносят свои приложения и данные, в том числе и критичные для бизнеса, в облачную среду. Как утверждают маркетологи, это позволяет бизнесу использовать самые современные инновационные обл...

EK Water Blocks представила алюминиевый водоблок полного покрытия для GeForce RTX Два года назад компания EK Water Blocks представила серию бюджетных комплектов для самостоятельной сборки систем жидкостного охлаждения под названием EK Fluid Gaming, ключевой особенностью которых является использование алюминия не только в радиаторах, но и в водоблоках. И т...

Израильтяне гарантируют взлом любого популярного смартфона Издание Wired называет такую маркетинговую политику новым шагом в извечном соперничестве между создателями электронных устройств и организациями, спонсируемыми или сотрудничающими с силовыми ведомствами. Ранее в публичном доступе таких смелых и безапелляционных заявлений не ...

СВД получит преемника через два года Как сообщил в интервью РИА Новости главный конструктор концерна «Калашников» Сергей Уржумцев, опытно-конструкторские работы по тактико-техническим заданиям российских силовых структур начались в 2017 году. Снайперская винтовка Драгунова калибра 7,62 миллиметра должна получит...

Компания Amazon Web Services поддержала проект Rust Компания Amazon Web Services проспонсирует проект Rust, который занимается развитием одноименного языка программирования. Инженеры смогут бесплатно использовать ресурсы облачной платформы, чтобы поставлять компоненты компилятора, создавать загрузочные контейнеры и автоматизи...

Координатное устройство для рисования жидкостями Как-то на праздник мне нужно было купить торт. Смотрю, лежит торт с надписью «Ленинградский», однако глазомер кондитера немножко подвел, и последние буквы уже не вместились – получилось что-то вроде «Ленинградскш». Вот, думаю, использовали бы для нанесения надписей какую-ниб...

Никель для нефти Ученые малого инновационного предприятия «Технологии специальной металлургии» на базе НИТУ «МИСиС» создали по заказу ПАО «ОДК-Сатурн» уникальный сплав, сочетающий два взаимоисключающих свойства: коррозионностойкость и высокую жаропрочность. Новый сплав будет использоваться д...

Из феодализма в коммунизм. Шилд для Клиппера. От современной материнки хочется цветной экранчик, камеру, вэб морду и т.д. И чтобы при этом еще и принтером успевала рулить. Все эти хотелки перекрываются симпатичной платкой за совсем не страшные 15$ - Оранж Пи зеро. На которой работает Клиппер. Осталось только сделать шил...

При производстве SoC Kirin для смартфонов Huawei на заводе TSMC возникли проблемы Компания Taiwan Semiconductor Manufacturing Co. (TSMC) является крупным производителем полупроводниковой продукции, в частности, однокристальных систем для Huawei, Apple, Qualcomm, MediaTek и других компаний. Вот уже второй раз за последние несколько месяцев на произво...

IDC прогнозирует, что рынок полупроводниковой продукции в 2019 году сократится на 7,2% После трех лет последовательного роста рынок полупроводниковой продукции в этом году сократится. Такой прогноз дают специалисты аналитической компании IDC. По их оценке, рост на 13,2%, зафиксированный в прошлом году, в 2019 году сменится сокращением на 7,2%. Если этот ...

E Ink скоро покажет новую технологию печати на электронной бумаге Компания E Ink Holdings, специализирующаяся на электрофоретических дисплеях, сообщила о намерении продемонстрировать выставке Touch Taiwan, которая пройдет 28-30 августа в выставочном центре Nangang в Тайбэе, Тайвань, технологию JustPrintIt. JustPrintIt — это пер...

Micron выпускает промышленную карту microSDXC емкостью 1 ТБ Компания Micron Technology представила карту памяти i300 формата microSDXC объемом 1 ТБ. По словам производителя, этот сменный носитель предназначен для систем видеонаблюдения и других промышленных применений. В новой карте памяти используется 96-слойная флеш-память 3D ...

Seagate рассчитывает удешевить и ускорить производство HDD с помощью HPE, Nvidia и искусственного интеллекта Компания Seagate объявила о сотрудничестве с HPE и Nvidia в разработке новой производственной платформы Project Athena, в которой будет использоваться искусственный интеллект. Ожидается, что новая платформа позволит сократить инвестиции в чистые помещения на 20%, а врем...

Huawei начала продажи своих 4G-чипов сторонним производителям Компания HiSilicon, являющаяся дочерним предприятием Huawei, объявила о начале продаж 4G-чипов собственной разработки Balong 711. Такое решение объясняется тем, что спрос на решения с поддержкой Интернета продолжает расти. Согласно официальному заявлению, которое было опубли...

Arm Musca-S1 — необычная тестовая микросхема для IoT Компания Arm в сотрудничестве с Samsung Foundry, Cadence и Sondrel продемонстрировала тестовую микросхему Musca-S1 для устройств интернета вещей, изготовленную на мощностях Samsung по 28-нанометровому техпроцессу FD-SOI. Это первая микросхема такого рода, оснащенная вст...

Представлен крупнейший в мире чип Cerebras Wafer Scale Engine и первый, состоящий из триллиона транзисторов Компьютерные чипы в нашем понимании имеют крошечные размеры, но чип, созданный Cerebras Systems, ломает все стереотипы. Эта компания представила самый большой в мире чип Cerebras Wafer Scale Engine для искусственного интеллекта, который состоит из 1,2 трлн транзисторов. Крис...

Сталь XXI века Сталь — это сплав железа с углеродом и другими химическими элементами, придающими металлу требуемые физико-механические характеристики. Сталь научились производить еще в древности: самые ранние образцы были найдены японскими археологами во время раскопок кургана Каман-Калехо...

Качественная химчистка ковров в Москве Самостоятельно очистить ковер или мягкую мебель в домашних условиях очень сложно и практически невозможно, так как для каждого вида коврового изделия необходимо использовать специальное чистящее средство, пятновыводители и проводить качественную сушку. Лучше доверить данный ...

Jaguar показал 1020-сильный электросуперкар На дизайн суперкара будущего оказали своё влияние как гоночные машины прошлого (C-Type и D-Type), так и современная гоночная техника (I-Type 4 Formula E и I-Pace eTrophy). При этом дизайн сочетается с технологиями, поскольку у Vision Gran Turismo Coupe предусмотрено активное...

VW рассчитывает скоро превзойти Tesla на рынке электромобилей Компания Volkswagen (VW) к концу 2022 года планирует увеличить выпуск электромобилей примерно до 1 млн штук в год. Это позволит немецкому автомобилестроителю превзойти Tesla по объемам выпуска и сделать Китай ключевым полем битвы. Volkswagen готовит два китайских завода...

«ВымпелКом» консолидировал 100% контроль над платежным сервисом RURU Платежный сервис RURU позволяет осуществлять дистанционные платежи в пользу различных торгово-сервисных предприятий на основе технологий мобильной и электронной коммерции, электронных денег и банковских карт, и других современных технологий.

В МФТИ создали первый отечественный нейросетевой процессор Алгоритмы машинного обучения, основанные на глубоких нейронных сетях, сегодня широко используются для автоматического решения интеллектуальных задач в области распознавания изображений и человеческой речи, управления беспилотными автомобилями и многих других целей.Такие алго...

Телевизоры в России в 2018 году стали немного доступнее Группа "М.Видео-Эльдорадо" подвела итоги развития рынка телевизоров в 2018 году и за последние шесть лет. Начиная с 2013 года, когда появился широкий спрос на телевизоры с поддержкой стандарта DVB-T2, россияне приобрели порядка 40 млн телевизоров на сумму около 700...

Microsoft и Sony заключили соглашение по развитию ряда технологий Середина мая может войти в историю рынка высоких технологий. Компании Microsoft и Sony заключили партнёрское соглашение для взаимовыгодного развития своих ориентированных на потребителей развлекательных платформ. Это означает, что они совместно будут работать над облачными т...

На долю полупроводниковых изделий приходится не более 2% импорта товаров в США Но это ещё не значит, что влияние новых тарифов на экономику будет незначительным.

Samsung остаётся партнёром NVIDIA по выпуску полупроводниковых изделий И конкурентом по разработке мобильных процессоров.

Подразделение Huawei вошло в рейтинг 15 крупнейших поставщиков полупроводниковых изделий Надолго ли?

Скрытая настройка в ОС Windows 10 увеличивает в два раза скорость работы Ни одно современное электронное устройство не способно работать без установленной на него операционной системы, и у этого конечно же есть масса причин. В настоящее время самой новой и современной ОС на рынке является Windows 10, Сообщение Скрытая настройка в ОС Windows 10 у...

Спад на рынке памяти замедлит динамику в полупроводниковой отрасли в целом По прогнозам IC Insights, в 2019 году продажи DRAM и NAND flash сократятся на 24%, что приведет к нулевому росту на мировом рынке микросхем.

Эволюция человека разумного в человека мобильного Эволюция человека разумного в человека мобильного На фоне снижения интереса к классическим рекламным каналам спрос на мобильное информирование растет быстрыми темпами. Мобильное устройство становится паспортом, справочником, переводчиком и "другом". И чем дальше, тем "умног...

Sonos Move для фанатов технологий Компания Sonos, которая длительное время пользуется спросом на рынке и может похвастаться приличными показателями продаж, длительное время избегала рынок Bluetooth-динамиков и не выпускала свои модели в этом классе. Естественно, далеко не каждому нравится в 2019 году покупат...

GURU пластиковых изделий В современном производстве широко используется такой материал, как пластик. На самом деле, его открытие позволило во многом расширить возможности многих производителей и улучшить качество большинства изделий, а так же снизить на них цену. Заказать любое изделие из этого мат...

СМИ: Силовые структуры «ополчились» на владельца «Киевской площади» Не так давно российские силовики устроили проверки на столичных рынках «Садовод», «Москва» и «Фуд Сити». Напомним, что они принадлежат ГК «Киевская площадь», которой совместно владеют известный миллиардер азербайджанского происхождения Год Нисанов и его давний бизнес-партнер...

У Unisoc готов модем 5G Китайская компания Unisoc, занимающаяся разработкой микросхем, завершила разработку модема 5G. Компания Unisoc, принадлежащая компании Tsinghua Unigroup, не имеет собственного производства. Поэтому выпуск модема Unisoc Ivy 510 будет заказан у ​​TSMC. ...

Amazon и Google планируют выйти на энергетический рынок Судя по всему, две крупнейшие технологические компании мира планируют расширить свой бизнес за счет рынка электроэнергетики. Правда, сейчас речь идет не о выработке и продаже электричества, а о создании специализированных устройств и систем для контроля потребления энергии...

Потери в системе энергоснабжения научились снижать с помощью нейросетей Об этом ТАСС сообщили во вторник в пресс-службе СФУ.Парадоксально, но потери в трансформаторах, электродвигателях и линиях электропередачи возникают, в том числе, из-за работы энергосберегающего оборудования. В этой роли, к примеру, выступают так называемые частотноуправляем...

Nikkei: Panasonic больше не планирует дополнительных инвестиций в Tesla Gigafactory, но может передумать в случае успеха Model Y Как сообщает Nikkei Asian Review, на фоне опасений аналитиков Уолл-стрит, связанных с ослабеванием спроса на электромобили Tesla (оценка основана на результатах прошлого квартала), Tesla и Panasonic заморозили планы по дальнейшему расширению Gigafactory 1, крупнейшего в мире...

«Вертолеты России» начнут внедрять аддитивное производство деталей с 2020 года "В этом году мы должны завершить реинжиниринг около 30 деталей и конструкций, которые будут производиться с использованием аддитивных технологий. Нынешняя технология их изготовления различна - это литье, штамповка, механообработка. Необходимо будет перевести их на метод...

Samsung работает над новым чипом Exynos 9630 для смартфонов среднего уровня По сообщениям сетевых источников, следующей однокристальной системой среднего уровня южнокорейской компании Samsung станет Exynos 9630, которая в настоящее время находится на этапе разработки. Предположительно этот чип должен появиться в смартфонах Galaxy A51 и Galaxy A71. В...

Samsung поможет Intel справиться с дефицитом процессоров Компания Samsung Electronics согласилась поставлять Intel центральные процессоры для компьютеров, чтобы помочь решить проблему с их дефицитом. Об этом сегодня сообщили многие отраслевые источники. Как известно, компания Intel столкнулась с технологическими проблемами. ...

Создан самый тонкий оптический световод, толщина которого составляет всего три атома Разработчики современных оптических устройств всеми силами пытаются сделать эти устройства все меньшими и меньшими. Их конечной целью является создание интегрированных фотонных чипов, размеры которых будут сопоставимы с размерами обычных кремниевых полупроводниковых чипов, ч...

Группы «Альфа» ФСБ РФ и КГБ Беларуси объединились для борьбы с терроризмом По иронии судьбы, противником лучших спецподразделений двух стран оказались украинские олигархи. 3 сентября 2019 года, указом президента Белоруссии Александра Лукашенко, была полностью перекрыта граница с Украиной. Данное решение связано с попыткой перекрытия канала поставо...

Доля AMD в европейских продажах ПК растет Европейские покупатели ПК все чаще отдают предпочтение моделям на процессорах AMD. За последний год доля систем на процессорах AMD выросли с 7% до 12%. Отчасти это вызвано нехваткой процессоров Intel из-за производственных проблем, которые никак не может преодолеть эта ...

VinSmart активно расширяет производственные мощности, в том числе в России Вьетнамская компания VinSmart, поставляющая свою продукцию и в Россию, построила завод по сборке электроники Hoa Lac в Парке высоких технологий столичного Ханоя. Фабрика спроектирована в соответствии с технологическими стандартами 4.0. Двухэтажное здание располагает производ...

Производство автомобилей Lotus переносится в Китай В эксклюзивном материале источник утверждает, что Geely Holding Group — китайский владелец легендарной британской марки автомобилей Lotus — планирует начать производство спортивных автомобилей Lotus в Китае. Их будут собирать на новом заводе Geely стоимостью...

Intel ожидает переход на 7 нм через два года 10-нанометровая технология производства пала несмываемым пятном на репутацию Intel. В то время, как AMD производит свои процессоры на заводах TSMC по 7 нм нормам, Intel по-прежнему выпускает продукцию по 14 нм нормам.

Производители закладывают основу для повышения цен на DRAM По данным аналитиков TrendForce, контрактные цены на память DRAM для компьютеров в августе стабилизировались. Средняя цена модуля объемом 8 ГБ осталась неизменной, удержавшись на уровне 25,5 доллара. Точных данных за сентябрь пока нет, но большинство признаков указывает...

Обзор дискретных модулей усиления AMP7 и AMP8 для плееров iBasso Компания iBasso не останавливается на достигнутых результатах и продолжает выпускать один удачный усилитель за другим и, судя по новостям, в ход пошли нетривиальные решения. Пока вариант с микролампой NuTube находится в разработке, поговорим про модули с дискретными усилите...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

ИИ-технологии для дома оказывают всё большее влияние на жизнь пользователей Исследование, проведённое компанией GfK, говорит о том, что прикладные решения на основе искусственного интеллекта («AI со смыслом») удерживают лидерство среди самых влиятельных технотрендов с высоким потенциалом для роста и влияния на жизнь потребителя. Речь идёт о решениях...

Светодиодное освещение ― прошлый век, на очереди освещение лазерными диодами Всего четыре года назад Сюдзи Накамура (Shuji Nakamura) вместе с двумя другими японскими учёными получил Нобелевскую премию за технологию производства сверхъярких синих светодиодов. За это время светодиодное освещение стало массовым явлением. Фонарики, фары автомоби...

Что ещё известно о iPhone 11 Несколько месяцев остаётся до главного мероприятия Apple — осенней презентации новой линейки iPhone. Увы, в этом году инноваций нам ждать не стоит — практически все источники говорят о том, что линейка iPhone 11 получит минимум изменений. И с каждым днём таких с...

Samsung исследует новые полупроводниковые технологии Компания объявила о расширении своей ИИ-лаборатории в Канаде.

Компания Intel представила микросхему из 43,3 млрд транзисторов Компания Intel представила программируемую пользователем вентильную матрицу (FPGA) Stratix 10 GX 10M. Как утверждается, эта 14-нанометровая микросхема является самой большой FPGA в мире — в ней насчитывается 43,3 млрд транзисторов. Ранее рекордсменом по этому пока...

Ростех будет производить детали газотурбинных двигателей методом 3D-печати Освоение технологии производства крупногабаритных деталей для промышленных газотурбинных двигателей происходит на самарском предприятии ПАО "Кузнецов" (входит в ОДК). Технология заключается в послойном создании крупногабаритных корпусных деталей на станке 3D-печати...

Foxconn рассчитывает получить заказы Apple на дисплеи, в которых используется технология micro-LED В 2014 году компания Apple приобрела компанию LuxVue, специализирующуюся на создании дисплеев по технологии micro-LED. Полученные активы оказались хорошей отправной точкой для дальнейших разработок, судя хотя бы по тому, что за последние годы Apple подала несколько деся...

По данным SEMI, продажи полупроводникового оборудования в 2018 году достигли рекордного значения 64,5 млрд долларов Специалисты отраслевой ассоциации SEMI, объединяющей поставщиков, работающих в микроэлектронной промышленности, подсчитали, что 2018 году нового оборудования для производства полупроводниковой продукции было продано на 64,5 млрд долларов. Это значение стало рекордом, пр...

Китайский производитель отобрал у Samsung 11 % рынка гибких AMOLED С 2017 года, когда компания Samsung начала использовать в смартфонах гибкие (но тогда ещё не сгибающиеся) дисплеи AMOLED, ей принадлежал почти весь рынок подобных экранов. Точнее, если верить отчётам компании IHS Markit, то 96,5 % рынка гибких AMOLED. За прошедшее с тех пор ...

Xiaomi оставила множество смартфонов без прошивки MIUI 11 Спрос на смартфоны и другие электронные устройства стремительно растет по всему миру, в результате чего все больше и больше производителей выпускают подобные гаджеты на рынок, желая заработать максимум денег. Обычно так поступают бренды из Китая, Сообщение Xiaomi оставила м...

В России вышел планшет Lenovo Tab M7 по цене менее 6000 руб. Компания Lenovo представляет на российском рынке планшет Lenovo Tab M7 в металлическом корпусе, который впервые был показан на выставке IFA 2019 в Берлине. Он доступен в трех версиях: с модулями только Wi-Fi, Wi-Fi+3G или Wi-Fi+LTE, которые стоят от 5 990 руб., от 6 490...

AMD была основана ровно 50 лет назад со стартовым капиталом в $50 тысяч Полупроводниковая индустрия довольно молода, и многим крупным компаниям в ней всего пару десятков лет. Но есть и ветераны, которые отмечают полувековые юбилеи. К таковым относится Intel (которая отпраздновала 50 лет со дня основания в прошлом году) и её многолетний конкурент...

Ростех заключит первые контракты на поставку отечественной альтернативы Skype В числе потенциальных заказчиков – российские предприятия военно-промышленного комплекса, силовые ведомства, госпредприятия и госучреждения.

Ракеты «Союз-2» переходят на экологически чистое топливо Компания «Кузнецов» и НПО «Энергомаш» им. В.П. Глушко завершили опытные и приёмо-сдаточные испытания двигателей первой и второй ступеней ракет-носителей «Союз», работающих на новом топливе. Об этом сообщает государственная корпорация Ростех. Фотографии Роскосмоса Речь идёт о...

Представлен первый серийный электромобиль MINI Электромобиль MINI получил силовую установку от BMW iS3; она включает электромотор, способный выдавать 184 лошадиные силы и 270 Нм крутящего момента и аккумуляторный блок емкостью 32,6 киловатт-часа. Согласно разработчикам, Cooper SE на одной заряде может проехать примерно 2...

Открыто новое состояние вещества «Нашим исследованиям удалось выявить экспериментальные доказательства нового состояния вещества — топологической сверхпроводимости», рассказывает Джавад Шабани, доцент кафедры физики в Нью-Йоркском университете. «Этим новым топологическим состоянием можно манипулировать спос...

Volkswagen намерен потеснить Tesla на энергетическом рынке Немецкий автоконцерн Volkswagen объявил о создании отдельного подразделения, которое займется сопутствующими электромобилям технологиями — производством децентрализованных энергетических ВИЭ-систем, зарядных станций, коммерческих систем хранения электроэнергии и развитием ин...

Уже в будущем году носимая электроника может стать третьим по величине источником дохода Apple Компания Apple не раскрывает показатели продаж носимых электронных устройств, но источник сделал попытку оценить их, отталкиваясь от общедоступных данных. По его расчетам выходит, что доля носимой электроники в объем объеме продаж стабильно растет и по итогам текущего г...

Konica Minolta: рост и инновации В компании демонстрируют серьезные амбиции не только на рынке печати, но и в области видеоаналитики и дополненной реальности. «Все знают, что мы живем в состоянии хаоса – постоянных перемен, радикально меняющих правила игры. Любое незначительное событи...

Samsung Electronics получила сертификат ISO 26262 Концерн TÜV Rheinland Group подтвердил надежность полупроводниковых компонентов Samsung для автомобилей.

Bluetooth 5.1 принципиально улучшит позиционирование внутри помещений В новой версии 5.1 беспроводного энергоэффективного стандарта Bluetooth появится очень важная функция определения направления передачи сигнала. Это улучшит взаимодействие между устройствами и до некоторой степени позволит им видеть друг друга в пространстве. Когда речь заход...

Ростех представит на ЦИПР-2019 дорожные карты по развитию 5G в России Переход на новые стандарты связи в масштабах страны - это всегда большие трудозатраты и гигантские финансовые вложения. По данным аналитиков развитие сетей 5G в 2020-2027 гг. обойдется каждому сотовому оператору в России в 130-165 млрд руб. Финальные суммы зависят от того, н...

В России реализован первый автомобиль с электронным ПТС Реализацией машины - кроссовера Mazda CX-9 - занимался дилерский центр "Mazda РОЛЬФ Химки". Автомобиль сошел с конвейера дальневосточного завода "Мазда Соллерс Мануфэкчуринг Рус" и был передан дистрибьютору бренда, а затем официальному дилеру "Mazda ...

Цифровизация экономики выступит драйвером роста капитализации Cisco Ожидается, что в среднесрочной перспективе рост цифровой экономики продолжится ударными темпами. Главными драйверами для развития становятся технологии в области искусственного интеллекта, интернета вещей, дата-центров, облачных сервисов, технологии 5G, а также беспилотных а...

HP помогает СМБ развивать индустрию фотопечати в России "HP всегда стремится обеспечить своих пользователей передовым оборудованием, - отметил Павел Анохин, генеральный директор HP Inc. в России. - Мы производим не только ПК и принтеры для индивидуальных потребителей и корпоративного сегмента, но и предоставляем B2B заказ...

На рынке носимой электроники произошел рост на 55% На гаджеты, предназначенные для ношения на запястье, пришлась большая часть поставок, но сильнее всего растут продажи наушников.

За точкой бесконечности. Цифровая эпоха В качестве эпиграфа я бы хотел вспомнить слова американского физика и популяризатора науки Лоуренса Краусса: «Физики не любят бесконечности. Математики их обожают, а физики просто ненавидят. Потому что, если есть бесконечность, ничего невозможно предсказать». К 2050-м го...

LG Display сократит четверть руководящего и офисного персонала В пятницу южнокорейская компания LG Display сообщила о предстоящем масштабном разовом сокращении персонала. «Перегруппировке и оптимизации» подверглись руководящие и деловые кадры ― инструменты по принятию и проведению решений в жизнь производителя. Работы в компании лишится...

Рунет принудительно переведут на российское шифрование В тексте поправок, уже подписанном главой комитета Госдумы Леонидом Левиным, говорится следующее: "Распространение или предоставление информации в электронном виде осуществляется с использованием кодирования такой информации. Правительство Российской Федерации устанавли...

Играйте на деньги и выигрывайте в онлайн казино С момента появления первых азартных автоматов прошло более ста лет, а их популярность не ослабевает. Для многих жителей нашей родины игра в слот-машины остается развлечением, а для некоторых это возможность подзаработать. Раньше для этого нужно было проводить много времени в...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Бельгийский разработчик прокладывает путь к «однокристальным» блокам питания Мы не раз отмечали, что блоки питания становятся «нашим всем». Мобильная электроника, электромобили, Интернет вещей, накопление электроэнергии и многое другое выводит процесс питания и преобразования напряжения на первые по значимости позиции в электронике. Значительно увели...

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

Представлена системная плата ASRock X570 Aqua Некоторое время назад компания ASRock, наконец, объявила о выпуске системной платы X570 AQUA, показанной еще весной. Напомним, уникальной особенностью X570 AQUA является полностью жидкостное охлаждение — медный водоблок AQUA Cooling Armor покрывает процессор, чипс...

Доля Honor на рынке смартфонов России стремительно растет Вчера был представлен смартфон Honor V20, оснащенный тройной камеры с 48-мегапиксельным датчиком изображения, поддержкой технологии Link Turb и режимом ПК. В ходе соответствующей пресс-конференции президент подразделения Honor Жао Минг (Zhao Ming) заявил, что бренд, осн...

DCIM – ключ к управлению ЦОД Согласно прогнозам аналитиков компании iKS-Consulting, к 2021 году рост числа серверных стоек у крупнейших провайдеров услуг ЦОД в России достигнет 49 тысяч. А их количество в мире, по данным Gartner, уже давно превысило 2,5 миллиона. Для современных предприятий ЦОД – ценней...

TSMC присматривается к 1-нанометровой технологии Компания Taiwan Semiconductor Manufacturing Company (TSMC), крупнейший контрактный производитель полупроводниковых микрочипов, рассказала о развитии технологических процессов.

Свет заменит электроны в компьютерах будущего Микроскопические оптические транзисторы, передающие данные при помощи света, сделают компьютеры и другие гаджеты более эффективными благодаря тому, что световые волны могут передавать информацию быстрее. Частицы света, называемые фотонами, проникают сквозь материалы, не взаи...

Американо-китайская торговая война остановит расширение производства кремниевых пластин Продолжающаяся американо-китайская торговая война может вынудить некоторых поставщиков кремниевых пластин приостановить реализацию планов расширения мощностей, поскольку из-за снижения спроса растет разрыв между спотовыми и контрактными ценами. Об этом сообщают пре...

Россия готова к переработке батарей электромобилей «Переработка такого типа аккумуляторов, как литий-ионные батареи, повсеместно применяемых в мобильных телефонах, радиоуправляемой технике, а также в электромобилях, не представляет проблемы для отечественной промышленности. Современные способы переработки с применением молот...

По итогам года Intel вернёт себе статус крупнейшего поставщика полупроводниковых изделий Эхо «криптопохмелья» до сих пор отравляет жизнь NVIDIA.

Микроархитектура AMD Zen 3 обеспечит заметный прирост производительности В своем недавнем выступлении на конференции SC19 компания AMD рассказала о своей грядущей процессорной микроархитектуре Zen 3. Отмечается, что она разработана для улучшенного 7-нанометрового производственного процесса с использованием фотолитографии в глубоком ультрафиолете,...

Kirin 990 5G – флагманский процессор Huawei с интегрированным чипом 5G, 10,3 млрд транзисторов и 16-ядерной графикой Глава Huawei Consumer Business Group представил в рамках выставки IFA 2019 новый флагманский процессор, получивший название Kirin 990 5G. Это 7-нанометровая система на чипе, которая впервые в истории Huawei включает в себя модем 5G с работой в сетях NSA и SA, 10,3 миллиарда ...

[Перевод] Специализированные чипы не спасут нас от «тупика ускорителей» Усовершенствования в скорости работы ЦП замедляются, и мы наблюдаем, как полупроводниковая индустрия переходит на карточки ускорителей, чтобы результаты продолжали заметно улучшаться. Больше всего выгоды от этого перехода получила Nvidia, однако, это часть одной и той же т...

Xiaomi добавила во все телевизоры Mi TV потрясающую функцию Как известно, китайская корпорация Xiaomi выпускает на рынок далеко не одни только смартфоны и ноутбуки, но и очень многие другие электронные устройства. Сегодня, 10 августа 2019 года, данный производитель электроники сообщил о том, что компания-производитель Сообщение Xiao...

Рынок полупроводников обновил рекорд по выручке В 2018 году продажи выросли на 13,7%, преодолев очередной максимум, а поставки чипов впервые превысили 1 трлн штук, сообщила Ассоциация полупроводниковой промышленности.

MPPT контроллер заряда на STM32F334C8T6 В комментариях под моими предыдущими статьями неоднократно возникал вполне резонный вопрос: "Зачем делать dc/dc преобразователи на микроконтроллере, когда есть готовые?" и я в качестве ответа постоянно упоминал, как наиболее яркий пример, контроллер заряда с алгоритмом MPPT....

ASUS покидает рынок планшетов Как сообщают осведомлённые источники, компания ASUS приняла решение прекратить выпуск планшетов. Это довольно удивительно, поскольку компания считала одним из ключевых игроков на рынке планшетов, когда речь заходит об устройствах со сравнительно доступной ценой. Согласно име...

Volvo и Geely займутся совместной разработкой двигателей нового поколения Компании Volvo Cars и Geely объявили об объединении усилий для производства автомобильных силовых установок следующего поколения. Новое предприятие, как сообщается, сосредоточит усилия на создании двигателей внутреннего сгорания и гибридных силовых установок. Такие агрегаты ...

Яркие водоблоки EK-Quantum Vector Strix RTX D-RGB рассчитаны на видеокарты ROG Strix GeForce RTX Словенская компания EK Water Blocks, известный разработчик систем жидкостного охлаждения, анонсировала водоблоки EK-Quantum Vector Strix RTX D-RGB для графических ускорителей ASUS ROG Strix GeForce RTX 2080 и ROG Strix GeForce RTX 2080 Ti на архитектуре Turing. Новинки предс...

Когда ждать MacBook и iPad с OLED-экранами? На данный момент в линейке продуктов Apple есть лишь два типа устройств с OLED-матрицами — это iPhone и Apple Watch. Компания пока не внедрила экраны построенные на органических светодиодах в iPad и MacBook, однако эксперты уверены — это лишь вопрос времени. К п...

ЦОД ФСК ЕЭС оснастили комплексами с технологией непосредственного жидкостного охлаждения Российский разработчик, компания «Инпро Технолоджис» оснастила ЦОД ФСК ЕЭС вычислительно-коммуникационными комплексами с технологией непосредственного жидкостного охлаждения, благодаря которой возможно сократить эксплуатационные расходы на 30% по сравнению с традиционными ре...

Глава Qualcomm рассказал, какие устройства будут после смартфонов Умные очки не заменят смартфоны полностью, но будут выполнять часть их функций Прямо сейчас рынок смартфонов претерпевает серьёзные изменения. Большинство пользователей больше не интересуют флагманские аппараты, и они покупают устройства среднего ценового сегмента, которые в...

Евросоюз выделил 1,8 млрд евро на исследования интернета вещей и автомобилей с беспроводной связью 29 компаний со штаб-квартирами как в ЕС, так и за его пределами, примут непосредственное участие в 40 проектах. Они, в свою очередь, будут сотрудничать со сторонними исследовательскими организациями, а также малыми и средними предприятиями, находящимися в том числе за предел...

Строительство сборочного завода Tesla в Шанхае будет завершено в мае Сборочный завод компании Tesla в Шанхае по выпуску электромобилей, как ожидается, будет построен в мае этого года. Об этом сообщил в кулуарах ежегодного мероприятия в парламенте в Пекине представитель правительства Шанхая Чэнь Минбо (Chen Mingbo), возглавляющий городскую ком...

В Китае заработали линии по упаковке и тестированию 3D NAND и DRAM Три года назад мы стали свидетелями, как китайские компании начали нащупывать путь для доступа к технологиям производства флеш-памяти NAND. Прямой путь ― решить проблему большими деньгами ― ни к чему не привёл. Попытки китайского холдинга Tsinghua Unigroup купить до...

Huawei Mate 30 станет первым смартфоном с 7-нанометровой SoC Kirin 985 Источники утверждают, что первым смартфоном, который будет построен на базе однокристальной системы Huawei Kirin 985, станет флагман Huawei Mate 30. Ранее сообщалось, что однокристальная система Huawei Kirin 985 будет первой SoC для смартфонов, изготовленной с использов...

В 2018 году в России вырос спрос на телевизоры Согласно подсчётам группы «М.Видео–Эльдорадо», в 2018 году российский рынок телевизоров вернулся к докризисному уровню 2013 года с точки зрения объёмов продаж в денежном выражении. За прошедшие 12 месяцев россияне приобрели 6 млн устройств, потрати...

Xiaomi Mi Band 4 в течение нескольких дней можно получить бесплатно Спрос на разного рода носимую электронику стремительно растет по всему миру, а происходит это конечно же из-за того, что все больше людей находят такую интересной для себя и своих задач, которые она может помочь решать. Сообщение Xiaomi Mi Band 4 в течение нескольких дней м...

Samsung удалось сократить отставание от Intel в рейтинге крупнейших поставщиков полупроводниковых изделий Цены на память пока не способствуют реваншу.

На развитие квантовых технологий в России может быть потрачено более 43 млрд рублей Как стало известно "Коммерсату", 24 мая проект "дорожной карты" рассмотрит набсовет АНО "Цифровая экономика" (АНО ЦЭ), а затем правительство. Проект предполагает, что к 2024 году РФ станет значимым игроком на рынке квантовых технологий: по отдел...

В будущем году Tata Motors запустит электромобиль с новой трансмиссией Индийский автопроизводитель Tata Motors намерен в начале будущего года выпустить электромобиль, в котором будет использоваться новая технология трансмиссии. Об этом сегодня сообщил глава компании. Генеральный директор Tata Motors Гюнтер Бутчек (Guenter Butschek) считет,...

Роскосмос поддержит "Газпром" в строительстве СПКА Доли участников предполагается закрепить в специальном корпоративном договоре, который будет заключен позднее. Заместитель генерального директора по развитию бизнеса АО "Газпром космические системы" (ГКС) Игорь Кот сказал корреспонденту ComNews, что проектирование центра за...

«Росэлектроника» собирается создать первое отечественное производство СВЧ-переключателей для спутников Холдинг «Росэлектроника» сообщил о намерении запустить первое отечественное производство сверхвысокочастотных переключателей для искусственных спутников Земли. Проект, направленный на уменьшение зависимости от поставок зарубежной СВЧ-аппаратуры для космическ...

[Перевод] История транзистора, часть 3: многократное переизобретение Другие статьи цикла: История реле Метод «быстрой передачи сведений», или Зарождение реле Дальнописец Гальванизм Предприниматели А вот, наконец, и реле Говорящий телеграф Просто соединить Забытое поколение релейных компьютеров Электронная эра История электронных компьютер...

Foxconn перенесет производство iPhone из Китая в случае необходимости Проблемы Huawei — лишь вершина айсберга. От действий Трампа в итоге пострадают Arm, Google и вся американская индустрия Торговая война между США и Китаем продолжается. Однако помимо Huawei в итоге от этого могут пострадать многие американские компании, как уже от...

Porsche рассекретила свой первый электромобиль Porsche Taycan построен на новой фирменной платформе PPE (Premium Platform Electric), заточенной специально под электрические силовые установки. Седан стал не только первым в истории электрическим «Порше», но и первым в мире автомобилем с 800-вольтной бортовой электросистемо...

Для кого нужны курсы JavaScript, (JS) и где их пройти в Харькове В настоящее время компьютерные технологии развиваются как никогда стремительно. Поэтому не удивительно, что специалисты в сфере IT-технологий сегодня предельно востребованы. Множество разных компаний находятся в поиске специалистов данной сферы деятельности, которые в полной...

Рынок транспортной телематики в России растет на 8% в год Без учета вклада регуляторов рынка рынок транспортной телематики может вырасти до 10% за счет продаж коммерческого транспорта и существующих телематических сервисов.

Создано ультразвуковое устройство, чувствительность которого позволяет "услышать" движение отдельных молекул Ультразвуковые технологии широко используются людьми в течение нескольких десятилетий, обеспечивая неразрушающий контроль технологических процессов, позволяя медикам увидеть внутренние органы человека без необходимости хирургического вмешательства и т.п. Вполне естественно, ...

ОС Windows 10 установлена на 900 млн устройств В Microsoft официально сообщили о новом достижении. Операционная система Windows 10 в данный момент используется 900 млн устройств по всему миру. В компании напоминают, что поддержка Windows 7 в ближайшие месяцы закончится, а значит придется задуматься о переходе на более ...

Как ГКРЧ частоты делила На заседании во вторник, 22 октября, ГКРЧ отклонила заявку ГЛОНАСС-ТМ — совместного предприятия оператора системы "Платон" и ГЛОНАССа — на выделение ей новых частот. Выделить новые радиочастоты совместному предприятию структур Игоря Ротенберга, "Ростеха" и АО "ГЛОНАСС" попро...

Боевые «электрички». Минобороны хочет использовать в армии военные электромобили Источник сообщает, что Минобороны рассматривает вопрос создания электромобилей, которые будут использоваться для нужд армии. Прорабатывается вопрос использования в образцах вооружения гибридных силовых установок с электрическими трансмиссиями с использованием накопителе...

«Весенний документооборот» компании ЭОС: каким будет рынок электронного документооборота уже завтра С 22 по 26 апреля в Ереване и Тбилиси прошла традиционная конференция компании «Электронные Офисные Системы» (ЭОС) «Весенний документооборот». Несмотря на то, что ЭОС, лидер российского рынка систем автоматизации документооборота и управления корпоративным контентом, в свои ...

Специалисты SK hynix разработали память DRAM DDR4 плотностью 16 Гбит, рассчитанную на выпуск по нормам 1Z нм Компания SK hynix сообщила о разработке памяти DRAM DDR4 плотностью 16 Гбит, рассчитанной на выпуск по нормам 1Z нм. По словам производителя, это наибольшая плотность одного кристалла DDR4, а с учетом норм достигнут еще и рекордный объем в расчете на одну пластину. Как ...

В 2018 году продажи телевизоров в России вернулись к показателям докризисного 2013 года По данным издания «Коммерсантъ», которое ссылается на «М.Видео-Эльдорадо» и Samsung, в прошлом году продажи на российском рынке телевизоров выросли примерно на 14% по сравнению с 2017 и составили 150 млрд рублей. За 11 месяцев россияне купили 5,...

Услуги по трёхмерной компоновке TSMC сделает своим самым динамично растущим бизнесом Компания TSMC уже начала готовить почву для эволюционных изменений в полупроводниковой отрасли, которая вынуждена ради поддержания действия так называемого «закона Мура» повышать плотность размещения транзисторов за счёт использования трёхмерной компоновки. Приблизившись к п...

Быстрейшая в мире зарядка от OPPO станет еще быстрее Бесспорно, самой быстрой технологией для зарядки мобильных устройств на данный момент является SuperVOOC от компании OPPO. Как мы знаем, сейчас китайская компания занимается производством супербыстрого сверхзвукового зарядного устройства для автомобилей, которое также испол...

Samsung уже пришлось уступить Intel звание крупнейшего поставщика полупроводниковых изделий В показателях выручки – по итогам четвёртого квартала прошлого года.

ABB запустила блокчейн-систему для продажи солнечной энергии ABB реализует проект совместно с итальянской энергетической компанией Evolvere, партнёры разработали блокчейн-платформу Prosume. Она призвана обеспечить прозрачные и безопасные операции p2p-транзакции в энергетике, а также изучить роль блокчейна в области "умных" э...

Внедрение 5G вернет рынок чипов к росту в 2020 году Влияние этой технологии затронет все аспекты жизни общества и усилит экономическую активность, что, в свою очередь, простимулирует рост спроса на микросхемы, полагают в IHS.

Samsung готовится к переходу на новую технологию производства DRAM-памяти Переход на 16-нанометровые нормы ожидается в 2020 году, говорят аналитики Digitimes Research.

Xiaomi Mi Band 4 не работает в России Спрос на носимую электронику растет с каждым годом, а в 2018 году случилось так и вовсе невероятное. Исследование показало, что россияне стали покупать подобные устройства в три раза чаще, чем раньше. В нынешнем году ситуация Сообщение Xiaomi Mi Band 4 не работает в России ...

Новая статья: Микроархитектура Zen 2: вот почему мы ждём Ryzen 3000 Через две недели с небольшим нас, по всей видимости, ожидает чудо. Такой вывод можно сделать, если обобщить все те предположения, которые высказывают пользователи в ожидании предстоящего анонса процессоров Ryzen третьего поколения. Но даже самые смелые высказывания о том, бу...

Samsung продолжит инвестировать в производство ... Samsung среди тех компаний, кто активно переводит свои продукты на OLED-матрицы и инвестирует огромные деньги в развитие и наращивание производства таких панелей. На данный момент южнокорейский гигант доминирует на рынке экранов OLED и контролирует более 90% рынка дисплеев и...

Intel не будет выпускать 10-нм процессоры для настольных ПК В последние годы корпорация Intel выпускает свои десктопные процессоры по 14-нм технологическим нормам и, судя по всему, продолжит это делать ещё как минимум пару лет. Многострадальный 10-нм техпроцесс к настоящему моменту нашёл применение...

Globalfoundries и TSMC договорились Компании Globalfoundries и TSMC объявили, что отзывают все судебные иски друг к другу, включая те, которые касаются любых клиентов двух компаний. Крупнейшие производители полупроводниковой продукции договорились о широком взаимном лицензировании всех уже полученных во в...

Концевые муфты 1ПКВТ 1 кВ Для подключения одножильного силового кабеля к контактам оборудования, установленного в защищённом от внешних климатических воздействий помещении, используют кабельные муфты 1ПКВТ 1 кВ. Они оптимально подходят для оконцевания широко распространённых кабелей марок ВВГ, АВВГ, ...

3D-печать домов постепенно набирает обороты Строительство домов с использованием методов 3D-печати может показаться футуристическим, но эта технология постепенно набирает обороты. В качестве примера источник приводит молодую компанию haus.me, которая недавно запустила производство в штате Невада. Этот производите...

Xiaomi Mi Band 4 неожиданно для всех поступил в продажу За счет стремительного развития технологий производителям электроники с каждым годом удается создавать и выпускать на рынок все более интересные во всех отношениях электронные устройства. Главным двигателем технического прогресса является покупательский спрос, потому что бе...

Sony инвестирует 1 млрд долларов в полупроводниковый бизнес Компания построит новый завод по выпуску датчиков изображений, чего не делала пять лет.

KYOCERA: объём российского рынка устройств печати вырос до $630 млн Компания KYOCERA Document Solutions провела совместно с аналитическим агентством IDC пресс-конференцию, на которой были подведены итоги года и представлен анализ перспектив дальнейшего развития российского рынка офисной печати. Российский рынок устройств печати в 2018 году н...

Выручка TSMC в первом полугодии оказалась на 4,5% меньше, чем год назад Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, опубликовала отчет за июнь. Поскольку это одновременно последний месяц полугодия, появилась возможность оценить динамику изменения финансовых показателей TSMC за более протяженн...

Hot Chips 31: детали об Intel Nervana NNP-T или TSMC в помощь На конференции Hot Chips 31 компания Intel раскрыла ряд важнейших характеристик семейства ускорителей нейронных сетей Nervana NNP-T для машинного обучения (тренировки). Ускорители Nervana NNP-T, что важно знать для дальнейшего понимания, Intel разрабатывает вместе с китайски...

Sony сохраняет огромный открыв от конкурентов на рынке O-S-D Специалисты аналитической компании IC Insights опубликовали отчет за 2018 год, касающийся полупроводниковой продукции категории O-S-D (оптоэлектронные приборы, сенсоры и дискретные приборы). По подсчетам аналитиков, обозначенный рынок за год вырос на 9% и достиг 82,396...

Ford отказалась от выпуска легковых автомобилей в России Вице-премьер Дмитрий Козак подтвердил в интервью «Коммерсанту» появившиеся сообщения о том, что Ford отказалась от ведения самостоятельного бизнеса в России в связи с проблемами со сбытом продукции. По словам вице-премьера, компания сосредоточится на выпуске в России лёгких...

Стало известно, где Daimler возьмет аккумуляторы для увеличения выпуска электромобилей Компания Daimler договорилась о поставках литий-ионных аккумуляторов с китайско-американской компанией Farasis Energy. Farasis уже строит соответствующий завод в восточной Германии. Сделка поможет увеличить производство электромобилей Mercedes-Benz. Об этом сообщил Марк...

Panasonic начал поставки проектора PT-MZ16KE - лазерной копии легендарного по соотношению цена/яркость PT-EX16KE Ламповый проектор Panasonic PT-EX16KE имел яркость 16,000 лм, обладал уникально доступной ценой, был очень популярен и поставлялся 8(!) лет. Его преемниками стали новые лазерные модели PT-MZ16KE/MZ13KE/MZ10KE (16000/13000/10000 лм) Новый проектор Panasonic PT-MZ16KE позици...

Региональные проекты в сфере цифровых технологий получат до 1 млрд рублей Предприятия в регионах могут получить грант на доработку и внедрение проектов на базе "сквозных" цифровых технологий. К ним относят квантовые технологии, компоненты робототехники и сенсорику, нейротехнологии и искусственный интеллект, новые производственные техноло...

Консорциум российских компаний займется развитием экосистемы хранения данных Как сообщили в GS Group, стороны соглашения договорились о совместной реализации проектов по развитию отечественных продуктов и программно-аппаратных решений в области СХД и производству вычислительной техники, периферийного и телекоммуникационного оборудования для СХД на те...

Утилизация тепла дымовых газов: экология с выгодой В поисках способов повышения эффективности предприятий энергетического сектора, а также других промышленных объектов, на которых используется оборудование, сжигающее ископаемое топливо (паровые, водогрейные котлы, технологические печи и т.д.), вопрос использования потенциала...

В Китае ожидается бум дисплеев mini-LED Высококачественные дисплеи сверхвысокой плотности и больших размеров, состоящие из миниатюрных светодиодов, уже проходят тестирование. Отраслевые источники ожидает, что в 2020 году в Китае начнется быстрый рост спроса на панели mini-LED. По данным AVC Revo, спрос на св...

HUAWEI CLOUD обсудила с партнерами в России переход на цифровые технологии 21 марта в российской столице начала свою работу Партнерская конференция Huawei-2019. Российские клиенты и партнеры Huawei, а также отраслевые эксперты собрались вместе, чтобы обсудить возможности перехода на цифровые технологии. Технический директор подразделения HUAWEI CLO...

Пикап Hyundai запустят в производство в 2021 году Hyundai Впервые пикап Santa Cruz был представлен в начале 2015 года в виде концепт-кара. Сейчас же стало известно о срока начала производства серийной модели — как объявила Hyundai, машину начнут собирать в 2021 году, на заводе в Монтгомери, штат Алабама, США. Перед зап...

По прогнозу IDC, в этом году потребительские расходы на современные технологии достигнут 1,69 трлн долларов Специалисты аналитической компании IDC прогнозируют, что потребительские расходы на продукты современных технологий в 2019 году достигнут 1,69 трлн долларов, что на 5,3% превышает показатель 2018 года. Примерно три четверти всех потребительских расходов на технологии в...

Аналитики TrendForce назвали факторы, которые определят цены на DRAM и NAND в краткосрочной и долгосрочной перспективе Специалисты DRAMeXchange, подразделения компании TrendForce, отслеживающие ситуацию на рынке микросхем памяти типа DRAM и флеш-памяти типа NAND, недавно назвали факторы, которые будут определять цены на эту продукцию в краткосрочной и долгосрочной перспективе. Как утве...

Билайн запускает мобильную усиленную электронную подпись Усиленная квалифицированная электронная подпись эквивалентна собственноручной: с ее помощью подписываются миллионы юридически значимых электронных документов в различных отраслях, таких как электронные торги государственных и коммерческих компаний, налоговая отчетность через...

Tele2 запускает технологию eSIM для своих абонентов Сегодня мобильный оператор Tele2 впервые в России запускает технологию eSim. Инновация заключается в том, что пользователи смогут обходиться без SIM-карты — ее заменит интегрированный в устройство электронный чип. Преимущества технологии в большей независимости абонентов, ко...

Надежные электронные помощники для торговли Сегодня никто не станет отрицать, что виртуальные торговые центры – отличное средство, которое дает, с одной стороны, пользователям не тратить много времени на поиски и покупку нужного товара, с другой, владельцам интернет-магазина – наладить прибыльный бизнес без аренды пом...

Xiaomi Redmi 8 и Redmi Note 8 повергли всех в шок С каждым годом производителям электроники удается создавать и выпускать на рынок все более интересные во всех отношениях электронные устройства, а предлагают такие целую массу различных возможностей, список которых ежегодно расширяется. При этом активнее всего развиваются С...

Hisense A5 с E-Ink дисплеем Некоторое время назад производители смартфонов стали использовать технологию E-Ink в качестве второстепенного дисплея на мобильных устройствах. Однако вскоре оказалось, что это достаточно дорого, а большинству пользователей это просто не нужно. Пришлось от технологии отказат...

Китай впервые стал крупнейшим рынком для Samsung Electronics Как сообщает источник, впервые в истории Samsung Electronic крупнейшим для неё рынком стал Китай. На долю Поднебесной в прошлом году пришлось более 30% всех продаж, то есть почти треть. При этом Китай демонстрирует рост в продажах Samsung, а на США на том же фоне показ...

Foxconn сокращает производство Android-устройств Как сообщается, Foxconn реструктурирует свой бизнес, связанный с производством Android-смартфонов и вместо этого теперь будет больше внимания уделять автомобильному сегменту. В частности, неназванные источники, на которые ссылается Nikkei Asian Review, утверждают, что FIH M...

Гоночный электромобиль Volkswagen ID. R готовится к новым рекордам Гоночный автомобиль Volkswagen ID. R, оборудованный полностью электрической силовой установкой, готовится выполнить рекордный заезд на Северной петле Нюрбургринга (Nürburgring-Nordschleife). В прошлом году электрокар Volkswagen ID. R, напомним, установил сразу несколько реко...

В России выпустят киберзащищенную систему учета электроэнергии Специалистами компании создан технический облик аппаратуры, предусматривающий механизмы ее защиты от несанкционированного доступа, в том числе с целью изменения показаний счетчика. Презентация 3D-модели будущего прибора учета состоялась в рамках Петербургского международного...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

Запущен первый российский двухлазерный 3D-принтер Первый в России двухпорошковый двухлазерный 3D-принтер является продуктом совместной разработки Уральского электрохимического комбината, НПО «Центротех», НПО ЦНИИТМАШ и ещё нескольких предприятий и научных институтов госкорпорации «Росатом». Одним из ключевых направлений стр...

BMW X7 может стать водородным Испанский портал Motor со ссылкой на источники в BMW сообщает, что в компании планируют создать водородную версию флагманского кроссовера X7 будущего поколения. Вероятно, будет использоваться водородная силовая установкой, созданная BMW в сотрудничестве с компанией Toyota (р...

Компания Micron передала в производство первые микросхемы 128-слойной флеш-памяти 3D NAND с новой архитектурой ячеек По данным источника, компания Micron передала в производство первые микросхемы памяти 3D NAND четвертого поколения, в которых применена новая архитектура — RG (replacement gate), которая сменит используемую сейчас технологию плавающего затвора. В отличие от послед...

Флагманские смартфоны Huawei Mate 30 получат компактные системные платы, которые освободят больше места для аккумулятора, камеры и других компонентов Как пишет источник, компания Huawei выбрала технологию SLP для системных плат флагманских смартфонов Mate 30. Переход на SLP позволит инженерам размещать внутри корпуса аккумуляторные батареи большей емкости и многомодульные камеры. Технология SLP (Substrate Like PCB) ...

Россия спасет полупроводниковую отрасль Южной Кореи На этот счет есть только один ответ: кто, если не мы!

Предварительный обзор GIGABYTE Aero 15 Classic. Шикарный игровой ноутбук Технологии не стоят на месте и постепенно продвигаются вперед, предоставляя пользователям гораздо больше возможностей для работы или отдыха. Еще лет пять назад любой игровой ноутбук стоил космических денег, был громадным, тяжелым и не пользовался широким спросом у аудитории....

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

[Перевод] Я не знал, как работают процессоры, поэтому написал программный симулятор Несколько месяцев назад меня вдруг поразила мысль, что я понятия не имею о принципах работы компьютерного железа. Я до сих пор не знаю, как работают современные компьютеры. Я прочитал книгу «Но откуда он знает?» Кларка Скотта с детальным описанием простого 8-битного компью...

Samsung снова вторая. Intel вернулась на первое место в списке крупнейших полупроводниковых производителей В 2017 году впервые за почти четверть столетия на полупроводниковом рынке сменился лидер: Samsung обошла Intel. Как сообщают аналитики IC Insights, по итогам первого квартала 2019 года лидером снова стала Intel. Причём интересно то, что для этого компании хватило сохра...

На пикап Tesla Cybertruck подано около 150 тыс. заказов: одномоторная версия непопулярна Генеральный директор Tesla Илон Маск раскрыл данные по количеству заказов на пикап Cybertruck, оборудованный полностью электрической силовой установкой. Напомним, что дебют Cybertruck состоялся на этой неделе. Автомобиль получил угловатый дизайн, который многие наблюдатели п...

V2G. Электромобили помогут балансировать производство и потребление электроэнергии В наши дни есть проблема балансировки производства и потребления электроэнергии. Производители не в состоянии моментально удовлетворять потребности при пиковых нагрузках. Если крупный завод начинает потреблять значительно больше энергии, это может вылиться в перебои поставк...

KIA Futuron Concept, или купе-кроссовер будущего с электрическим приводом Компания KIA Motors на выставке 2019 China International Import Expo (CIIE) в Шанхае представила новый концептуальный автомобиль, позволяющий получить представление о том, в каком направлении будут развиваться транспортные средства марки. Машина носит название Futuron Concep...

Почему при современном капитализме растёт неравенство в обществе: ключевые идеи из книги «Будущее капитализма» Редакция MakeRight.ru выделила ключевые идеи книги экономиста Пола Кольера. Автор анализирует противоречия современного общества, в котором все больше растет экономическое и социальное неравенство. ...

Момент, когда мы начали верить в инновации Инновации стали обыденностью. И речь не идет о таких современных “инновациях”, как технология трассировки лучей на видеокартах RTX от Nvidia или 50-кратный зум в новом смартфоне от Huawei. Эти вещи полезнее маркетологам, чем пользователям. Речь о настоящих инновациях, котор...

Российская SoC NM6408 НТЦ «Модуль» выходит в свет: 28 нм, 512 гигафлопс, 35 Вт В последней декаде февраля российский научно-технический центр «Модуль» стал участником ряда отраслевых выставок, прежде всего Еmbedded World 2019 и 12-й Международной авиакосмической выставки Aero India ― 2019. На каждом из этих мероприятий разработчик заключил определённые...

Представлен сверхпроводящий транзистор из графена Сказано немного громко, но учёные действительно смогли поставить эксперимент, в котором структура из графена способна переключаться из одного фазового состояния в другое под воздействием управляющего напряжения. Сразу уточним, что поставленный в Национальной лаборатории имен...

LG Electronics провела экскурсию на своем заводе в Подмосковье LG Electronics пригласил российских журналистов и известных деятелей из мира телевидения, спорта и искусства на российский завод компании, расположенный в Рузском районе Московской области. Главной целью поездки было знакомство с новыми холодильниками LG DoorCooling+...

Объем рынка технологий в сфере здравоохранения в этом году достигнет $2 трлн Таким данными поделились с TAdviser в консалтинговой компании Frost & Sullivan по результатам исследования Global Healthcare Market Outlook, 2019. По оценкам экспертов компании, рост технологического сегмента в медицине окажет существенное влияние на смежные рынки, такие...

Переговоры MediaTek с Apple и Samsung. Ее чипы — флагманам и машинам На чипсетах MediaTek, как широко известно все интересующимся мобильными технологиями, базируются очень многие недорогие, но, при этом, достаточно производительные смартфоны. Новое сообщение указывает, что компания станет развивать предлагаемые ею комплектующие в направлении...

Xiaomi разработала сверхбыструю технологию быстрой зарядки У Xiaomi появилась собственная технология быстрой зарядки Super Charge Turbo, которая позволяет передавать до 100 Вт мощности и может зарядить батарею емкостью 4000 мАч за 17 минут. Технология SuperVOOC компании Oppo в настоящее время является самой быстрой запатентован...

Samsung рассказала о больших проблемах с продажами смартфонов Компания Samsung выпустила квартальный финансовый отчёт. Выручка снизилась как от продажи смартфонов, так и от полупроводникового направления, хотя до этого оно показывало стабильный рост продаж.

Патент недели: протезы из вторсырья При непосредственном участии Федеральной службы по интеллектуальной собственности («Роспатента») мы решили ввести на сайте рубрику «Патент недели». Еженедельно в России патентуются десятки интересных изобретений и усовершенствований — почему бы не рассказывать о них в числе ...

Ростех наращивает присутствие на рынке фискальных накопителей Концерн "Автоматика" Госкорпорации Ростех в рамках освоения гражданского сегмента рынка высокотехнологичной продукции в декабре 2017 года начал реализацию криптозащищенных фискальных накопителей. В 2018 году объем реализации составил около 458 тысяч единиц для розн...

Apple не воспользуется услугами Samsung при создании процессора A13 Официальная премьера смартфонов высокого класса от Apple не состоится до осени. На данный момент компания усиленно работает над iPhone (2019), чтобы своевременно обеспечить свои модели необходимыми аппаратными компонентами. Одним из ключевых улучшений, которые мы увидим в см...

Google присоединился к отраслевой инициативе по борьбе с email-мошенничеством Google присоединился к растущему списку email-провайдеров, которые развивают технологию BIMI (Brand Indicators for Messaging Identification), призванную искоренить мошенничество в электронной почте. Поскольку количество фрода в этой области растёт каждый год, технологические...

Впервые реализована технология выполнения вычислений, контролируемая при помощи света Известно, что основой всех электронных устройств, начиная от "умных" часов и заканчивая модулями суперкомпьютеров, являются компоненты, такие, как процессоры и память, реализованные в виде полупроводниковых чипов. Эти чипы, в свою очередь, состоят из транзисторов, расположен...

Совокупный срок эксплуатации в космосе стойкой к радиации электроники BAE Systems превысил 10 000 лет Компания BAE Systems сообщила о своеобразном рекорде — производимая ею радиационно-стойкая электроника суммарно провела на околоземных орбитах 10 000 лет. Использование продукции американской компании, являющейся дочерним предприятием одноименной британской оборо...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)