Социальные сети Рунета
Среда, 24 апреля 2024

Apple уже начала производство процессоров A13 Уже начато производство процессоров для нового семейства iPhone, которые выйдут осенью этого года. Это однокристальная платформа Apple A13, выполненная по 7-нанометровому техпроцессу. Производством занимается компания TSMC (Taiwan Semiconductor Manufacturing). Пока нача...

Foxconn начала подготовку к массовому производству новых iPhone Если верить недавним слухам, то официальный анонс нового поколения iPhone состоится 10 сентября. Во второй половине месяца Apple должна начать продавать свои новинки. Сейчас же партнеры яблочной компании готовятся к старту производства новых моделей. Например, компания Foxco...

Intel снимет с производства процессоры Skylake-X Этой осенью корпорация Intel выведет на рынок обновлённую платформу LGA2066 вместе с процессорами Cascade Lake-X. В рамках подготовки к этому событию чипмейкер снимает с производства 14-нм решения семейства Skylake-X, дебютировавшие пару лет назад...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Google перенесёт производство смартфонов на фабрику Nokia из-за антикитайских пошлин Трампа Компания Google начала комплектовать старую фабрику Nokia во Вьетнаме в рамках подготовки переноса производства смартфонов Pixel из Китая. Об этом сообщили два источника издания Nikkei Asian Review.

Началось производство 12-Гбит чипов Samsung — как раз к Galaxy Note 10 По традиции Samsung стала постепенно делать намёки на характеристики своего будущего флагмана, например, на возможность появления камеры в цифровом пере S Pen. И хотя очередная новость от корейского гиганта напрямую не связана с Galaxy Note 10, она, по крайней мере, даёт над...

Tesla обошла BMW, General Motors и Daimler и вошла в Топ-3 самых дорогих автопроизводителей Илону Маску (Elon Mask) совсем не обязательно пользоваться Twitter, чтобы подстегнуть интерес инвесторов к своей компании Tesla. На этот раз ему достаточно было лишь объявить о строительстве завода в Германии. На фоне этой новости акции Tesla взлетели, причем настолько,...

Apple вдвое уменьшила объем производства iPhone XS Max Осенью источники из Китая сообщали, что Apple заметно снизила объемы заказов на iPhone XS и XS Max, а производство XR вообще было приостановлено почти вполовину от изначального. Кроме того, компания предоставляет некоторым операторам субсидии, за счет которых они снижают ст...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Процессоры A13 готовы к серийному производству TSMC, основной производитель чипов для Apple, готов запустить массовое производство процессоров следующего поколения A13 для нового модельного ряда iPhone.

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Новый бестселлер Samsung уже на подходе Компания Samsung в начале следующего года выведет на рынок первые модели линейки Galaxy A нового поколения. В прошлом году Samsung начала с выпуска Galaxy A30 и Galaxy A50, и как показало время, именно эти модели стали самыми продаваемыми в линейке. Судя по всему, обно...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

AMD выпускает 12-ядерный процессор Ryzen 9 В ходе Computex компания AMD представила третье поколение процессоров архитектуры Zen. Ими стали 7 нм чипы семейства Ryzen 3000, продажи которых начнутся 7-го июля.

Начало производства компьютерных материнских плат в России. производство Российских материнских плат формата MicroATX на архитектуре процессоров INTEL

Крупный производитель процессоров нанял 8 тыс человек для создания чипов новейшего поколения TSMC зачастую в последнее время появлялась в разговорах как компания, занимающаяся разработкой 5-нанометровой технологии для производства чипсетов. Но как стало известно буквально только что, производитель уже сейчас размышляет о технологии следующего поколения — 3-нанометро...

Intel опровергла слухи о возникших трудностях с производством 5G-модемов для Apple Несмотря на то, что в ряде стран коммерческие 5G-сети будут развёрнуты уже в этом году, Apple не спешит выпускать устройства, способные работать в сетях связи пятого поколения. Компания ждёт, когда соответствующие технологии получат широкое распространение. Аналогичную страт...

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Samsung уже начала производство своего нового недорогого бестселлера Смартфон Galaxy A50 явялется одним из самых продаваемых у Samsung. И это неудивительно, так как его можно назвать самым сбалансированным в линейке Galaxy A, и к тому же он продаётся почти на всех рынках. Поэтому модель Galaxy A51 будет для Samsung очень важной. ...

16-дюймовый MacBook Pro будет использовать процессоры Intel 9-го поколения с поддержкой до 8 ядер 16-дюймовый MacBook Pro от Apple, по слухам, будет работать на процессорах 9-го поколения Coffee Lake Refresh от Intel в соответствии с 15-дюймовым MacBook Pro, выпущенным в мае. Если это точно, это означает, что 16-дюймовый MacBook Pro будет конфигурироваться с 8-ядерным п...

Huawei начала пробное производство 5-нм SoC Kirin 1000 Компания Huawei уже начала пробный этап производства новых флагманских чипов. По предварительным данным, данные SoC получат название Kirin 1000 и будут построены по 5-нм техпроцессу. Ожидается, что первыми смартфонами на базе этих процессоров окажутся флагманские Huawei Mate...

Однокристальная система Intel Tiger Lake-U станет основой NUC игрового класса Phantom Canyon Осенью этого года компания Intel представит мини-ПК NUC игрового класса под условным названием Ghost Canyon, который сменит нынешнее поколение Hades Canyon. В Ghost Canyon используется процессор Core i9-9980HK и возможна установка графической карты. На смену Ghost Cany...

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

Компания "Байкал электроникс" с большим опозданием представила свой второй процессор Процессор Baikal-M, выполненный на архитектуре ARM по технологии 28 нм, планировалось представить еще в 2016 году. Производство и поставки чипа должны начаться во второй половине 2020 года.

Samsung начала массовое производство оперативной памяти LPDDR4X на 12 Гбайт В прошлом месяце Samsung представила новые флагманы с объемом оперативной памяти 12 Гбайт. Сегодня компания объявила о старте массового производства оперативной памяти LPDDR4X объемом 12 Гбайт для премиальных смартфонов. Подробнее об этом читайте на THG.ru.

Apple запускает массовое производство iPad 7, сборка 16-дюймового MacBook Pro начнется в конце года Тайваньская компания Radiant Opto-Electronics, поставщик модулей подсветки для устройств Apple, раскрыла данные, о которых в американской компании предпочли бы молчать. Теперь, благодаря азиатской фирме, мы знаем, что массовое производство iPad нового поколения стартует...

Samsung начала производство продолжения недорого Galaxy A50 Анонсированный в начале года Samsung Galaxy A50 получился по-настоящему народным устройством. Не в последнюю очередь это связано с тем, что компании удалось создать смартфон, который был достаточно напичкан различными новыми технологиями, но при этом не стоил как “крыло от б...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

Nvidia разделит производство 7-нм GPU между Samsung и TSMC О планах Nvidia наладить выпуск графических процессоров следующего поколения на мощностях Samsung Electronics стало известно более полугода назад. В начале месяца представители «зелёного» чипмейкера впервые начали открыто говорить о расширении партнёрства с южнок...

Китайский процессор Hygon Dhyana C86 (Zen) отличился в криптографическом тесте SiSoft Sandra В прошлом году для внутреннего рынка Китая начался выпуск центральных процессоров Hygon Dhyana на базе микроархитектуры Zen. Компания AMD лицензировала собственные наработки через совместное предприятие, за счет чего было налажено производство широкой номенклатуры...

Новый iPad mini и недорогой iPad можно ожидать до середины лета Тайваньский ресурс DigiTimes подтвердил слухи о том, что компания Apple готовит к выпуску пятое поколение планшета iPad mini и новый недорогой iPad в первой половине 2019 года.  Об этом говорят ожидаемые объёмы поставок сенсорных панелей от поставщиков компании Ap...

Слухи: Осенью Tesla начнет производство следующего поколения электромобилей Model S и Model X, которые получат обновленный дизайн, три двигателя, новую батарею и запас хода 640 км В сети достаточно давно ходят слухи о грядущем обновлении электромобилей Tesla, но теперь на канале Like Tesla появилась информация от якобы инсайдера, непосредственно знакомого с планами автопроизводителя. Выход следующего поколения электромобилей Model S и Model X позволит...

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

Samsung Galaxy A10s производится по модели аутсорсинга Осенью прошлого года стало известно, что Samsung ищет пути удешевления своих смартфонов и одним из способов станет передача выпуска ряда моделей на аутсорсинг. Речь идет об аппаратах из средне-низкого ценового сегмента. Одним из таких смартфонов, произведенным ODM-компанией...

Tesla закончила третий квартал с прибылью, акции компании идут вверх Сегодня Tesla отчиталась об итогах работы в третьем квартале текущего года, и они оказались очень позитивными: если аналитики Wall Street прогнозировали убытки в размере $0,15 на акцию, то в реальности случилась прибыль — $1,91 на акцию! Tesla Q3 results: - Shangh...

У Intel теперь есть свой T1000. Компания представила специализированные процессоры платформы Nervana Компания Intel за последние месяцы представила два специализированных процессора платформы Nervana: NNP-I и NNP-T. Первый показали в июне, а второй — в августе. Сегодня Intel решила представить новинки ещё раз, но уже более конкретно. Итак, на самом деле решения ...

Kirin 990 может стать последним чипсетом от Huawei Как вы уже знаете, под давлением правительства США многие крупнейшие американские и британские компании вынуждены прекратить сотрудничество с Huawei. Несмотря на все заверения главы Huawei о том, что ничего страшного не произошло, для китайской компании последствия могут быт...

Открыто новое производство тонера CET Group в Японии В июле 2018 года открылся новый филиал группы компаний СЕТ в Японии, что послужило началом собственного бизнеса по производству «механических» ...

Intel снимет с производства процессоры Skylake Компания Intel выпустила 6-е поколение процессоров Core Skylake в августе 2015 года. И вот, спустя 4 года, в Санта-Кларе решили прекратить их производство.

Начато производство корпуса космического корабля «Федерация» В России началось изготовление корпуса первого экземпляра космического корабля нового поколения «Федерация». Читать дальше →

Для новых iPhone уже готовы процессоры Компания TSMC (Taiwan Semiconductor Manufacturing) уже начала производство новых процессоров для смартфонов iPhone, чей анонс ожидается осенью. Об этом сообщило издание Bloomberg со ссылкой на осведомлённые источники.

Samsung сертифицировала инструменты для 5-нм производства Компания готовится к производству 5-нм процессоров

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Xiaomi запустила производство Redmi Note 8 Вслед за слухами о подготовке к запуску смартфона Redmi Note 8, в Сети появились данные о начале его производства. ***

Samsung начинает массовое производство 12 ГБ оперативной памяти LPDDR4X для смартфонов Компания Samsung уже представила смартфоны Galaxy S10+ и Galaxy Fold с 12 ГБ оперативной памяти в прошлом месяце. Сегодня она объявила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ.  ***

Samsung выпускает SSD 6-го поколения Компания Samsung анонсировала начало производства новых твердотельных накопителей объёмом 250 ГБ на базе 6-го поколения памяти V-NAND.

Apple жадничает. Собирать iPhone стало дешевле, но цены снижаться не будут Как стало известно, компания Foxconn начала производить iPhone XR в Индии. Кроме того, скоро в Индии начнется производство линейки смартфонов iPhone 11. Этот шаг позволит сократить затраты на производство iPhone. Законы Индии поддерживают использование в оборудовании де...

Harley-Davidson останавливает производство электрических мотоциклов Компания Harley-Davidson сообщила, что прекратила производство и поставки своего первого электрического мотоцикла после обнаружения дефекта на этапе окончательной проверки качества. Производитель мотоциклов заявил, что обнаружил «отклонение от кондиции» в мо...

iPhone XE – новый iPhone SE с компактным экраном и сканером лица Выпущенный на рынок еще в первой половине 2016 года смартфон iPhone SE уже очень сильно устарел, поэтому еще осенью 2018 года компания Apple решила снять его с производства и продажи. Главная особенность данного мобильного устройства Сообщение iPhone XE – новый iPhone SE с ...

Huawei Mate 30 с HongMeng OS или Ark OS представят 22 сентября Ожидается, что линейка флагманских телефонов Huawei Mate 30 и Mate 30 Pro будет представлена осенью этого года. Свежая информация, которой поделился в Twitter источник, указывает на то, линейка Mate 30 будет построена на однокристальной системе Kirin и работать под упра...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

TSMC готовит 7 нм EUV производство на этот квартал Сайт DigiTimes сообщает, что компания TSMC близка к началу производства продукции по 7 нм EUV технологии. Источником информации выступил китайский ресурс Commercial Times.

Unisoc готовится к производству 5G-модемов Компания Unisoc (ранее — Spreadtrum) в ближайшее время организует производство 5G-модема для мобильных устройств нового поколения, о чём сообщает ресурс DigiTimes. Фотографии Reuters Речь идёт об изделии IVY510, первая информация о котором была раскрыта в феврале нынешнего г...

Стартует проект маркировки одежды QR-кодами В рамках пилотного проекта будут маркироваться товары легкой промышленности из перечня, определенного Распоряжением Правительства РФ от 28 апреля 2018 г. № 792-р. В него вошли предметы одежды, включая рабочую одежду, блузки, блузы и блузоны трикотажные машинного или ручного ...

До конца года MediaTek представит новые микросхемы с поддержкой 5G Специалисты MediaTek готовят к серийному выпуску новые однокристальные системы для мобильных устройств с поддержкой 5G. Он будут представлены в этом году и в начале следующего. Такими данными располагают отраслевые источники. Ожидается, что MediaTek представит решения,...

Volkswagen инвестирует $800 млн в завод в США по выпуску электромобилей Немецкий автопроизводитель подтвердил планы инвестировать $800 млн в модернизацию своего завода в Чаттануге (штат Теннесси, США) для его подготовки к производству электромобилей на новой модульной платформе электрического привода (MEB). «США являются одним из ...

В 2020 году Китай захватит до 70 % глобального рынка смартфонов с 5G Технологии 5G стали началом очередного мощного скачка в телекоммуникационной отрасли, поэтому многие производители стараются успеть занять место на этом рынке. Лидирующую позицию в этом направлении уже в следующем году может занять китайский рынок. По мнению тайваньской комп...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

iPhone в 2020 году получат 5-нм процессоры Тайваньская компания по производству полупроводников (TSMC) объявила о создании инфраструктуры для 5-нм техпроцесса, которая уже находится в стадии предварительного производства. Компания планирует инвестировать $25 миллиардов в объём производства к 2020 году. Микросхемы, в…

Пикап Hyundai запустят в производство в 2021 году Hyundai Впервые пикап Santa Cruz был представлен в начале 2015 года в виде концепт-кара. Сейчас же стало известно о срока начала производства серийной модели — как объявила Hyundai, машину начнут собирать в 2021 году, на заводе в Монтгомери, штат Алабама, США. Перед зап...

Производство 16-дюймового MacBook Pro стартует в сентябре Новинка получит процессор Intel 9-го поколения и новую клавиатуру

SK Hynix хочет производить 3D-NAND с 800 слоями На саммите по флэш-памяти в Санта-Кларе, корейский производитель SK Hynix представил новые продукты и объявил о планах на будущее. В настоящее время SK Hynix работает над 128-слойным 3D-nand, и его массовое производство должно начаться в четвертом квартале этого года. Компан...

3D-принтер не видит STL? Вам в Могилев! Белорусско-Российский университет в Могилеве начнет подготовку специалистов по программе «Производство изделий на основе трехмерных технологий». Четырехлетние курсы обучения профессионалов в сфере 3D-моделирования и 3D-печати начнутся со следующего года.

США усомнились в возможностях России по производству новых истребителей Журналисты американского издания The Drive заявили, что постоянные попытки России найти покупателей истребителя пятого поколения Су-57 говорят о сложностях с самостоятельным серийным производством самолётов.

Русполимет запускает производство материалов для порошковой металлургии и 3D-печати В Нижегородской области начались испытания комплекса по производству металлических порошков и гранулятов для порошковой металлургии и промышленных технологий 3D-печати.

Intel представила свои первые 10-нанометровые процессоры Новые мобильные процессоры корпорации базируются на микроархитектуре Sunny Cove и оснащены графическим движком 11-го поколения. Первые устройства с процессорами Core 10-поколения появятся на рынке осенью этого года.

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

Так-Так-Так и никакого Тика. Чем отличаются процессоры Intel Core разных поколений на основе одной архитектуры С появлением процессоров Intel Core седьмого поколения многим стало понятно, что стратегия «Тик-так», которой Интел следовал всё это время, дала сбой. Обещание уменьшить технологический процесс с 14 до 10 нм так и осталось обещанием, началась долгая эпоха «Така» Skylake, в...

Apple наращивает объемы производства iPhone 11 По словам аналитика Джун Чжан из Rosenblatt Securities, iPhone 11 является самым популярным яблочным смартфоном, из всех представленных в этом году. Более того, купертиновцы уже наращивают производство этой модели, чтобы справиться с высоким спросом.Читать дальше... ProstoM...

Intel снизит цены на процессоры Core 8-го и 9-го поколений Начало июля ознаменуется выходом первых 7-нм процессоров AMD Ryzen 3000-й серии, содержащих от 6 до 12 ядер на микроархитектуре Zen 2. В рамках подготовки к очередному этапу борьбы за сердца и кошельки ПК-энтузиастов...

Официально: Nintendo перенесет часть производства Switch из Китая По словам представителя Nintendo, компания планирует перенести часть производства консолей Switch из Китая во Вьетнам. Nintendo подтвердила эту информацию в комментарии для Reuters. Переезд запланирован на ближайшие месяцы, хотя точная дата пока не озвучена. В настоящее врем...

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

Samsung начинает производство модулей AiP для 5G mmWave В июле прошлого года компания Qualcomm представила первые в мире антенные модули (AiP) 5G NR mmWave и радиочастотные модули sub-6 ГГц для смартфонов и других мобильных устройств, а к октябрю специалисты Qualcomm смогли уменьшить антенные модули 5G NR mmWave на 25%. ...

TSMC начала производство процессоров Apple A13 для iPhone 2019 Тайваньский производитель микросхем TSMC открыл производство новых процессоров для грядущих iPhone 2019 года, которые будут традиционно представленных осенью, – сообщает издание Bloomberg, ссылаясь на компетентных инсайдеров. Тестовое производство Apple A13 было начато TSMC…

Известна стоимость мини-ПК NUC на новейших процессорах Comet Lake-U Мини-ПК Intel NUC 10 стали появляться на страницах онлайн-магазинов, и похоже, что речь идёт о новом поколении NUC Frost Canyon. Пока известны не все детали, но, похоже, что новинки сохранят прежний форм-фактор 4 x 4. Кроме того, инсайдер @momomo_US указал, что новые NUC буд...

Первая партия Tesla Model Y будет произведена осенью 2020 года Компания Tesla поделилась планами по выпуску их нового электрокроссовера. Производство Model Y будет происходить на […]

«Рикор» запустил производство СХД в России Российский инновационный холдинг «Рикор» объявил об окончании разработки и начале производства опытной партии …

Samsung готовит OLED-панели нового поколения для Galaxy S11 В следующем году компания Samsung должна представить революционную линейку Samsung Galaxy S11, в которую, если верить последней утечке модельных номеров, войдут три модели. Напомним, три грядущих смартфона проходят под модельными номерами Samsung SM-G981, SM-G986, SM-G9...

AMD выпустила второе поколение процессоров EPYC Компания AMD представила новое поколение серверных процессоров Epyc 7xx2, которые стали самыми быстрыми процессорами архитектуры x86 в мире.

Производство 5-нм процессоров AMD с архитектурой Zen 4 начнётся в 2021 году Уже сейчас уровень выхода годной продукции на конвейере TSMC достигает 50%.

WSJ: Apple запустила производство AirPower еще в начале года В сети продолжает появляться информация о фирменной яблочной зарядной станции. По словам журналистов авторитетного издания The Wall Street Journa, купертиновцам удалось полностью справиться с проблемами в AirPower и Apple уже готова начать продажи зарядной станции.Читать дал...

Compal готовится приступить к производству iPad Mini 5 Согласно информации из цепочки поставок, компания Compal Electronics выбрана производителем нового планшета Apple, который проходит под рабочим названием iPad Mini 5. DigiTimes утверждает, что Compal Electronics планирует приступить к производству iPad Mini 5 уже в этом...

Yangtze Memory начинает массовое производство 64-слойной памяти 3D NAND Китайская государственная полупроводниковая компания Yangtze Memory Technologies (YMTC), основанная в 2016 году, заявила о начале массового производства 64-слойной флэш-памяти.

Начато производство новой Skoda Octavia Skoda Компания Skoda сообщила о старте производства Octavia нового поколения в городе Млада-Болеслава, Чехия. На данный момент собирается лишь универсал, однако уже в следующем месяце компания запустит сборку и лифтбека. Skoda рассчитывает, что предприятие в Млада-Болес...

Samsung начинает массовое производство накопителя eUFS 3.0 емкостью 512 Гб Компания Samsung Electronics объявила о начале массового производства встраиваемого накопителя Universal Flash …

Трения между Кореей и Японией отражаются на производстве смартфонов Samsung По данным инсайдеров, Samsung пришлось на 10% снизить запланированный объем производства мобильных процессоров Exynos, которыми будут укомплектованы новые смартфоны Note 10.

Производители NAND внедряют 120/128-слойную память 3D NAND По информации DigiTimes многие производители стали ускорять переход своих производств на выпуск 120/128-слойной памяти 3D NAND, чтобы начать её массовое производство в 2020 году.

Samsung начинает массовое производство первой флэш-памяти объемом 1 ТБ Компания Samsung объявила о начале массового производства первой флэш-памяти eUFS (embedded Universal Flash Storage) 2.1 емкостью 1 ТБ для мобильных устройств следующего поколения. ***

Samsung продолжит инвестировать в производство ... Samsung среди тех компаний, кто активно переводит свои продукты на OLED-матрицы и инвестирует огромные деньги в развитие и наращивание производства таких панелей. На данный момент южнокорейский гигант доминирует на рынке экранов OLED и контролирует более 90% рынка дисплеев и...

Стартовало производство опытной серии 1-Гбит STT-MRAM: ёмкость выросла вчетверо Компания Everspin Technologies, которая единственная в мире выпускает чипы магниторезистивной памяти в коммерческих объёмах, некоторое время назад сообщила о начале опытного производства нового поколения микросхем STT-MRAM. Серийная продукция Everspin, которую по её заказу в...

Умные часы Apple Watch с экраном microLED могут выйти в следующем году Источник сообщает, что компания Apple ведёт переговоры с тайваньским производителем дисплеев относительно поставок экранов microLED для будущих умных часов. Сейчас, напомним, Apple Watch используют экраны OLED производства LG. Массовое производство необходимого ...

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

Процессоры Comet Lake: партнёр Intel намекнул на сроки появления настольных моделей Опубликованная в середине лета ресурсом XFastest новость о сроках анонса процессоров Comet Lake-S в исполнении LGA 1200 уже стала каноническим источником информации подобного рода, хотя за прошедшее с июля время много могло поменяться. Напомним, согласно выдержкам из летних ...

SK Hynix приступает к массовому производству 128-слойной 4D NAND Компания SK Hynix начала массовое производство первой в мире 128-слойной памяти типа 4D NAND.

Samsung получила заказы на производство процессоров от Intel Компания Intel в очередной раз сообщила, что она испытывает проблемы с объёмами производства процессоров. Гонка с AMD за количество ядер привела к увеличению физического размера чипов, а значит, уменьшению их числа на одной пластине и дальнейшему росту дефицита.

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

Tesla представила электрический пикап Cybertruck по цене от $39 900 Производство начнётся в 2021 году.

Axis Communications представила камеры P1375 и P1375-E с чипом ARTPEC-7 Axis Communications представила первые устройства, оснащенные чипом нового поколения ARTPEC-7 собственного производства …

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

AMD Radeon VII стоит в производстве 650 долларов Сразу после анонса видеокарты AMD Radeon VII в Сети стали появляться слухи, что видеокарта выйдет малым тиражом, а производственные партнёры не будут заниматься её выпуском.

Advantech выпустила безвентиляторный компьютер MIC-770 на базе 8 поколения процессора Intel Компания Advantech, поставщик интеллектуальных систем и платформенных решений, объявила о запуске производства высокопроизводительного, компактного, безвентиляторного компьютера MIC-770, оборудованного новейшим процессором Intel Core i ...

Intel готовится к массовому производству 5G-модемов Корпорация Intel начнёт работать над инженерными проектами в рамках организации массового производства 5G-модемов уже в следующем квартале. По крайней мере, об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Изображения Intel В конце прошлого года, напомним...

TSMC начала производство чипов по технологии 7 нм+ второго поколения Для тайваньской компании это первый производственный проект с использованием литографии в жестком ультрафиолетовом диапазоне.

Беспроводная зарядная станция Apple выйдет в этом году В последние несколько недель в сети снова стали активно появляться разнообразные слухи, касающиеся беспроводной зарядкой станции Apple. Сразу несколько сетевых источников сообщило о том, что купертиновцы решили не забрасывать AirPower, и сейчас компания активно подгоняет сво...

Наконец-то стартовало производство беспроводной зарядки Apple AirPower Apple анонсировала беспроводную зарядку AirPower в сентябре 2017 года, и с тех пор в Сети регулярно появлялись слухи о том, что выпуск зарядки откладывается. И тому называли массу причин. Но, судя по всему, все проблемы с AirPower уже решены, и зарядное устройство готов...

Видео дня: невероятные темпы строительства завода Tesla Gigafactory 3 в Китае Компания Tesla близка к завершению строительства завода Gigafactory 3 в Китае. Это будет первая в Поднебесной фабрика по производству электромобилей, полностью принадлежащая иностранной компании. Для Tesla иметь своё производство в Китае крайне важно, так как эт...

Smart остается, но будет немецко-китайским: Daimler и Geely Holding создают совместное предприятие Судьба бренда Smart будет решена в этом году Немецкий автопроизводитель Daimler AG и китайский автопроизводитель Zhejiang Geely Holding Group (Geely Holding), объявили о совместного предприятия с равным участием. СП станет владельцем компании Smart и продолжит дальнейш...

Процессор AMD Ryzen Threadripper засветился в Geekbench Очевидно, AMD начала тестирование процессора Ryzen Threadripper 3-го поколения. Так, AMD Sharkstooth представляет собой 32-ядерный/49-поточный процессор с номинальной тактовой частотой 3,60 ГГц, который имеет достаточно длинный модельный номер AuthenticAMD Family 23 Model 49...

Перенос производства iPhone в Индию из-за торговой войны с Китаем оказался провалом Производство iPhone на заводах в Индии официально началось в августе. Решение о переносе производственных мощностей в страну Apple приняла во многом для того, чтобы избежать негативного влияния торговой войны между США и Китаем. Однако компания столкнулась с некоторыми трудн...

Samsung выпустила шестое поколение флэш-памяти 3D V-NAND со 136 слоями Корпорация Samsung Electronics отчиталась об успешном запуске в производство 136-слойных микросхем флэш-памяти TLC 3D V-NAND шестого поколения. Говорится о массовом производстве 256-гигабитных (32 ГБ) чипов. Также до конца этого года с конвейера начнут...

Слух: TSMC приступает к производству новых процессоров для смартфонов Apple Линейка «айфонов», которую представят нынешней осенью, будет оснащена более совершенным процессором Apple А13.

Производством смартфона Galaxy A10s будет заниматься вовсе не Samsung В конце прошлого года компания Samsung представила смартфон Galaxy A6s. Ничего особого в этом аппарате не было, но для самой компании он был знаковым. Именно Galaxy A6s стал первым смартфоном корейского гиганта, который собирался не самой Samsung, а сторонней фирмой. В ...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Серийное производство iPhone SE 2 стартует в январе В сети продолжает появляться новая информация, касающаяся преемника iPhone SE. По словам аналитика Tianfeng Securities Го Минхао, серийное производство нового недорого яблочного смартфона стартует в январе следующего года.Читать дальше... ProstoMAC.com.| Постоянная ссылка |...

В России началось производство СХД мирового уровня "Рикор" начал изготавливать комплектующие для СХД и дисковых массивов (JBOD), включающих материнскую плату, корпус и активный бекплейн.

ЗАЗ запустил производство нового пригородного автобуса ЗАЗ А08 Запорожский Автомобилестроительный Завод (ЗАЗ) сообщил о начале производства новой модификации автобуса малого класса ЗАЗ А08. Эта модель ориентирована на применение на пригородных маршрутах. Также сообщается о доступности и в школьной модификации. Новый автобус ЗАЗ А08 пост...

Samsung начала выпуск первой в отрасли памяти eUFS 3.0 объёмом 512 Гбайт Компания Samsung Electronics объявила о начале серийного производства первых в отрасли чипов памяти eUFS 3.0 ёмкостью 512 Гбайт. Новые чипы памяти ориентированы на использование в "следующем поколении мобильных устройств" и обеспечивают вдвое большую скорость работы по сравн...

Смартфоны Redmi Note 8 уже собирают. Видео с производства Известный сетевой информатор Мукул Шарма (Mukul Sharma) сообщил радостную новость для поклонников смартфонов Xiaomi и Redmi, которой поделился вице-президент Xiaomi и глава бренда Redmi Лу Вейбинг (Lu Weibing). Производство нового 64-мегапиксельного смартфона, который д...

В России возобновили производство знаменитой советской техники Речь идет о длиннофокусных фотообъективах «Рубинар», основным применением которых является съёмка удалённых объектов. В производстве современного поколения фототехники задействовано цифровое оборудование и передовые технологии обработки оптических и механических деталей.

Обновленную EMUI 10 покажут уже 9 августа, вместе с Hongmeng, новой ОС для гаджетов Несмотря на проблемы с США, у компании Huawei все пока идет хорошо: представляются новые смартфоны, появляются новости о грядущих новинках, планируется полноценный анонс новой ОС Hongmeng. Что еще интереснее, компания собирается представить новую версию оболочки EMUI 10 уже...

Samsung и SK Hynix ныряют в производство CMOS-датчиков, память в опасности? В руках южнокорейских компаний Samsung и SK Hynix свыше 70 % мощностей для производства компьютерной памяти. Поэтому не стоит удивляться, что когда кто-то из них выражает сильное желание заняться чем-то посторонним, это не проходит мимо нашего внимания. А решил...

«АК-203 проиграет немецкому SIG Sauer «индийский фронт» — СМИ Польский эксперт отметил, что ВС Индии откажутся от «калаша» в пользу винтовки SIG 716. Эпопея индийцев создать собственное оружие — винтовку INSAS, которую с гордостью бы стали именовать национальным индийским оружием, похоже, подошла к концу. Как отмечает в интернет издан...

BMW и Great Wall строят завод по производству электромобилей BMW и Great Wall учредили новое совместное предприятие, основная цель которого – строительство в Китае завода по производству 160 000 электромобилей в год. На нем будет налажено производство разнообразных моделей BMW, в том числе и электрического Mini. Трехдверны...

MediaTek выпустит чип Helio G90 для игровых смартфонов Компания MediaTek опубликовала тизер-изображение, говорящее о подготовке нового процессора семейства Helio для мобильных устройств. Чип получит название Helio G90. Он будет ориентирован на смартфоны игрового уровня и аппараты топового сегмента. Анонс изделия состоится в теку...

Утекли спецификации процессоров Intel 10-го поколение Comet Lake После того, как начались продажи процессоров AMD Ryzen 3000, компания Intel решила напомнить о себе и своих будущих процессорах 10-го поколения Comet Lake. Вероятно, поэтому, через день после релиза AMD, спецификации Comet Lake «случайно» попали в Сеть.

Samsung начала массовое производство микросхем памяти LPDDR5 DRAM плотностью 12 Гбит для флагманских смартфонов Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о начале массового выпуска первых в отрасли микросхем памяти LPDDR5 DRAM плотностью 12 Гбит. Новая оперативная память производится по технологии 10-нанометрового класса второго поколения (1y нм). Она пре...

По следам CES 2019: ноутбуки на процессорах AMD Ryzen Mobile Несмотря на то, что первые модели мобильных процессоров Ryzen были представлены еще осенью 2017 года, до недавнего времени на рынке наблюдался дефицит ноутбуков на базе Ryzen Mobile. С выходом второго поколения «красных» APU...

Яндекс запускает производство собственных сериалов Компания Яндекс намерена в этом году заказать производство 8−10 сериалов и, вероятно, показать свои первые проекты в начале 2020. С помощью контента собственного производства компания планирует развивать свой онлайн-кинотеатр и увеличивать число платных п...

AMD откладывает выпуск Ryzen 9 3950X, но обещает новый Ryzen Threadripper уже в этом году Многие энтузиасты с нетерпением ожидают пополнения семейства массовых процессоров с микроархитектурой Zen 2 флагманской моделью — 16-ядерным процессором Ryzen 9 3950X. Во время представления семейства Ryzen 3000 в июле его выход был обещан на сентябрь. Однако сегодня AMD раз...

В России разработали технологию производства дешевых солнечных батарей Как стало известно, ученые из Рязанского государственного университета имени С. А. Есенина сумели запатентовать новую технологию по производству нового типа солнечных батарей, которые уже сейчас стоят на 30% дешевле мировых аналогов.

Куо: массовое производство iPhone SE 2 начнётся в январе 2020 года Сравнительно недавно в сети начали появляться слухи о бюджетном смартфоне Apple iPhone SE 2, и если верить этой информации, то его официальный анонс состоится в 1 квартале 2020 года. Известный аналитик Минг-Чи Куо (Ming-Chi Kuo) полагает, что массовое производство будущей но...

Стало известно, когда Apple выпустит очки дополненной реальности для iPhone Производство начнётся до конца 2019 года.

Маск пообещал инвесторам начать массовое производство Model Y в следующем году Массовое производство электрического кроссовера Tesla Model Y, о котором глава американской компании Илон Маск впервые рассказал еще в 2015 году, начнется к концу 2020 года, говорится в корпоративном письме, разосланном акционерам компании на этой неделе в среду, сообщает п...

Samsung начала массовое производство модемов 5G, а Apple собрала команду из более 1000 инженеров для разработки собственного 5G-модема Компания Samsung заявила о начале массового производства собственных чипов для обеспечения поддержки связи 5G. Речь идёт о многорежимном чипсете Exynos Modem 5100, который используется в смартфоне Galaxy S10 5G. Чип Samsung Exynos Modem 5100 был впервые анонсирован в августе...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Всё ради 5G. Складной смартфон Galaxy Fold нарушит одну из традиций флагманов Samsung Традиционно, компания Samsung выпускает в США и Китае версии флагманских смартфонов Galaxy на основе SoC Qualcomm Snapdragon, а на международном рынке, в том числе, в Европе и Азии — на основе фирменных платформ Exynos. Как оказалось, эта традиция не будет соблюде...

10-нанометровый процессор Intel совершенно нового поколения впервые засветился в тесте Процессоры Intel Tiger Lake придут на смену поколению Ice Lake в следующем году. Первые CPU Tiger Lake появятся в мобильном сегменте — о настольном пока можно даже не упоминать. Несмотря на то, что поколение Ice Lake только начало появляться на рынке в готовых про...

В новой модели ноутбука Acer Aspire 7 используется CPU Intel с GPU AMD Процессоры Intel Kaby Lake G были представлены в начале прошлого года и самим своим существованием наделали немало шума, так как никто до последнего не верил в возможность выхода процессоров Intel с GPU AMD. К сожалению, несмотря на уникальность и впечатляющие в некото...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

В iPhone 2020 года могут появиться гибкие OLED-дисплеи LG Компания LG готовится к запуску производства гибких OLED-панелей, которое должно начаться уже в этом году в Южной Корее. По сообщениям сетевых источников, компания Apple заинтересована в сделке с южнокорейским производителем. В случае заключения партнёрского соглашения между...

Китай запускает производство полноценного электромобиля Ora R1 ценой 9000 долларов Китайский автопроизводитель компания Great Wall Motors объявила о начале серийного производства малолитражного электромобиля Ora R1 с дальностью пробега до 310 км. Выпуск новинки субсидируется государством, что наверняка сделает ее доступной для миллионов потенциальных владе...

Apple начала производство iPhone 7 в Индии, но дешевле от этого смартфон на местном рынке вряд ли станет Компания Apple производит в Индии смартфоны iPhone SE и iPhone 6s. Это позволяет избежать дополнительных налогов и, как следствие, снизить цены. Не так давно мы писали о том, что вскоре партнёры Apple начнут строить фабрики для производства актуальных моделей на террит...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

В сеть утекли первые характеристики Samsung Galaxy S11 Заканчивается 2019 год, а это значит, что уже совсем скоро Samsung представит новое поколение своих флагманских смартфонов линейки Galaxy S. Обычно компания проводит тематическую презентацию в первой половине года и следующий вряд ли станет исключением. В связи с этим, к сло...

Intel “сворачивает” производство седьмого поколения процессоров Core В ближайшее время практически все процессоры семейства Kaby Lake “уйдут на пенсию” (Core i3, Core i5, Core i7, Pentium и Celeron). В список попали по-прежнему востребованные модификации, например, Core i7-7700K, Core i5-7600K, Pentium G4560, а также «ветераны»: ...

Essential прекращает производство Essential Phone Последний год был не самым удачным для Essential. На данный момент, компания подтвердила, что прекращает производство смартфона Essential Phone и сконцентрируется на производстве нового продукта. Подробнее об этом читайте на THG.ru.

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Китайская компания BOE начала серийное производство micro-OLED панелей По сообщениям сетевых источников, китайская компания BOE в октябре этого года приступила к серийному производству панелей по технологии micro-OLED. Производство развёрнуто в городе Куньмин, который находится на территории провинции Юньнань. В сообщении говорится, что в насто...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Названа дата начала продаж AirPods 2 Хорошая новость для всех, кто ждёт AirPods 2 —  испанский ресурс AppleSfera сообщил, что беспроводные наушники нового поколения будут представлены в рамках специального весеннего мероприятия Apple. А в свободную продажу аксессуар поступит буквально через несколько дне...

Обзор и тестирование ноутбука Acer Aspire 5 A515-52G на базе процессора Intel Core i5-8265U и видеокарты GeForce MX150 Сложности Intel, связанные с отладкой производства 10-нанометровых процессоров, вынуждают синего гиганта заполнять дорожную карту промежуточными поколениями CPU. По сути, речь идет о многократных доработках 14-нанометровых CPU, впервые представленных еще в 2015 году. Очередн...

Huawei уже начала разрабатывать максимально мощный процессор для следующих флагманов Совсем недавно Huawei представила свою топовую однокристальную систему Kirin 990, первыми смартфонами на которой стали Mate 30 и Mate 30 Pro. Но как показала утечка, компания уже работает над следующим поколением платформы — Kirin 1000.

«Мираторг» запланировал открыть производство молока под своим брендом осенью 2019 года Впервые компания заговорила об этом в 2017 году, тогда инвестиции в проект оценивались в $400 млн.

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Samsung инвестирует $116 млрд в разработку и производство микропроцессоров в ближайшие 10 лет В 2018 году подразделение по производству чипов принесло компании 75% всего операционного дохода, а новые инвестиции, по мнению Samsung, помогут обогнать Qualcomm и TSMC.

По стопам Galaxy Fold: У Samsung возникли проблемы с Galaxy Note 10 Для Samsung давно стало привычным делом отзывать свои смартфоны уже после релиза. Эту недобрую традицию начал Galaxy Note 7, аккумуляторы которого самопроизвольно загорались из-за утечки лития, подхватил Galaxy Fold, чей дисплей выходил из строя уже на вторые сутки использо...

Xiaomi Mi 9 выпускают уже на трех заводах, а проблемы с производством были связаны с перебоями в поставках компонентов камеры Сначала Xiaomi отчиталась о выполнении прогноза по производству 1 миллиона смартфонов Mi 9 за месяц, а потом организовала поездку на завод Foxconn, находящийся в 70 км от штаб-квартиры компании. Во время этого было озвучено немало интересных подробностей относительно ко...

В комплекте с iPhone 11 может идти USB-C зарядка В преддверии выхода нового поколения яблочных смартфонов в сети снова стали появляться слухи о более мощном зарядом «кубике», который будет идти в комплекте с iPhone 11 и iPhone 11 Max.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также можете ознакоми...

Сделано в России: возобновлён выпуск объективов «Рубинар» для астрономической фотографии Госкорпорация Ростех сообщает о том, что принадлежащий ей холдинг «Швабе» приступил к производству длиннофокусных фотообъективов «МС Рубинар 10/1000 Макро». В своё время объективы «Рубинар» создавались на базе фотографических объективов «МТО» и «ЗМ». Основное применение реше...

Руководитель производства электромобилей Tesla во Фримонте покинул компанию Питер Хоххолдингер (Peter Hochholdinger), вице-президент Tesla по производству на заводе во Фримонте, покинул компанию после трех лет работы в ней. Об этом сообщает Reuters со ссылкой на собственный источник. Хоххолдингеру было поручено улучшить производство седана Tesl...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Официально: Следующее поколение кроссовера Porsche Macan будет полностью электрическим, серийное производство стартует в 2021 году в Лейпциге Немецкий автопроизводитель Porsche официально объявил, что следующее поколение компактного кроссовера Porsche Macan будет исключительно электрическим. Таким образом, электрическая модель Porsche Taycan выйдет на рынок уже в конце текущего года, при этом спустя весьма коротки...

Нехватка процессоров Intel сохранится до 2020 года По данным тайваньского ODM-производителя Compal Electronics, нехватка процессоров Intel продолжится во втором полугодии этого года и сохранится до начала 2020 года. В конце прошлого года Intel заявила о дефиците производственных мощностей, что привело к нехватке 14-нанометро...

Уже осенью в Шымкенте появится 5G Beeline объявил о начале масштабной модернизации сети и подготовке к запуску пилотной зоны 5G.

Samsung закрывает свою последнюю фабрику в Китае Тот день, когда со смартфонов Samsung исчезнет надпись «Made in China» вот уже скоро наступит. Компания приняла решение закрыть свой последний завод на территории Поднебесной до конца текущего месяца. Сама фабрика находится в китайской провинции Гуандун и начала ...

Intel готова к массовому производству энергонезависимой памяти MRAM Согласно отчету издания EETimes, компания Intel готова начать массовое производство магниторезистивной оперативной памяти SST-MRAM. Благодаря высокой стойкости и высокой прочности, STT-MRAM может стать будущей универсальной альтернативой традиционным DRAM и NAND. MRAM…

Huawei начинает пробное производство 5-нм процессора Kirin 1000 Новейшими процессорами компании Huawei являются Kirin 990 и Kirin 990 5G. На данный момент они установлены внутри флагманов Mate 30/Mate 30 Pro и их 5G версий. ***

Yangtze Memory начала массовое производство 64-слойных микросхем 3D NAND Китай стремится к технологической независимости, вкладывая значительные средства в полупроводниковую промышленность, что позволит уменьшить зависимость от иностранного импорта и технологий. Для достижения этой цели в 2016 году была создана компания Yangtze Memory Technologie...

ASRock готовит платы AM4 к новым процессорам AMD Ryzen В рамках подготовки к релизу очередного поколения процессоров AMD Ryzen компания ASRock опубликовала перечень системных плат, для которых вскоре будут выпущены прошивки UEFI с поддержкой новых чипов. В данный список вошли матплаты на...

Контрактный производитель Jabil вдвое увеличил производственные мощности завода в Ужгороде Сегодня, 15 марта, компания Jabil, оказывающая услуги по контрактному производству разного рода электроники, открыла новую площадку на производстве в Ужгороде. Введение нового объекта в эксплуатацию увеличивает мощности завода вдвое. Сколько было инвестировано в расширение, ...

TCL наладит выпуск гибких OLED панелей в этом году Интерес производителей смартфонов к складному форм-фактору очень высок. В числе них — TCL, которая еще в начале года заявила о своих планах выпустить гибкий мобильник. И, возможно, даже не один.     Серьезный шаг к созданию складного смартфона уже сделан &md...

Apple запускает массовое производство iPad с 10,2-дюймовым экраном Издание EDN со ссылкой на осведомленные источники сообщило о том, что в ближайшее время должно стартовать массовое производство недорогого iPad. Согласно имеющимся данным, новинка будет оснащена экраном с диагональю 10,2 дюйма, ее анонс состоится осенью этого года.Читать дал...

Обнародованы изображения упаковки AMD Ryzen Threadripper 3000 Этим вечером компания AMD официально представит третье поколение процессоров Ryzen Threadripper, выполненное на базе 7-нм микроархитектуры Zen 2. Чипмейкер продолжит традицию выпуска своих самых производительных CPU в необычной упаковке, изображениями которой поделился веб-р...

Первые подробности об Apple Watch Series 5: новая кнопка, но microLED в пролете Компания Japan Display будет поставлять OLED-экраны для новой модели Apple Watch 2019 года. Как пишет Reuters, это позволит Apple снизить зависимость от Samsung, которая на данный момент является единственным производителем таких экранов для iPhone. Но в то же время это озн...

Беспроводные наушники Apple AirPods 3 в новом дизайне красуются на фотографиях На этой неделе компания Apple представила смартфоны iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max, новое поколение фирменных умных часов Appe Watch и доступный iPad, но о следующем поколении AirPods компания не сказала ни слова. Однако слухи указывают на то, что бе...

Утечка ключевых характеристик Samsung Galaxy A51 В сети всё чаще начинают появляться утечки, касательно Samsung Galaxy A51, анонс которого состоится в 1 квартале 2020 года. Согласно последней информации, Samsung уже начала производство смартфона, а сегодняшняя утечка раскрыла его ключевые характеристики. Подробнее об этом ...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Массовое производство AirPower может начаться уже в конце месяца С момента анонса яблочной беспроводной зарядной станции под названием AirPower прошло уже больше года. Но пока этот аксессуар так и не появился в продаже. Однако пользователи не теряют надежду и хотят, чтобы компания Apple начала продавать AirPower. Если верить источникам го...

Смартфоны Samsung с этикеткой Made in China скоро исчезнут Как пишет источник, компания Samsung, начала увольнять персонал со своего единственного китайского завода по производству смартфонов: на предприятии Huizhou Samsung Electronics Co. запущена программа компенсаций при сокращении. Все увольнения осуществляются на доброволь...

SK Hynix начала массовое производство 128-слойной памяти 3D NAND TLC SK Hynix в официальном пресс-релизе сообщила о запуске масштабного производства 128-слойных микросхем флэш-памяти типа 3D NAND TLC ёмкостью 1 Тбит (128 ГБ). В маркетинговых материалах данная память называется 4D NAND, что на самом...

Huawei начинает производство 5-нм чипов Kirin 1000, которые дебютируют в смартфонах Mate 40 В начале сентября китайская компания Huawei представила новый флагманский чип Kirin 990, который производится по улучшенному 7-нанометровому технологическому процессу с использованием EUV-литографии.

В 2018 году компании США побили рекорд по установке роботов для работы В прошлом году компании США установили больше роботов, чем когда-либо прежде. Роботы стали более дешёвыми и более гибкими в использовании, что делает их доступными для любых предприятий в различных сферах экономики, помимо традиционного использования на предприятиях автомоби...

Мобильные процессоры Intel Core 10-го поколения (Ice Lake) представлены официально Сегодня корпорация Intel придала официальный статус первым процессорам Core 10-го поколения. Ими стали мобильные чипы семейств Ice Lake-Y и Ice Lake-U, изготавливаемые по 10-нанометровым технологическим нормам. Новые CPU сочетают перспективную микроархитектуру Sunny Cove,...

Процессоры AMD признаны более безопасными, чем у Intel Последние несколько лет основной темой для обсуждения процессоров является их безопасность. Начиная с зимы 2018 года в сети стали появляться одна за другой информация о том, что в процессорах обнаруживаются все новые и новые уязвимости.

Panasonic прекращает производство LCD к 2021 году Panasonic объявляет о том, что к 2021 году выйдет из производства ЖК-дисплеев. Компания была ведущим производителем телевизоров, но из-за острой конкуренции со стороны китайских и южнокорейских производителей, ранее прекратила производство ЖК-телевизоров в 2016 году. Вместо...

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

Материнские платы на AMD B550 уже готовы к дебюту Менеджер по продукции Biostar Вики Ван (Vicky Wang) дала интервью корейскому изданию Brainbox, в котором рассказала о готовящихся материнских платах компании на новых чипсетах AMD и Intel. Интересно, что вскоре после публикации интервью компания Biostar заявила, что информац...

Время пришло. Microsoft начала извещать пользователей о скорой смерти Windows 7 Компания Microsoft начала рассылать оповещения пользователям операционной системы Windows 7, предупреждающие о скором прекращении поддержки и побуждающие перейти на Windows 10. Об этом рассказали сами пользователи ОС.  Первые такие напоминания начали появляться ут...

Nikkei: Apple сократит производство iPhone По данным Nikkei Asian Review, Apple уменьшит объёмы производства смартфонов в январе–марте. Сокращение затронет новые модели.

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Samsung Galaxy Note 10 может лишиться всех физических кнопок Официальная премьера флагманского семейства Samsung Galaxy S10 позади, следующая главная новинка от южнокорейского гиганта — это десятое поколение фаблета Galaxy Note. Последние слухи указывают на то, что его компания анонсирует в рамках сложившейся за последние годы хроноло...

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

Производство легендарных тамагочи возобновится Японская компания Bandai объявила о возобновлении производства тамагочи

Apple TV+: новый сервис с шоу и сериалами производства Apple Apple показала новый сервис Apple TV+ потокового вещания видео по подписке. В нем будет представлен эксклюзивный контент собственного производства компании. Сервис представил со сцены сам Стивен Спилберг, а также актрисы Риз Уизерспун и Дженнифер Энистон. Спилберг не толь...

Какую новинку представит Илон Маск в ближайший четверг? Основатель Tesla и SpaceX Илон Маск продолжает активно использовать свой твиттер для публикации интригующих сообщений. Совсем недавно он опубликовал дату пока неизвестного но, видимо, важного события — оно состоится 28 февраля, в полночь по московскому времени. Пользователи...

Новоуральск станет центром производства 3D-принтеров Росатома Производство промышленных лазерных 3D-принтеров Росатома будет базироваться в городе Новоуральске Свердловской области.Подробнее...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Массовое производство чипсета MediaTek S900 MediaTek анонсировал свой интеллектуальный чипсет, названный S900. Его особенностью является возможность декодировать 8K видео. Массовое производство чипа уже началось на литейных заводах TSMC, которые также являются производителем для таких компаний, как Apple, Qualcomm и N...

Северная Корея показала свой флагманский смартфон В рамках 15-й Пхеньянской международной осенней выставки товаров был представлен новейший смартфон местного производства — "Пхурын ханыль" ("Голубое небо"). По данным корреспондента ТАСС, новейшая разработка Северной Кореи была запущена в производство буквально неделю назад ...

Первый сезон сериала Witcher / «Ведьмак» выйдет на Netflix уже в четвертом квартале текущего года Первые подробности о сериале Witcher / «Ведьмак» от Netflix стали появляться только осенью прошлого года, прямо перед началом съемок, так что премьеру сериала ожидали не ранее 2020 года. Однако во время общения с инвесторами представители сервиса прямо сказали, что сериал вы...

Samsung Electronics инвестирует 133 трлн вон в производство логических микросхем к 2030 году Компания Samsung Electronics объявила, что к 2030 году инвестирует 133 трлн вон для укрепления своей конкурентоспособности в области производства …

На одном из заводов Samsung по производству DRAM произошла авария Как стало известно накануне, несколько недель назад на одном из небольших заводов Samsung возникли проблемы с производством микросхем DRAM. Предприятие Giheung Plant находится в Южной Корее и занимается выпуском 200-мм полупроводниковых пластин по...

Процессор Ryzen 5 3600 демонстрирует подозрительно высокую производительность в тесте CPUBenchmark Чем ближе старт продаж процессоров Ryzen 3000, тем больше новостей касательно этих CPU появляется в Сети. Героем очередной новости стал младший новой линейке — Ryzen 5 3600. Напомним, это шестиядерный процессор стоимостью 200 долларов. Данная модель появилась в б...

Один эпизод сериала See обходится Apple в $15 миллионов Уже через несколько месяцев компания Apple должна запустить сервис Apple TV+, который предоставит пользователям доступ к большому количеству видеоконтента. При этом производством сериалов и фильмов Apple занимается сама. Более того, компания выделяет на это внушительные сред...

Ноутбуки с топовым процессором AMD Ryzen 7 3750H появятся на рынке уже в апреле Мобильные процессоры Ryzen нового поколения компания AMD представила ещё в начале января. К сожалению, это не семинанометровые APU, а 12-нанометровые, в основе которых лежит архитектура Zen+. Линейку возглавляет модель Ryzen 7 3750H. И если ноутбуки с младшими APU новой...

Производство Apple A13 для новых iPhone начнётся во 2 квартале TSMC уже разрабатывает чипсеты для устройств Apple, а массовое производство A13 начнётся во втором квартале этого года.

Samsung готовится к производству новых дисплеев Выпуск экранов QD-OLED должен начаться "в ближайшем будущем".

Intel представила новое поколение процессоров по доступным ценам Intel официально представила новейшее поколение процессоров линеек Xeon W и Core X. Компания также изменила ценовую политику в отношении своих продуктов, и платформы стали доступнее.

Mara X и Mara Z первые собственные флагманы Африки Африка решила начать производство своих собственных смартфонов. Первыми устройствами Африки стали Mara X и Mara Z, которые работают под управлением операционной системы Android. Устройства оценили примерно в 190 и 130 долларов. Производством смартфонов занимается компания...

TSMC начнет производство 5-нм чипов в марте 2020 года Ранее в 2019 году компания приступила к массовому производству микросхем по технологическому процессу 7 нм+ второго поколения.

LG закроет завод по производству смартфонов Компания перебросит производство во Вьетнам, чтобы избавить мобильный бизнес от затяжных убытков.

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Обзор ARTLINE Overlord RTX X99 (X99v25): игровой ПК на четверть миллиона Еще осенью прошлого года компания Intel представила новые процессоры семейства Skylake-Х для десктопной платформы LGA2066. Обновленную линейку возглавил 18-ядерный Core i9-9980XE. Такие CPU только-только начинают появляться в Украине, потому мы использовали первую же возможн...

Массовое производство процессора Kirin 985 начнется в 3 квартале 2019 года Компания Huawei представила чипсет Kirin 980 на международной выставке технологий IFA 2018 в качестве первого 7-нм процессора в мире. ***

Модули тройной камеры Sony Xperia 1 производит компания Zeiss Появились новые сведения о камере флагманского смартфона Sony Xperia 1. Источники утверждают, что Sony нашла нового партнера по производству модулей камер для своих смартфонов. Это при том, что Sony поставляет датчики изображения для большинства известных смартфонов, ср...

Lockheed Martin представила новый истребитель Судя по фотографиям и видеоролику, F-21 — типичный истребитель 4-го поколения. Формы машины и обилие вооружения на внешней подвеске говорят о том, что малозаметность для радаров не была приоритетом конструкторов. Серийное производство истребителя будет развернуто в Индии, с ...

Выходцы из Soylent привлекли 5 млн USD на производство никотиновых жвачек Один из соучредителей Soylent Д. Рентельн спустя два года после ухода из стартапа запустил проект Lucy. Новая компания специализируется на производстве никотиновой жвачки.

Google начала работать над революционным устройством, о котором все просили Как известно, Google не любит аппаратное обеспечение, компания акцентирует внимание на софте и сервисах, считая их более перспективным путем развития. Однако это не означает, что она полностью откажется от выпуска собственной продукции. Google предлагает Chromecast, Pixel-п...

Samsung представила новый мобильный процессор и 5G-модем Компания Samsung Electronics анонсировала свой новый мобильный процессор и 5G-модем. В них используется передовая 7-нанометровая (нм) технология фотолитографии в глубоком ультрафиолете, обеспечивающая беспрецедентную производительность. В процессоре Exynos 990 встроен первы...

Смартфон Xiaomi Mi 9 производят уже на трех заводах Недавно компания Xiaomi выполнила план по производству 1 миллиона смартфонов Mi 9 за месяц, а сегодня подробно рассказала о выпуске своего флагмана. Оказывается, смартфон производят уже на трех фабриках: Langfang Foxconn, Xi'an BYD и Nanjing Yinghuada, принадлежащей Inventec...

Промышленные твердотельные накопители Greenliant ArmourDrive 88 PX развивают скорость передачи данных до 3470 МБ/с Компания Greenliant не этой неделе сообщила о начале поставок твердотельных накопителей ArmourDrive серии 88 PX типоразмера M.2, поддерживающих NVMe. Эти накопители предназначены для использования в промышленных системах, поэтому гарантированно сохраняют работоспособнос...

Наигрались: Razer сворачивает производство Razer Phone 3 и закрывает мобильное подразделение Компания уволила 30 сотрудников, которые занимались производством и продвижением смартфонов, а также созданием программного обеспечения для них.

В 2020 году Apple решится исполнить сразу две мечты пользователей В сети продолжают появляться подробности о линейке смартфонов Apple, запланированной к выпуску в 2020 году. На этот раз информацией поделился аналитик Barclays Блэйн Кертис (Blayne Curtis) после недавней поездки в Азию, где ор провёл ряд встреч с партнёрами Apple из цеп...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

TSMC начинает производство чипсета A13 для Apple iPhone 2019 Уже известно, что тайваньский чипмейкер TSMC станет единственным поставщиком новых чипсетов A13 для будущих Apple iPhone Сообщается, что компания уже начала производство чипов нового поколения. Подробнее об этом читайте на THG.ru.

Apple нужно купить одну из крупных студий по производству видео-контента После намека Тима Кука все уже практически не сомневаются в том, что в этом году компания Apple запустит свой собственный видео-сервис. Однако аналитики Wedbush уверены в том, что купертиновцам самим не под силу самостоятельно заниматься созданием шоу и сериалов. По этой при...

Sharp перемещает производство из Китая вслед за другими поставщиками Apple Sharp стала одним из последних поставщиков Apple, который объявил о планах вывести часть производства продукции из Китая в связи с продолжающейся торговой войной между Вашингтоном и Пекином. REUTERS/Toru Hanai Генеральный директор Apple Тим Кук (Tim Cook) в ходе недавнего кв...

Samsung Display работает над новой технологией дисплеев В то время как Samsung Display, дочерняя компания Samsung Electronics, собирается сократить производство LCD-дисплеев, Samsung готовится к производству новых панелей с квантовыми точками. Так, генеральный директор Samsung Display Ли Донг Хун (Lee Dong-hoon) сообщил, что комп...

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

HEDT-процессоры Intel Cascade Lake-X войдут в линейку Core 10-го поколения Этой осенью корпорация Intel выпустит очередное обновление HEDT-платформы LGA2066 в виде семейства процессоров Cascade Lake-X. Как и нынешние Skylake-X Refresh, новые CPU будут изготавливаться по улучшенным 14-нм нормам и предложат до 18 физических...

Ноутбук от Dell оборудованный 10 нм процессором Intel Ice lake Компания Dell начала принимать предварительные заказы на обновлённый гибридный ноутбук XPS 13 2-in-1 (7390), который был представлен в конце мая на выставке Computex 2019. Uлава Intel подтвердил, что устройства на новых процессорах компании, Ice Lake, станут доступны уже в...

Видеокарты NVIDIA с 7-нм GPU увидят свет в 2020 году Как сообщают источники, NVIDIA планирует заказывать производство своих 7-нм чипов будущего поколения не у традиционного партнёра, TSMC, а у компании Samsung. Утверждается, что такой выбор вызван тем, что Samsung в рамках 7-нм процесса сможет предложить EUV-литографи...

Microsoft работает над прототипами Surface Pro на базе ARM-процессоров По информации портала Thurrott.com, компания Microsoft создала прототипы Surface Pro на базе процессоров Qualcomm Snapdragon. Вероятно, руководство всерьёз рассматривает возможность выпуска бюджетных моделей линейки с использованием ARM-процессоров. Напомним, что нынешнее п...

Дорогие процессоры AMD оказались востребованнее дешевых 12-ядерный процессор Ryzen 9 3900X производства AMD уже много месяцев как официально представлен и поступил в продажу, но несмотря на это, устройство все еще находится в заметном дефиците, в первую очередь из-за своего соотношения цена/мощность.

Intel отложила выпуск Cascade Lake-X до конца ноября В прошлом месяце компания Intel представила новое поколение высокопроизводительных настольных процессоров, которые вошли в семейство Cascade Lake-X, однако новинки всё ещё не поступили в продажу. И похоже, случится это не очень скоро. На самом деле, анонсируя процессоры Casc...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

В России стартовало производство мощнейших ракетных двигателей Входящий в состав Роскосмоса Энергомаш начал производство самых мощных в мире жидкостных ракетных двигателей РД-171МВ. Об этом сообщило РИА Новости со ссылкой на техзадание к закупке Энергомаша.

Samsung полностью прекратила производство смартфонов в Китае Стало известно, что южнокорейский гигант Samsung закрывает свой последний завод по производству смартфонов в Китае. Сборка устройств на нём прекратилась в конце сентября. Проблема в том, что китайцам смартфоны Samsung оказались не нужны.

Samsung за десять лет инвестирует в производство мобильных SoC около $115 млрд В 2017 году Samsung Electronics стал новым лидером мирового рынка полупроводниковой продукции, сместив с трона Intel, который удерживал этот титул 24 года. Само собой, в Samsung Electronics отлично понимают, что в условиях столь высокой конкуренции без инвестиций в расширени...

В продаже появились первые аксессуары для AirPods 3 Если верить многочисленным слухам, то уже через три недели компания Apple должна провести свою вторую осеннюю презентацию. Одной из новинок, которые будут представлены в конце октября, станут AirPods 3. О скором анонсе нового поколения яблочных наушников говорят не только мн...

Kaby Lake: Если “тик-так” не получается… Время от времени законы мироздания предоставляют нам случай узнать много нового о себе и о самих этих законах. Перед такими случаями, как правило, у тех кто на передовом крае науки и техники, возникает иллюзия всезнания. Они уверенно планируют достижения, рисуют стрелки на...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

Второе место на рынке AMOLED теперь занимает не LG Как стало известно, китайская компания BOE Technology опередила корейскую LG по объему поставок дисплеев AMOLED, занимая теперь второе место на этом рынке и уступая только Samsung. В данный момент компания планирует увеличить отрыв от LG, вложив около 7 млрд долларов в...

Вскоре в Индии начнут производить актуальные модели iPhone Apple уже несколько лет при помощи своих партнёров производит смартфоны на территории Индии. Это позволяет избежать дополнительных налогов и получить прочие преференции. Однако на данный момент в Индии производят лишь старые модели iPhone. Ранее уже появлялись слухи о ...

IKEA делает ставку на развитие технологий «умного дома» IKEA официально подтверждает то, что итак было очевидным в последнее время: компания делает серьёзную ставку на технологии «умного дома», рассматривая их в качестве нового источника доходов. Для этого компания намерена инвестировать в новое бизнес-подразделение «IKEA Home Sm...

В Европу поставят новый высокоскоростной модем российского производства На территории особой экономической зоны Москвы будет построен завод, где планируется локализовать производство устройства.

Вьетнамская компания VinGroup построила собственный завод для производства смартфонов Группа компаний VinGroup, которая уже составляет 2% ВВП Вьетнама решила увеличить оборот и прибыль, занявшись новым для себя бизнесом — производством смартфонов. Вьетнамцы в этом деле пока что новички, но с самого начала решили пойти своим собственным путём, не просто прод...

Японская SBI Holdings займется производством чипов для майнинга Японский финансовый конгломерат SBI Holdings объявил о создании нового предприятия, которое будет ориентироваться на производство чипов и систем для майнинга криптовалют. В сообщении на сайте компании говорится, что SBI Mining Chip Co. (SBIMC) является частью стратегии SBI H...

Apple в этом году представит три новых iPhone – WSJ Один из новых смартфонов придет на смену iPhone XR. Об этом информируют Новости ИТ со ссылкой на zn.ua. Компания Apple намерена этой осенью представить три новых модели iPhone, включая одну, которая придет на смену “бюджетной” модели смартфонов компании iPhone XR...

300 Гц — новый стандарт топовых ноутбуков. Такой экран получил ноутбук Asus ROG Zephyrus S GX701 Похоже, какая-то компания начала производство ноутбучных дисплеев с поддержкой кадровой частоты 300 Гц. Только мы успели написать про модель Acer Predator Triton 500, удивляясь этому показателю, как стало известно, что аналогичное решение готовит и Asus. Более того, как...

ASRock подготовила материнскую плату X570 Taichi для новых процессоров AMD Уже на следующей неделе начнётся выставка Computex 2019, в рамках которой AMD представит процессоры Ryzen, а вместе с ними будут анонсированы и материнские платы на новом чипсете AMD X570. Компания ASRock также представит свои новинки, в частности, материнскую плату верхнего...

Pegatron потратит до 1 миллиарда, чтобы перенести производство чипов для iPhone из Китая Поставщик Apple компания Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple iPhone, о чем сегодня сообщили официальные источники. Тайваньский производитель дал документальное обещание правительству ...

Samsung представила память eUFS ёмкостью 1 ТБ для Galaxy S10 Plus Компания Samsung объявила о начале массового производства встроенного чипа Universal Flash Storage (UFS 2.1) или eUFS ёмкостью до 1 ТБ. Чип предоставит владельцам смартфонов «ёмкость, сопоставимую с ноутбуком премиум-класса». Чип eUFS ёмкостью 1 ТБ имеет тот же размер…

Серийный электромобиль Peugeot e-208 с мощностью 100 кВт, батареей на 50 кВтч и запасом хода 340 км (WLTP) / 450 км (NEDC) поступит в продажу осенью 2019 года Французский автопроизводитель Peugeot не стал дожидаться старта Женевского автошоу и представил обновленное поколение хэтчбека Peugeot 208 за неделю до официального начала выставки. По сложившейся традиции, в первую очередь нас будет интересовать полностью электрическая верс...

Intel снизила цены на процессоры девятого поколения Intel не только представила новейшее поколение процессоров Xeon W и Core X, но и объявила об изменениях в ценовой политике. С сегодняшнего дня стоимость уже представленных на рынке моделей Intel Core девятого поколения снизилась.

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

Intel начала подготовку к выпуску процессоров в исполнении LGA 1200 ещё в мае На этой неделе тема подготовки к анонсу наборов логики Intel серии 400 для процессоров в исполнении LGA 1200 подняла новую волну интереса к давней публикации ресурса XFastest, в которой впервые обсуждалась сама возможность появления процессоров в таком конструктивном исполне...

Samsung может начать производство GPU для дискретных видеокарт Intel На этой неделе Раджа Кодури (Raja Koduri), курирующий производство GPU в Intel, побывал на заводе Samsung в Южной Корее. Учитывая недавнее объявление Samsung о начале производства 5-нм чипов с использованием EUV, некоторые аналитики посчитали, что этот визит может быть неслу...

LG Display инвестирует 2,6 млрд долларов в производство панелей OLED в Южной Корее Компания LG Display сообщила, что инвестирует 2,6 млрд долларов в свою линию по производству панелей OLED, расположенную в Южной Корее. Ранее поставщик панелей для устройств Apple прогнозировал, что 2019 год будет тяжелым, поскольку значительные средства в выпус...

Boston Dynamics скоро начнёт продажу своих роботов В прошлом году компания Boston Dynamics объявила, что собакоподобные SpotMini станут её первыми поступившими в продажу роботами. Теперь глава компании Марк Рэйберт (Marc Raibert) представил серийный образец SpotMini  и объявил о скором начале производства.  ...

Verizon сотрудничает с Walt Disney Studios Verizon объявил на CES 2019, что он сотрудничает с Walt Disney Studios, чтобы представить новые технологии, а именно 5G для СМИ и развлечений. Партнерство призвано обеспечить подключение к сети 5G для каждого аспекта работы студии, от производства до личного опыта потреб...

Новый российский летающий танкер покажут на МАКС-2019 В основу нового самолёта-топливозаправщика Ил-78М-90А, первый лётный экземпляр которого продемонстрируют на МАКС-2019, лёг военно-транспортный самолёт Ил-76МД-90А. Как отмечают в ПАО «Авиационный комплекс им. С.В. Ильюшина», Ил-78М-90А стал первым самолётом-топливозаправщико...

Предварительные данные о производстве и продаже электромобилей компании Tesla за 4-й квартал и за 2018-й год Источник Сегодня компания Tesla в своем пресс-релизе сообщила предварительные результаты производства и реализации автомобилей за 4-й квартал и за 2018-й год в целом. В прошлом квартале компания почти достигла отметки в 1 тыс. автомобилей, которые она производила и реализ...

Samsung начала массовое производство чипсетов 5G Samsung Electronics объявил, что его коммуникационные решения 5G находятся в массовом производстве для новейших мобильных устройств премиум-класса. Коммуникационные решения 5G включают в себя Exynos Modem 5100, Exynos RF 5500 (новый однокристальный радиочастотный прием...

[Перевод] Intel Comet Lake-U и Comet Lake-Y: до 6 ядер для тонких и легких ноутбуков Подводя итоги нескольких весьма напряженных недель, Intel анонсировала вторую половину своего стека процессоров Core 10-го поколения с низким энергопотреблением. С новым именем Comet Lake, процессоры мощностью до 15 Вт основаны на существующей архитектуре процессора Intel Sk...

Первые игровые ноутбуки с процессорами AMD Ryzen нового поколения оценены в 700 и 800 евро Мобильные процессоры Ryzen нового поколения компания AMD представила ещё в начале января. Однако первые ноутбуки с этими CPU только сейчас начинают появляться на рынке. Компания Asus представила мобильные ПК TUF Gaming FX505DY и FX705DY в один день с анонсом AMD, но тог...

Foxconn подтвердила предстоящий запуск массового производства iPhone в Индии Foxconn вскоре запустит массовое производство смартфонов iPhone в Индии. Об этом объявил глава компании Терри Гоу (Terry Gou), развеяв опасения по поводу того, что Foxconn предпочтёт Индии Китай, где она ведёт строительство новых производственных линий.

В Руанде открыли первое в Африке предприятие по производству смартфонов Завод компании Mara Group расположен возле Кигали, и на нем осуществляется не только сборка двух недорогих смартфонов под брендом Mara, но и производство комплектующих для них.

Процессор Intel Lakefield засветился в базе данных 3DMark Будущий процессор Intel с кодовым названием Lakefield был замечен в базе данных 3DMark. Intel Lakefield станет первым процессором с использованием трёхмерной компоновки Foveros. Напомним, что Foveros - это технология, которая, по сути, позволяет Intel размещать микросхемы од...

InWin представила корпус InWin 309 с фронтальным дисплеем Один из мировых лидеров в сфере производства компьютерных корпусов, компания InWin, представил новый корпус InWin 309, который стал последователем прошлогодней модели 307. Новинка может похвастаться необычным дизайном, как и ее предшественник. Подробнее об этом читайте на TH...

Компактные ноутбуки ASUS обновились до процессоров Intel Core 10-го поколения Компания ASUS объявила о начале выпуска ноутбуков с процессорами Intel Core 10-го поколения. Речь идет о моделях AsusPro B9, ZenBook Duo, ZenBook 13/14/15 и ZenBook Flip 14/15. Новые процессоры обладают улучшенной энергоэффективностью и...

Huawei планирует выпустить новые смартфоны P300, P400 и P500 Смартфоны Huawei серии P традиционно являются флагманскими устройствам. Последними моделями серии стали смартфоны P30, P30 Pro и P30 Lite. Логично предположить, что модели P40 появятся в следующем году, но до этого момента китайский производитель может выпустить ещё нескольк...

Samsung увеличила скорость работы накопителей в смартфонах Samsung Electronics объявила о начале массового производства первого в отрасли встраиваемого накопителя Universal Flash Storage (eUFS) 3.0 емкостью 512 ГБ для мобильных устройств нового поколения. В соответствии с требованиями спецификаций eUFS 3.0, представленное ре...

Телевизоры 8K с платформой MediaTek не подходе Летом компания MediaTek представила S900 — первую 12-нанометровую однокристальную систему для телевизоров 8K. В конфигурацию платформы входит многоядерный процессор с ядрами Cortex-A73 и GPU Mali-G52. Решение поддерживает HDMI 2.1A и HDR10+ и способно выводить кар...

Huawei сократила заказы на свои флагманские смартфоны В начале месяца появилась информация о том, что Foxconn якобы остановила производственные линии, на которых собирались смартфоны Huawei. Позже Huawei назвала это ложью, заявив, что уровень производства её смартфонов в норме. Однако сейчас в Сети снова появились подобн...

Apple представила обновленные iMac Только вечера компания Apple представила новые iPad Air и iPad mini, а уже сегодня без предварительной подготовки купертиновцы анонсировали обновленные iMac. Если говорить коротко, то яблочные моноблоки изменились не слишком сильно. Фактически обновились только процессоры и ...

Covestro нарастит производство фотополимеров для 3D-принтеров Carbon Немецкая химическая компания Covestro AG поможет американскому производителю скоростных стереолитографических 3D-принтеров Carbon с наращиванием производства специализированных фотополимерных смол, используемых в качестве расходных материалов.Подробнее...

Samsung будет выпускать для Intel процессоры Rocket Lake Не секрет, что компания Intel до сих пор полностью не справилась с дефицитом своих процессоров. В конце прошлого года Intel пришлось в связи с этой ситуацией отдать на аутсорсинг производство чипсетов — этим занялась TSMC. Также ходили слухи, что TSMC может начать...

Вышли третьи бета-версии iOS 13.2 и iPadOS 13.2. Что нового Вчера стали доступны для разработчиков iOS 13.2 beta 3 и iPadOS 13.2 beta 3. По традиции публичные сборки Apple должна выпустить через несколько дней.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также можете ознакомиться с другими материалами рубрики ...

В этом году AUO планирует построить линию 6G по выпуску панелей OLED методом струйной печати По данным отраслевых источников, компания AU Optronics (AUO) планирует до конца 2019 года построить линию по выпуску панелей OLED методом струйной печати, рассчитанную на положки 6G. В текущем полугодии тайваньский производитель установит тестовую линию 3,5G. AUO разра...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

Rolls-Royce опробует 3D-принтеры от SLM Solutions в производстве авиационных двигателей Компания Rolls-Royce, один из ведущих производителей авиационных двигателей, возьмет на вооружение 3D-принтеры производства немецкой компании SLM Solutions, работающие по технологии селективного лазерного наплавления металлопорошковых композиций (SLM).Подробнее...

Слиты предполагаемые живые фото смартфона Xiaomi Mi Note 10 Хотя компания Xiaomi уже довольно долго не выпускала смартфонов линейки Mi Note, в последние несколько дней стали появляться слухи о подготовке к дебюту модели Mi Note 10. ***

Выход на рынок компаниии RELX На рынок России выходит азиатская компания RELX, занимающаяся производством инновационных электронных сигарет. Главной технологией новой электронной сигареты станет строенный в под, специальный керамический распылитель нового поколения с сотовой структурой обеспечивает мяг...

Apple снижает производство новых iPhone ещё на 10% После того, как Apple пересмотрела квартальный прогноз продаж своих смартфонов, объём производства гаджетов на январь-март 2019 года сокращается на 10%. Apple уже уведомила поставщиков о сокращении заказов на iPhone Xs, iPhone Xs Max и iPhone Xr в первом квартале текущего…

Вслед за Apple топовые мировые производители планируют перенести производство из Китая Согласно новому докладу, оказалось, что Apple не единственная крупная компания, которая намерена перенести часть своего производства из Китая. Подобную возможность рассматривают и такие известные производители, как HP, Dell, Microsoft, Google, Amazon, Sony, Lenovo, Acer, Asu...

Стало известно кодовое имя Ryzen Threadripper 4000 Хотя процессоры Threadripper 3000 до сих пор не увидели свет, в Сети уже стали появляться первые слухи о серии 4000 (Zen 3).

Volvo будет использовать блокчейн для отслеживания происхождения кобальта, предназначенного для производства аккумуляторов электромобилей компании Компания Volvo Cars сообщила, что станет первым автопроизводителем, который на международном уровне будет отслеживать с помощью технологии блокчейн происхождение кобальта для производства аккумуляторов электромобилей. Отслеживание происхождения сырья, в том числе кобальта, к...

В этом году вы не узнаете дисплей нового iPhone XR iPhone XR С самого начала и до сих пор компания Apple выпускает смартфоны с LCD-дисплеями. И даже как-то странно, что в 2019 году на рынке до сих пор есть iPhone XR с таким экраном, когда у iPhone XS и iPhone XS Max давно есть OLED. Но преданные фанаты Apple знают как миниму...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Intel представила новые мобильные и десктопные процессоры девятого поколения Компания Intel представила новые мобильные процессоры Intel Core девятого поколения серии H. Они стали самыми мощными мобильными CPU в истории Intel и ориентированы на геймеров и создателей контента. Подробнее об этом читайте на THG.ru.

Samsung откладывает выпуск телевизоров QD-OLED По данным источника, компания Samsung Display (SDC) недавно вложила значительные средства в покупку запатентованных технологий QD-OLED и micro-LED у одной из южнокорейских компаний. Ранее компания Samsung продвигала технологию QLED, в которой жидкокристаллические панели...

[Из песочницы] Подготовка к промышленному производству ДО-РА 1. Транспортировка образцов Проект DO-RA DO-RA.com был рождён в марте 2011 г. после ядерной катастрофы на АЭС Фукусима в Японии и задумывался в виде гаджета – персонального дозиметра-радиометра работающего с одноименным ПО – DO-RA.Soft на любом смартфоне под мобильные пла...

Миллион смартфонов за день. Флагман Xiaomi Mi 9 бьет рекорды Ранее глава компании Xiaomi Лей Цзунь (Lei Jun) рассказал о том, что производство флагманского смартфона Xiaomi Mi 9 собрал началось еще в январе, поэтому к началу продаж будет готово достаточное количество смартфонов для удовлетворения спроса. Ранее проскакивала информ...

Toshiba Memory запустила в производство XL-FLASH Компания Toshiba Memory Europe (TME) объявила о запуске в производство нового решения в области памяти класса хранилища (Storage Class Memory, SCM) — XL-FLASH. Оно создано на основе собственной инновационной технологии TME — ...

В базе UserBenchmark засветился 10-ядерный процессор Intel Cascade Lake-X Осенью ожидается новый виток борьбы Intel и AMD — на этот раз за сегмент HEDT. Первая должна представить платформу Glacier Falls с процессорами Cascade Lake-X (платформа Glacier Falls), а вторая — Ryzen Threadripper третьего поколения. В Сети уже стали замеч...

Как делают стеклянные ёлочные украшения Согласно одной из версий, первые стеклянные ёлочные игрушки появились в Саксонии в XVI веке — раньше первой документально зафиксированной установки рождественского дерева! Другая версия звучит более правдоподобно и связывает их появление с катастрофическим неурожаем яблок в ...

За два дня Tesla Cybertruck собрал более 146 000 предзаказов, причем 83% пришлось на более дорогие версии с двумя и тремя моторами (50/50) Производство представленного в минувшую пятницу футуристического пикапа Tesla Cybertruck в кузове из нержавеющей стали начнется только в конце 2021 года, но сразу после анонса компания Илона Маска открыла на своем сайте предзаказы с обязательным внесением депозита в $100 (во...

Natura Siberica решила заняться производство бутилированной воды Компания Natura Siberica анонсировала строительство на Курильских островах завода по производству бутилированной воды. В реализацию данного проекта производитель косметики планирует вложить более 200 млн рублей.

Intel расширит 14 нм производство В Сети ходят слухи о том, что компания Intel может в очередной раз столкнуться с трудностями при производстве 14 нм процессоров. Компания предприняла меры по выходу из кризиса, однако к традиционному всплеску спроса в конце года она может оказаться не готова.

Xiaomi построила суперфабрику по производству флагманских смартфонов Руководитель Xiaomi Лей Цзунь (Lei Jun) выступил сегодня на мероприятии World 5G Conference, где сделал очень интересное заявление. Оказывается, компания построила суперсовременную фабрику по производству смартфонов в особой экономической зоне Пекина (Beijing Economic a...

[Перевод] Срочная новость: крупнейший в Западном полушарии завод по производству солнечных батарей начал работу Hanwha Q Cells начали отгрузку первых солнечных батарей со своего 1,7ГВт завода на границе Джорджии и Теннесси. Это второй крупный завод на территории США, выпускающий солнечные модули, запустивший производство на этой неделе. Подробности о солнечной энергетике США

Стали известны параметры видеокарт от Radeon 610 до Radeon RX 640 С выходом видеокарт поколения Navi компания AMD снова сменила формат названий адаптеров, что в последние годы делала уже не раз. Однако позже нам пообещали, что в ближайшие годы AMD будет придерживаться новых принципов именования карт. Таким образом, следующее поколени...

Samsung разработала первые в отрасли чипы DDR4 на базе технологии 10-нм класса третьего поколения Компания Samsung заявила, что она первой в отрасли смогла разработать чипы памяти Double Data Rate 4 (DDR4) ёмкостью 8 Гбит на базе производственной технологии 10-нанометрового класса третьего поколения. При этом отмечается, что прошло всего лишь 16 месяцев с момента начала ...

Глава японского оператора намекнул на дату начала продаж iPhone 11 В прошлом в сети уже появлялась информация о том, когда компания Apple представит свои новые iPhone. По подсчетам аналитиков, iPhone 11 могут быть представлены уже 10 сентября, а на полках магазинов новинки станут доступны примерно через полторы недели. Сегодня некоторыми по...

Core i3-9350K дебютировал в компании многих других доступных процессоров Intel Coffee Lake Refresh Процессоры Intel Core девятого поколения стали доступнее.

Samsung может выпустить смартфон в стиле Mate X быстрее Huawei По сообщениям сетевых источников, компания Samsung Electronics планирует активно развивать линейку складных смартфонов. Вторым устройством этой категории может стать смартфон, складывающийся наружу, как Huawei Mate X. Источник сообщил, что хоть официальная дата запуска Galax...

Представлен дизайн первого процессора RISC-V для европейских суперкомпьютеров будущего Разработкой процессоров и платформ в рамках инициативы по созданию новых суперкомпьютеров на базе европейских компонентов занимается консорциум European Processor Initiative (EPI). На днях EPI представил Еврокомиссии первый дизайн процессора, который и станет основной для бу...

В начале следующего года нас ждут 10-ядерные процессоры Intel Comet Lake, которые будут требовать новый сокет Компания Intel на днях представила мобильные процессоры Comet Lake, которые относятся к 10 поколению CPU […]

В РФ начали производство аналога винтовки AR-15 Полуавтоматическая винтовка AR-15 является одним из самых популярных образцов американского стрелкового оружия. Как сообщает РИА Новости со ссылкой на официального представителя ORSIS, компания запустила серийный выпуск полуавтоматических винтовок ORSIS-AR15J. Ранее их собир...

Samsung Display инвестирует 10,85 млрд долларов в производство панелей QD-OLED По данным источника, компания Samsung Display (SDC) официально объявила о решении инвестировать 10,85 млрд долларов США в исследования, разработку и производство телевизионных панелей QD-OLED. Инвестиционный план рассчитан на 6 лет — с 2019 по 2025 год. Первым шаг...

«Рикор» приступил к производству опытных образцов серверных блоков питания Российский инновационный холдинг «Рикор» приступил к производству опытных образцов серверных блоков питания …

Лидеры Xiaomi и Redmi путаются в показаниях. Так хватит ли запасов Redmi Note 8 всем желающим? Смартфоны Redmi Note 8 уже собирают. Видео с производства Напомним, вице-президент Xiaomi и глава бренда Redmi Лу Вейбинг (Lu Weibing) сегодня опубликовал видеоролик, в котором нам показывают процесс сборки нового смартфоона Redmi Note 8. Этот потенциальный хит оснащен...

Sony прекращает производство PS Vita Игровая консоль PlayStation Vita официально мертва. Компания Sony сообщила о прекращении производства игровых консолей, последних двух моделей Vita.

Представлен «честный» смартфон Fairphone 3: ремонтопригодность на первом месте Задумывались ли вы когда-нибудь о том, что желание получить процессор «помощнее» и камеру «получше» негативно отражается на экологии. Производители используют все больше материалов для производства новых моделей, а старые должным образом не перерабатываются. Компания Fairph...

Hegel представит на выставке Munich High End 2019 новый интегральный усилитель H390 Компания Hegel объявляет о начале производства новой модели интегрального усилителя

Новый суперхит Apple. Компания удвоит производство AirPods Pro, так как спрос слишком велик Несмотря на свою цену, наушники Apple AirPods Pro оказались очень популярными. Мы уже писали о том, что благодаря новой модели купертинский гигант в итоге может реализовать по итогам года 60 млн наушников, удвоив продажи относительно прошлого года. Однако для начала Ap...

Смартфон Energizer с огромной батареей может не выйти — компания запустилась на краудфандинге Представленный на выставке MWC19 смартфон Energizer с аккумулятором на 18 000 мАч может так и не поступить в продажу. Не упоминания этого на своем стенде, компания запустилась на краудфандинге для сбора средств на производство устройства. Если нужные 1.2 млн долларов не собе...

Ducati готовит экспансию на рынок электрических мотоциклов Один из мировых лидеров в производстве мотоциклов итальянская компания Ducati (в настоящее время принадлежит Audi AG) уже неоднократно заявляла о своих намерениях вплотную заняться производством электрических мотоциклов.

В ожидании процессоров Snapdragon 865/875 После выпуска процессора Snapdragon 855 Plus, который отличается высокой производительностью, компания Qualcomm готовит еще две новинки, одна из которых будет основана на 5-нм техпроцессе. Известно, что производством займутся на мощностях TSMC, а также на...

Именная чехарда среди смартфонов Nokia HMD Global признает, что у нее есть проблема — чехарда и отсутствие четкой стратегии в наименовании смартфонов. За более чем два года работы по возвращению торговой марки Nokia на рынок, компания так и не смогла выработать единую схему названий продуктовой линейки. &nb...

Производство электроэнергии солнечными электростанциями выросло в Казахстане По итогам I полугодия 2018 года объем производства электроэнергии всеми объектами ВИЭ составил 629,5 млн кВт/ч.

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

LG Display дополнительно инвестирует 3 трлн. вон (2,5 млрд. долл.) Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370

Prime Utopia - футуристический прототип материнской платы следующего поколения от компании Asus В этом году компания Asus отмечает свою 30-ю годовщину и, поскольку эта компания в 1989 году начала свою деятельность именно с производства компьютерных материнских плат, она представила свое видение того, какими будут материнские платы следующих поколения спустя некоторое в...

Спрос на iPhone 11 оказался высоким: Apple увеличит производство на 10% Apple сообщила об увеличении производства своих новых смартфонов iPhone 11 и iPhone 11 Pro на 10%, отмечает Nikkei Asian Review. Данный рос увеличит текущие производственные планы до 8 млн единиц, поскольку спрос на устройства оказался выше ожидаемого. Подробнее об этом чита...

Стало известно, у кого и когда Nvidia закажет выпуск первых GPU по технологии EUV По сообщению источника, компания Nvidia будет проектировать графические процессоры, выход которых запланирован на будущий год, в расчете на изготовление по 7-нанометровой литографии в жестком ультрафиолетовом диапазоне (EUV). Их выпуск будет заказан у компании Samsung. ...

Видео дня: возможности фитнес-браслета Xiaomi Mi Band 4 В YouTube уже появился официальный рекламный видеоролик нового фитнес-браслета Xiaomi Mi Band 4, который будет представлен в Китае уже сегодня. Нам показывают огромное количество различных рук и браслетов, которые отличаются ремешками и циферблатами. Также рекламируютс...

Серийное производство китайского ответа Tesla начнётся в июле Китайский производитель электромобилей Byton, столкнувшийся с проблемами финансирования расширения производства и рядом кадровых перестановок в связи с уходом соучредителя и бывшего гендиректора Карстена Брайтфельда (Carsten Breitfeld), сообщил, что получил более 50 000 пред...

Этой осенью Mac Pro неминуем Новый Mac Pro грянет как молния С момента презентации сурово-профессионального Mac’а третьего поколения случилось много неприятного, и с июля этого года судьба Mac Pro “с дырочками и на колесиках” была в опасности. Из-за обстоятельств почти непреодолимой силы. И я уже начал ...

Серийное производство российского электрокара Zetta начнётся в 2020 году Глава Минпромторга РФ Денис Мантуров сообщил о планах начать серийное производство первого российского электромобиля Zetta в первом квартале 2020 года. По его словам, сертификация машины находится на финальном этапе. Ранее запуск производства российских электрокаров был анон...

Huawei увеличит портфолио чипов сразу двумя ... До конца нынешнего года Huawei планирует представить две фирменные однокристальные системы. По инсайдерской информации, одна из них — Kirin 985 и дебютирует она в семействе Huawei Mate 30. Это будет первый чип, произведенный с использованием 7-нанометрового техпроцесс...

Бизнес на 3D печати. Реальный кейс от SHOKOBOX - Влог 11 Возможно ли внедрить 3D печать в производство и получить реальный профит? Мы запустили серию влогов, где на примерах конкретных бизнесов и производств расскажем, как компании внедряют 3D печать.Подробнее...

LG Display дополнительно инвестирует 3 трлн. вон (2,5 млрд. долл.) в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея.

Стали известны характеристики еще неанонсированного чипа Snapdragon 865 Каким будет новый процессор Snapdragon? Технологический гигант в лице Qualcomm еще даже официально не объявил о том, что разрабатывает процессорный чип следующего поколения. Однако данные о том, что подобная разработка существует появлялись и ранее. Ну а совсем недавно, благ...

Nikkei: Apple сократит производство новых моделей iPhone во второй раз за два месяца В начале 2019 года компания выпустит на 20% меньше iPhone, чем годом ранее, говорят источники.

«Зенит» представил сверхсветосильный объектив Зенитар 0,95/50 Если спросить любого советского фотолюбителя, какой фотоаппарат лучший, то он не колеблясь ответил бы «Зенит». После развала СССР, у Красногорского завода им. С. А. Зверева, который и занимался производством фототехники под знаменитой маркой, впрочем, как и у всей страны, на...

Samsung Display начинает расширять применение AMOLED Компания Samsung Display объявила, что планирует расширить производство дисплеев AMOLED, чтобы охватить основные сегменты рынка ИТ. К достоинствам дисплеев AMOLED производитель относит «выдающуюся цветопередачу», низкое энергопотребление, малую толщину и ма...

Продвинутые пауэрбанки ADATA P20000D и A10050D поступают в продажу в России Многим компания ADATA известна по производству модулей памяти, однако в России портфолио продуктов пополняется. В продаже появляются два новых пауэрбанка, модели P20000D и A10050D, каждая с о своими особенностями. Читать полную статью

AMD теперь дороже Intel. Представлены 7-нм HEDT-процессоры Ryzen Threadripper 3-го поколения Как и ожидалось, AMD сегодня официально представила новое поколение процессоров Ryzen Threadripper 3000 (семейство Castle Peak) для высокопроизводительных настольных систем (HEDT). В общем-то, обошлось без сюрпризов, все предварительные данные о новой HEDT-платформе «кр...

LG Display дополнительно инвестирует 3 трлн. вон в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея. Благодаря этим инвестициям компания у...

Intel начинает продажи «новых» 14-нм HEDT-процессоров Core i9-10000 (Cascade Lake-X), а AMD — 7-нм Ryzen Threadripper 3000 (Castle Peak) 25 ноября Intel и AMD начинают мировые продажи своих новых высокопроизводительных (HEDT) процессоров. Речь о чипах Intel Core i9-10000 (Cascade Lake-X) в конструктивном исполнении LGA2066 и AMD Ryzen Threadripper 3000 (Castle Peak) в исполнении sTRX4. Напомним, новые процесс...

В Китае создан фонд поддержки производителей микросхем Стало известно о том, что Национальный инвестиционный фонд Китая несколько дней назад учредил новый фонд в размере 204,15 млрд юаней (приблизительно равно $28,9 млрд), ознаменовав начало второго этапа поддержки китайских производителей микросхем. Ранее Национальный инвестици...

Apple не воспользуется услугами Samsung при создании процессора A13 Официальная премьера смартфонов высокого класса от Apple не состоится до осени. На данный момент компания усиленно работает над iPhone (2019), чтобы своевременно обеспечить свои модели необходимыми аппаратными компонентами. Одним из ключевых улучшений, которые мы увидим в см...

Подготовка к Ryzen 3000: цены на 12-нм процессоры AMD ощутимо просели Накануне релиза очередного поколения процессоров традиционно снижаются цены на актуальные модели CPU, что помогает ритейлерам подготовить склады к поставкам новых продуктов. Вполне предсказуемо, данная ситуация наблюдается незадолго до официального старта продаж 7-нм «...

Новый аппарат для МКС займется переработкой мусора в сырье для 3D-принтера Калифорнийский стартап с говорящим названием «Made in Space» объявил о подготовке к отправке на МКС своего нового устройства. Это своего рода комбайн, который перерабатывает пластиковые компоненты, включая различный мусор, в сырье для производства полимерного материала для 3...

Fujian Jinhua прекращает производство из-за запрета США на поставку материалов В октябре стало известно, что США запретили поставки американской продукции китайскому производителю микросхем памяти Fujian Jinhua Integrated Circuit Co Ltd. Китайскую компанию обвинили в краже интеллектуальной собственности у американской компании Micron Technology. Д...

Tetra Pak начала выпускать в России упаковки с индивидуальными QR-кодами Нанесение на упаковку индивидуальных QR-кодов стало возможным после модернизации печатной линии на фабрике Tetra Pak по производству упаковочного материала в городе Лобня. В марте здесь была выпущена первая партия упаковок с уникальными QR-кодами, а продукция в ней появится ...

Intel выпустила процессоры Core 10-ого поколения Компания Intel наконец-то запустила серийное производство 10 нм процессоров, правда пока лишь мобильных (архитектура ядра Sunny Cove). Новинки Core десятого поколения поддерживают графику Iris Plus 11-ого поколения и технологию Intel Deep Learning Boost (Intel DL Boost). ...

Объявлены цены первых телевизоров Motorola Motorola объявила о выпуске своих первых телевизоров на Android. Уже началось производство шести моделей. Первой страной, где они начнут продаваться, станет Индия.

Первый 5G-смартфон Nokia будет стоить около 700 долларов Никто не сомневается в том, что смартфоны Nokia с поддержкой мобильных сетей пятого поколения находятся в разработке, однако они появятся в продаже только в следующем году. Руководство HMD Global считает 2020 год правильным для начала массового выпуска 5G-устр...

Процессор Intel Core i5-9600K (Coffee Lake Refresh) и другие Core i5 для платформы LGA1151 Выход в свет процессоров «девятого» поколения Core в октябре этого года сопровождался массой материалов, посвященных старшим, восьмиядерным моделям этого семейства: Core i7-9700K и i9-9900K — именно из-за того, что они восьмиядерные. Третий же представленный осенью процессор...

Не нравятся китайские iPhone? Теперь есть возможность купить индийские Большая часть устройств Apple производится в Китае. Но не так давно партнёры купертинского гиганта начали производство смартфонов в Индии, так как это позволяет Apple избежать дополнительных налогов в этой стране. И вот теперь сообщается, что индийские iPhone уже пошли...

Huawei Mate 30 может стать первым смартфоном с процессором Kirin 985 Первым смартфоном Huawei на основе фирменного флагманского процессора следующего поколения HiliSilicon Kirin 985, вероятнее всего, станет модель Mate 30. По крайней мере, об этом сообщают веб-источники. Reuters По уточнённым данным, чип Kirin 985 дебютирует в третьем квартал...

Заводская цена на iPhone 11 Pro Max оказалась удивительно низкой В начале прошлого месяца Apple представила новую флагманскую линейку смартфонов — iPhone 11, 11 Pro и 11 Pro Max. Цена на последний, к слову, начинается от 1099 долларов, но при этом производство устройства само собой не обходится компании в столь высокую цену. А вот сколько...

Катастрофа на рынке 3D NAND: завод Western Digital и Toshiba обесточен вторую неделю Вот оно, случилось! То, о чём регулярно вспоминали в комментариях к новостям об ожидаемом снижении цен на флеш-память, стало реальностью. На одном из крупнейших предприятий по производству 3D NAND ― на совместном заводе компаний Western Digital и Toshiba в Японском городе Йо...

PlayStation 5 прочат 8-ядерный процессор с частотой 3,2 ГГц О спецификациях PlayStation 5 известно не так много. Игровая консоль Sony нового поколения является ожидаемым продуктом, поэтому с ней связано большое количество слухов, многие из которых не были подтверждены официально. К примеру, в сети Интернет неоднократно появлялись слу...

Xerox покажет технологии автоматизации полиграфического производства на PrintShow "Креативная весна" Xerox проведёт PrintShow "Креативная весна" совместно с компаниями NBZ Computers и "Русском". Участники мероприятия узнают, как ускорить полиграфическое производство и повысить качество продукции, используя систему автоматизации Xerox FreeFlowCore.

AMD представила 7-нм процессоры Ryzen третьего поколения Компания AMD представила третье поколение десктопных процессоров Ryzen. Хотя назвать это событие презентацией довольно сложно, и американский производитель оказался очень скуп на подробности о новых процессорах. Подробнее об этом читайте на THG.ru.

Nintendo Switch не подешевеет Некоторое время назад в сети появилась очень интересная новость, в которой некий анонимный источник сообщал о сокращении производства Nintendo Switch. Инсайдер говорил, что компания планирует снизить стоимость своей портативной приставки и до конца года показать новую приста...

AMD Genesis Peak: вероятное название процессоров Ryzen Threadripper четвёртого поколения Ожидается, что в четвёртом квартале появятся процессоры Ryzen Threadripper третьего поколения, которые предложат до 64 ядер и архитектуру AMD Zen 2. Они успели оставить след в прошлых новостях под условным обозначением «Castle Peak», которое имеет отношение к географическим ...

Подготовка к "рунету" идёт полным ходом Начались подготовки к старту проекта

Samsung запускает в массовое производство мобильного чипа памяти на 12 ГБ Компания Samsung объявила о начале массового производства чипа LPDDR4X DRAM емкостью 12 ГБ для смартфонов, который дает возможность предлагать смартфонам больше оперативной памяти, чем многие ультратонкие ноутбуки. Чип емкостью 12 ГБ имеет толщину 1,1 мм и позволяет про...

BOE планирует за год утроить выпуск гибких дисплеев OLED Китайская компания BOE планирует значительно увеличить производство экранов OLED для смартфонов и расширить область применения экранов OLED за счет устройств интернета вещей, оборудования розничной торговли, транспортных средств и других перспективных направлений. ...

Вице-президент Xiaomi анонсировал флагман Redmi K30 с поддержкой 5G Redmi только-только представила смартфоны Note 8 и Note 8 Pro и, казалось бы, в ближайшее время новинок компании можно больше не ждать. Но не тут-то было: вице-президент Xiaomi и по совместительству глава бренда Redmi Лю Вейбинг (Lu Weibing) уже анонсировал очередную мо...

Поставщики Apple готовятся к производству новых iPad и AirPods По данным DigiTimes, производители гибких печатных плат Flexium, Zhen Ding Technology, Compeq и Unitech наращивают объемы поставок деталей для будущих новинок Apple. В частности, Flexium и Zhen Ding Technology займутся производством печатных плат для для моделей планшетов iP...

Apple займется разработкой аккумулятора нового поколения Как известно, Apple занимается созданием ряда комплектующих. В недавних докладах предполагалось, что компания планирует начать производство модемов и microLED-дисплеев. И судя по всему, Apple также займется разработкой собственных аккумуляторов. Подробнее об этом читайте на ...

Boston Dynamics раскрыла дату начала массового производства роботов-собак Представители Boston Dynamics рассказали о планах компании на конференции TechCrunch Sessions: Robotics + AI. Выяснилось, что начало производства четвероногих роботов SpotMini для массового потребителя запланировано на лето.

Электромобили и пик продаж топливных автомобилей В последнее время появляется все больше дискуссий по поводу электромобилей и их влияния на нашу жизнь, на другие отрасли производства и на их влияние на потребление нефти. Часто утверждается, что мировое производство традиционных топливных автомобилей будет расти ещё неско...

В 2020 году начнутся первые гонки пилотируемых октокоптеров Airspeeder Молодая австралийская компания Alauda анонсировала первые полеты октокоптеров своего производства с пилотами на борту. Период испытаний в беспилотном режиме, как сообщают в компании, завершился успехом. И это значит, что уже в следующем году могут стартовать официальные гонк...

6 причин посетить Autodesk University Russia 2019, если вы увлекаетесь цифровым производством Autodesk University Russia 2019 — ежегодная конференция Autodesk, собирающая российских и зарубежных экспертов в области технологий проектирования и производства промышленных изделий. В течение двух дней, 2 и 3 октября, участники смогут узнать, как создавать цифровые двойник...

Куо: в январе будет запущено производство iPhone SE 2, продажи начнутся в конце марта Смартфон, возможно, получит дизайн iPhone 8.

Intel NNP-I — ускоритель искусственного интеллекта, созданный на основе процессора Ice Lake В 2016 году Intel приобрела компанию Nervana Systems, специализирующуюся на технологиях, связанных с искусственным интеллектом. Позже Intel представила платформу Nervana для приложений ИИ, а в 2017 году пообещала выпустить первую в отрасли микросхему для обработки нейро...

Линейка процессоров Ryzen 3000 точно получит 12-ядерную модель В начале месяца AMD представила настольные процессоры Ryzen третьего поколения — первые на рынке семинанометровые […]

Шпионские страсти. Super Micro откажется от использования в своих серверах компонентов китайского производства Американский производитель серверов Super Micro Computer, прошлой осенью бездоказательно обвиненный в наличии шпионских «закладок» на системных платах, изготавливаемых в Китае, вынужден трансформировать цепочку поставок. Хотя в декабре производитель серверо...

Intel представила новые мобильные процессоры 10-го поколения Компания Intel пополнила 10 поколение мобильных процессоров новой линейкой Comet Lake. Подробнее об этом читайте на THG.ru.

Intel выпустит новую линейку серверных процессоров Xeon Gold U без UPI В начале этого месяца Intel уже выпустила свежие процессоры Xeon поколения Cascade Lake, самым мощным из которых стал 56-ядерный Xeon Platinum 9282 с поддержкой многопоточности. Но, как недавно выяснилось, это только начало. Подробнее об этом читайте на THG.ru.

О системе бережливого производства Lean TPM рассказали на заводе «Балтика-Санкт-Петербург» 25 января на «Балтике» состоялось мероприятие, посвященное бережливому производству Lean TPM, в рамках Всероссийской программы обмена лучшими практиками повышения производительности труда, организованной Общественной палатой Российской Федерации. Бережливое производство стан...

AMD готовит анонс следующего поколения на игровой выставке Е3 Компания AMD объявила, что на игровой выставке E3 2019 будет вести прямую трансляцию анонса продуктов следующего поколения. Что именно это будет, сказано не было. По словам разработчиков, данная технология будет задействована в играх от компьютерных до консольных и в облаке ...

Samsung снизит затраты на производство смартфонов с помощью Китая Сетевые источники сообщили, что в следующем году компания Samsung намерена нарастить объёмы ODM-производства смартфонов. То есть значительное количество устройств южнокорейского гиганта будут собраны сторонними китайскими компаниями.

Новые iPhone получат "подводный режим" До релиза новых iPhone остается полгода, и поэтому в Сети уже начали появляться слухи о том, каким будет следующее поколение смартфонов от компании Apple. Недавно достоверный поставщик утечек поделилс...

Pegatron инвестирует до 1 миллиарда долларов в индонезийскую фабрику по производству чипов для iPhone Поставщик Apple Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple, сообщил во вторник представитель министерства Индонезии (через Reuters). Тайваньский производитель дал обещание в подписанном письме пра...

Что Apple может представить 25 марта На днях стало известно, что следующая презентация Apple может пройти 25 марта. По слухам, мероприятие будет целиком посвящено сервисам, но аналитики не исключают, что компания представит и ряд «железных» новинок. Мы решили собрать все, что Apple может показать 25 марта: кон...

В смартфонах Apple 2020 года не будет дисплеев производства BOE Как известно, китайская компания BOE планирует за год утроить выпуск гибких дисплеев OLED и довести его до 70 млн штук. Дисплеи BOE уже можно встретить в смартфонах более чем десяти производителей, включая Huawei, Oppo и Vivo. Еще в июле появилась информация, что Apple ...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

Росатом и Hermith займутся совместным производством материалов для промышленных 3D-принтеров Топливная компания Росатома АО «ТВЭЛ» и немецкий поставщик титановой продукции Hermith GmbH приступают к созданию совместного предприятия по производству расходных материалов для промышленных 3D-принтеров и других изделий из титана.Подробнее...

Huawei готовится захватить рынок 5G-смартфонов Несмотря на все запреты и проблемы Huawei остаётся второй крупнейшей компанией по производству смартфонов в мире. А учитывая такое количество поставок, производство устройств также ведётся в больших масштабах. Подробнее об этом читайте на THG.ru.

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

Foxconn передумала выпускать в США ЖК-дисплеи для телевизоров Крупнейший в мире контрактный производитель Foxconn Technology Group пересмотрел планы по производству современных жидкокристаллических панелей для телевизоров в кампусе в Висконсине (США) и теперь планирует вместо завода создать здесь «технологический центр», который будет ...

У Adata готов модуль DDR4 DIMM обычной высоты объемом 32 ГБ В прошлом году компания Asus отклонилась от стандартов, чтобы увеличить емкость модулей памяти DDR4 DIMM, воспользовавшись возможностями чипсета Intel Z390. Она предложила модели Double Capacity DIMM или DC DIMM, которые отличались большим числом микросхем DRAM, для раз...

3D взмывает ввысь: создан новый композит для аэрокосмоса, снизивший вес деталей на 20% Аддитивное производство металлических деталей становится все более востребовано, и неудивительно: по сравнению с традиционными промышленными технологиями, такими как литье, порошковая металлургия и механическая обработка, «аддитивка» позволяет создавать детали сложной формы,...

Snapdragon 865 засветился в тестах на производительность Новый процессор Qualcomm был представлен, кажется, настолько недавно, что это словно случилось вчера. Но на самом деле это случилось в середине прошлого месяца. Однако с тех пор на базе Snapdragon 855 Plus уже успело выйти полдюжины вполне себе реальных устройств. Среди так...

Процессор AMD Ryzen Threadripper нового поколения засветился в Geekbench Слухи и утечки относительно процессоров AMD Ryzen Threadripper третьего поколения появлялись в сети еще до официального выпуска. Теперь на Geekbench появились предполагаемые оценки производительности процессора HEDT, а также информация, касающаяся его спецификации. Моде...

Представлена VR-гарнитура Oculus Rift S с более высоким разрешением и встроенным трекингом Oculus представила VR-гарнитуру следующего поколения Rift S с более высоким разрешением и встроенной системой отслеживания, что позволило отказаться от внешних датчиков. Новинка была разработана в сотрудничестве с компанией Lenovo, которая, как ожидается, должна помочь Oculu...

Impossible Objects анонсировала CBAM 3D-принтер второго поколения Компания Impossible Objects анонсировала новый 3D-принтер по технологии CBAM или «Аддитивного производства на основе композитов». Методика основана на выстраивании изделий из листов угле- и стекловолокна и различных связующих полимеров, включая тугоплавкие конструкционные те...

Wildcat Discovery и InoBat построят в Словакии линию по выпуску аккумуляторов для электромобилей Словацкая компания InoBat и американская компания Wildcat Discovery Technologies построят в Словакии производственную линию стоимостью 100 миллионов евро по выпуску аккумуляторов для электромобилей. Партнеры заявили, что строительство линии мощностью 100 МВт∙ч, с...

Google в 2019 году представит дешёвый Pixel, умные часы и многое другое Могли мы себе представить, что производители в 2019 году посмотрят на Google совсем в ином ключе? Да-да, из-за слабых результатов компании Apple такие производители, как Foxconn и Pegatron, которые занимаются производством iPhone, всё серьезнее смотрят в сторону Google. Под...

Выпуск электрических мотоциклов Harley-Davidson LiveWire возобновлен Компания Harley-Davidson сообщила, что после краткого перерыва производство электрических мотоциклов LiveWire было возобновлено. Напомним, недавно компания остановила производство и отгрузку электрических мотоциклов, обнаружив проблему с оборудованием для зарядки. По но...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

Tesla получила разрешение китайских властей на производство электромобилей в этой стране Впервые за пределами США начнётся сборка электромобилей этой марки.

Apple хочет забирать себе 50% всей выручки нового новостного сервиса В сети продолжает появляться новая информация о сервисах, которые компания Apple должна запустить уже в самом ближайшем будущем. В частности, сейчас купертиновцы заканчивают подготовку обновленного новостного сервиса с подпиской, который был создан на основе платформы Textur...

Производством Snapdragon 865 займется Samsung, а Snapdragon 875 — TSMC Недавно стало известно, что в случае с Snapdragon 865, анонс которого состоится в конце нынешнего года, Qualcomm сменит партнера по его производству. Выбор вновь пал на Samsung — одного из крупнейших производителей электроники в мире.   С конвейера южнокорейског...

Выпуск 10 нм процессоров Intel может быть омрачён проблемами с чипсетом В ходе выставки CES компания Intel рассказала, что к концу этого года она планирует выпустить 10 нм процессоры Ice Lake. Однако стали появляться слухи, что из-за проблем с реализацией PCIe 4.0 фирма не может наладить выпуск чипсетов.

Индийские iPhone XR и iPhone XS появятся на рынке уже в августе, ожидается снижение цен Согласно свежим данным агентства Reuters, в Индии в скором времени могут снизиться цены на старшие модели смартфонов iPhone. Reuters ссылается на неназванный источник, который утверждает, что произведенные в Индии смартфоны iPhone XR и iPhone XS могут появиться на рынке...

Упаковочное оборудование от лучших производителей Упаковочное оборудование является очень востребованным на большом количестве производств, общественных заведений. В зависимости от основных условий и объемов производства можно будет подобрать модель, которая будет походить под заявленные параметры. Вакуумная машина, а также...

«Рикор» запускает производство промышленных компьютеров широкого спектра применения Российский инновационный холдинг «Рикор» разработал и готовит к производству опытные образцы первых отечественных …

Рикор модернизировал производство серверных платформ Российский инновационный холдинг "Рикор" объявил о завершении ряда мероприятий по модернизации технологических процессов линии производства современных серверных платформ.

Илон Маск хочет отливать корпуса электромобилей Tesla целиком, а не собирать их по частям Инженеры Tesla регулярно работают над различными улучшениями для того, чтобы автомобили этой компании стали еще более надежными, функциональными, а главное дешевыми в производстве (и соответственно более доступными). При этом, если не брать во внимание «начинку» а...

Озеро янтарного виски для Apple. От Intel Хороший виски найти непросто! На первый взгляд, Amber Lake Y и Whiskey Lake U (“новые” архитектуры процессоров 8-го поколения) ничем не отличались от уже существующих процессоров Kaby Lake R. Отличия все-таки были, но вовсе не те о которых сообщила Intel в июне 2018 года в Т...

Хромбук HP Chromebook оценен в $269 Помимо компании Acer, которая представила хромбук Acer Chromebook 315 на новых процессорах AMD, аналогичный шаг сделала и компания HP. Перед началом крупной выставки потребительской электроники Consumer Electronics Show 2019, которая завтра открывается в Лас-Вегасе, ком...

Intel официально отказывается от Itanium Без лишнего шума компания Intel сняла с производства процессор Itanium.

Motorola One Vision: Exynos 9609 и 48 Мп камера за €299 Сегодня ассортимент смартфонов Motorola пополнился новой необычной моделью: компания официально представила Motorola One Vision. У новинки необычный для Motorola дизайн. Правда, это не оригинальные идеи, а позаимствованные у конкурентов. У смартфона 6,3-дюймовый LCD диспл...

Начались поставки трехколесных электромобилей Arcimoto FUV Компания Arcimoto начала поставки трехколесных электромобилей Fun Utility Vehicle или FUV, прием предварительных заказов на которые был начат в феврале. Стоит отметить, что разработка непривычного транспортного средства началась более десяти лет назад. «Эта веха ...

Intel готовится рассказать о совершенно новых процессорах В начале года Intel представила уникальные процессоры Lakefield. Они не похожи ни на одни другие потребительские CPU. Напомним, это пятиядерные процессоры, которые содержат одно ядро Sunny Cove (Ice Lake), четыре ядра Tremont (следующее поколение «атомных» C...

В сети появились качественные изображения iPhone 11 Компания Ghostek, которая занимается производством чехлов, наушников, колонок и других аксессуаров для мобильных устройств, начала принимать предварительные заказы на чехол для пока неанонсированного iPhone 11 (XI). При этом производитель также поделился несколькими качестве...

Назван год, когда смартфоны 5G возьмут верх Со ссылкой на отраслевых наблюдателей источник обрисовал наиболее вероятный сценарий распространения смартфонов с поддержкой 5G. Как утверждается, китайские производители второго эшелона, следуя примеру ведущих поставщиков, начнут выпускать модели с поддержкой сотовых с...

Решение Ford прекратить производство и импорт легковых автомобилей в Россию не приведет к снижению цен оставшихся машин С 1 июля на рынке появится новое СП Ford и "Соллерс", которое займется производством и продажей легких коммерческих машин Ford Transit. При этом запасов легковых автомобилей Ford хватит до сентября, но резкого дисконта ждать не стоит.

Представлены новые мобильные процессоры Intel Core 9-го поколения: до 5 ГГц в режиме Turbo Boost и поддержка Wi-Fi 6 Intel официально представила девятое поколение производительных мобильных процессоров H-серии. Они доступны в версиях Core i5, i7 и i9 и предназначены для выполнения требовательных задач, например, для игр или видеомонтажа. Флагманом нового поколения процессоров является Cor...

Умные часы Apple Watch Series 5 будут доступны в керамическом и титановом корпусе Осенью компания Apple должна представить очередное поколение умных часов. Учитывая тот факт, что текущая модель стала первой с момента запуска, которая получила серьёзные изменения, вряд ли стоит ждать этого же от Series 5. Однако совсем без изменений явно не обойдётся...

При производстве экранов для iPhone 11 не будут использовать особые наборы материалов Как сообщает источник, экраны OLED для грядущих смартфонов Apple больше не будут отличаться по материалам от экранов Samsung. Несмотря на то, что дисплеи OLED для iPhone производила и производит Samsung, они отличались от того, что корейский гигант делал для сво...

Samsung выпускает SSD накопитель с флэш-памятью V-NAND шестого поколения Samsung Electronics объявила о начале массового производства твердотельных накопителей с интерфейсом SATA ёмкостью 250 Гбайт, в которых используется флэш-память V-NAND шестого поколения. Подробнее об этом читайте на THG.ru.

CES 2019: Intel анонсировала шесть процессоров Coffee Lake и 10-нанометровые мобильные решения Ice Lake U Процессоры Coffee Lake появится в этом месяца, а производство Ice Lake U будет запущено позже.

ТД «Ярмарка» внедрил «1С:ERP Управление предприятием» Специалисты ООО «ИТРП» автоматизировали управление производством в компании «Торговый дом „Ярмарка“». Система «1С:ERP» помогла ускорить расчет спецификаций и оптимизировать управление обеспечением производства сырьем и материалами ...

OnePlus 7T и 7T Pro представят уже в конце сентября, в Европе — в середине октября Сразу несколько производителей в этом году решили изменить свою линейки. Так, Samsung представили Galaxy S10e в дополнение к привычным S10 и S10+. Появилась более продвинутая версия и у OnePlus, которая получила название OnePlus 7 Pro. Как известно, эта компания выпускает о...

КАМАЗ создает цифровые двойники на производстве "За 11 месяцев 2018 года спроектировано 1780 новых изделий различных видов оснастки - станочной, сборочной, сварочной, термической, разнообразного режущего инструмента, контрольных приспособлений, а также технологического оборудования", - рассказал главный конструк...

MediaTek представит новые мобильные чипы 30 июля Компания MediaTek обнародовала тизер-изображения, говорящие о том, что на 30 июля намечено мероприятие, в ходе которого будут представлены новые аппаратные решения для мобильных устройств. Говорится, в частности, что MediaTek анонсирует первый процессор, спроектированный спе...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Samsung ограничит производство смартфонов в Китае Руководство компании Samsung приступило к оптимизации своей производственной площадки в Китае, осуществляющей выпуск смартфонов. Корейский гигант объявил о сокращении масштабов производства в связи с общим падением объемов реализации и необходимостью избежать перепроизводств...

Apple представила 7-е поколение iPad с 10,2-дюймовым экраном Компания Apple на прошедшей пресс-конференции представила новую стандартную модель iPad, которая сохранила привычную кнопку «Домой», но в то же время позаимствовала многие функции из линейки iPad Pro. Теперь устройство оснащается 10,2-дюймовым экраном, а не 9,7-дюймовым, ка...

Новейшие процессоры Intel Core X поступят в продажу позже, чем ожидалось Процессоры Cascade Lake-X компания Intel представила в начале октября. Новая линейка включает четыре модели и выделяется в первую очередь ценой. Технически данные CPU мало отличаются от своих предшественников, но новинки вдвое дешевле, так что намного привлекательнее д...

В этом году AMD выпустит не только новые CPU Ryzen, но и третье поколение монструозных Ryzen Threadripper Настольные процессоры Ryzen третьего поколения компания AMD представила ещё в начале года. Но тогда анонс был скорее предварительным. Нельзя сказать, что нам вообще ничего не рассказали, но информации было достаточно мало. Новые данные указывают на то, что эти CPU дейст...

Huawei Mate 30 и Mate 30 Pro получат загнутые дисплеи и аналог Face ID Осенью мы увидим дуэт флагманов китайской компании Huawei - Mate 30 и Mate 30 Pro, пробное производство которых начато уже сейчас. Постепенно утечки и слухи по новинкам обретают очертания и сегодня в Сети появились изображения двух защитных стёкол, предположительно принадлеж...

Intel снимает с производства мини-ПК NUC на 10-нм процессоре Cannon Lake Intel приняла решение снять с производства некоторые модели мини-ПК NUC на 10-нм процессорах Cannon Lake. А в частности, речь идёт о процессоре Core i3-8121U. Подробнее об этом читайте на THG.ru.

Поставщиком гибких экранов AMOLED для складного смартфона Xiaomi является компания Visionox После того, как шесть дней тому назад президент Xiaomi Лин Бин (Lin Bin) продемонстрировал складной смартфон с гибким экраном, в Сети стали активно появляться слухи о производителе дисплея. Сначала говорилось, что его изготовителем является LG. Потом появились данные о ...

Ограниченная партия электромобилей Ford Mustang Mach E First Edition распродана за год до выхода Компания Ford, представившая на прошлой неделе электромобиль Mustang Mach E, сразу же открыла на своём сайте резервирование покупки новинки. Как стало известно, версия Ford Mustang Mach E First Edition, лимитированный выпуск которой начнётся осенью следующего года, уже распр...

Фото упаковки фитнес-браслета Xiaomi Mi Band 4 подтверждает существование версии с NFC Новости о фитнес-браслете Xiaomi Mi Band 4 продолжают появляться и за считанные часы до официального анонса, который состоится уже сегодня. В этот раз инсайдер опубликовал фотографию упаковки Xiaomi Mi Band 4, на котором можно увидеть цифру 4 и упоминание наличия модуля...

Кружковое движение НТИ и Морской госуниверситет подготовят новое поколение технологических лидеров в области подводной робототехники Кружковое движение НТИ и Морской государственный университет имени адмирала Г.И. Невельского запускают проект «Инженерные конкурсы и соревнования по морской робототехнике», нацеленный на подготовку нового поколения технологических лидеров по направлению «Маринет» Национально...

Первое устройство на базе графена появится на рынке «в ближайшие два месяца» Выходцы из России, работающие в Британии, Константин Новоселов и Андрей Гейм создали графен – полупрозрачный слой углерода толщиной в один атом – в 2004 году. С этого момента практически сразу и повсюду мы стали слышать хвалебные оды о самых разных удивительных свойствах ма...

Названо имя электромобиля Lotus Type 130 Компания Lotus выбрала мероприятие Festival of Speed в Гудвуде, Западный Сассекс, чтобы назвать имя своего нового полностью электрического гиперкара. До этого момента машина была известна под обозначением Type 130. Гиперкар, производство которого должно начаться в 2020 ...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

В Индии появится свой завод по производству аккумуляторов, сравнимый по мощности с Gigafactory Компания Tesla показала всем не только то, как нужно делать и продавать электромобили, но и как решать вопросы с производством аккумуляторов для таких машин. С тех пор немало производителей объявили о намерении построить свои крупные фабрики по выпуску АКБ. Как сообщае...

Очень легкий смартфон Sharp Aquos Zero выходит в продажу На официальном сайте Sharp появилась страничка смартфона Aquos Zero, который был представлен еще в октябре. Теперь новинка доступна для предварительного заказа, а поставки начнутся уже 15 января. Цена смартфона составляет 650 долларов. Напомним, что новинку оснастили 6...

В этом году BOE опередит LG Display и станет крупнейшим в мире производителем плоских дисплеев Китайский производитель дисплеев BOE продолжает укреплять свои позиции. С тех пор, как компания успешно представила OLED-дисплеи для Huawei Mate 20, ее продукты становятся все более доступными и привлекательными. Производственные мощности компании по производству плоски...

Samsung разработала 10-нм DDR4 DRAM 3-го поколения Компания Samsung разработала новые микросхемы памяти 10-нм DDR4 DRAM третьего поколения без использования экстремальной ультрафиолетовой (EUV) литографии. Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого года. 10нм класс ( 1z-нм ) 8G...

64 ядра за 7000 долларов: представлены серверные процессоры AMD EPYC второго поколения Изначально компания AMD собиралась представить эти CPU в начале года. Именно вторые «Эпики» должны были […]

Официально: следующее поколение GPU Nvidia будет выпускаться Samsung Слухи о причастности Samsung к следующему поколению графических процессоров Nvidia появлялись и ранее, а теперь эту информацию подтвердила и сама Nvidia. Подробнее об этом читайте на THG.ru.

В Петербурге открылось новое производство промышленных 3D-принтеров На территории петербургского технопарка «Нойдорф» открылся производственный комплекс АО «Лазерные системы», занимающегося разработкой и производством промышленных 3D-принтеров по технологии селективного лазерного наплавления.Подробнее...

Древности: 1992 год в компьютерной прессе Временной период для чтения старых журналов мне помогли определить судебные разбирательства. В конце восьмидесятых и начале девяностых компании AMD и Intel регулярно встречаются в суде. Изначально они обменивались технологиями. AMD выпускала по лицензии процессоры вплоть до ...

Всё своё: представлен первый SSD-контроллер на китайской архитектуре Godson Для Китая массовое производство контроллеров для выпуска SSD так же важно, как организация домашнего производства памяти NAND-флеш и DRAM. В стране уже стартовало ограниченное производство 32-слойной 3D NAND и чипов DDR4. А как обстоят дела с контроллерами? По сообщению сайт...

AMD утверждает, что её следующие CPU Epyc будут лучше решений линейки Intel Ice Lake-SP по соотношению производительности на ватт Анонсированные недавно серверные процессоры AMD Epyc второго поколения прямых конкурентов в стане Intel по многим параметрам попросту не имеют. Ранее AMD заявляла, что разрабатывала такие CPU с прицелом на конкуренцию с 10-нанометровыми серверными процессорами Intel. Но...

В Германии готовят новый консорциум по производству батарей для электромобилей Министерство экономики Германии заявило в пятницу об обсуждении возможности создания второго европейского консорциума по производству аккумуляторных элементов. Как ожидается, уже этой осенью будут подписаны предварительные соглашения. REUTERS/Jason Lee Пресс-секретарь ведомс...

Печальные новости о Samsung Galaxy Note 10 Samsung уже давно повадилась выпускать по две версии своих флагманов для разных рынков: с процессором Snapdragon и с процессором Exynos, которые Samsung производит сама. По словам представителей издания WinFuture, версия Galaxy Note 10 с процессором от Qualcomm будет работа...

GE налаживает серийную 3D-печать лопаток для авиадвигателей GE9X Авиационное подразделение корпорации General Electric заказало 27 промышленных 3D-принтеров производства шведской компании Arcam AB. Электронно-лучевые системы планируется использовать в производстве турбинных лопаток из алюминида титана для турбовентиляторных двигателей пов...

TSMC инвестирует $19,5 млрд для выпуска 3-нм процессоров c 2023 года В следующем году TSMC планирует начать производство чипов по 5-нм техпроцессу. Первым 5-нм чипом, скорее всего, станет Snapdragon 875, который выйдет в 2021 году. Возможно, что и Apple A14 также будет разрабатываться пр 5-нм техпроцессу. 3-нм техпроцессТеме не менее…

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

MediaTek проектирует 7-нм чип с поддержкой 5G Компания MediaTek в интервью ресурсу Android Authority сообщила о намерении представить в текущем году передовой мобильный процессор, при производстве которого будет применяться 7-нанометровая технология. Известно, что в продуктовом семействе MediaTek изделие расположится на...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Huawei выпускает совершенно новый ARM-процессор для смартфонов Анонс Kirin 810 сделал Huawei единственной в мире компанией, в активе которой числятся два 7-нанометровых мобильных процессора. Первым стал анонсированный осенью 2018 г. Kirin 980, используемый, в том числе, во флагманах P30 и P30 Pro образца весны 2019 г.Даже у Qualcomm, ко...

Процессоры Intel Gen Core X Cascade Lake выйдут в 7 октября Следующий понедельник должен стать довольно горячим. Седьмого октября компания AMD выпустит видеокарты серии Radeon RX 5500, а Intel официально представил HEDT-процессоры 10-го поколения Core X Cascade Lake.

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

Назван первый смартфон с новой операционной системой, которая составит конкуренцию MIUI и EMUI Компания Oppo представляет сегодня оболочку ColorOS 7, которая должна стать интереснее и удобнее, чем MIUI и EMUI. Новая версия фирменной оболочки получила ряд новый функций, она ориентирована на более комфортное и простое использование. Новая версия ColorOS будет досту...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

Кажется, дефицит процессоров Intel подходит к концу Дефицит процессоров Intel, который мучает рынок уже на протяжении нескольких месяцев, судя по всему, в скором времени начнёт ослабевать. В прошлом году Intel инвестировала дополнительные 1,5 млрд долларов в расширение своих 14-нм технологических мощностей, и похоже, что эти ...

Foxconn начнет производство iPhone X в Индии в июле Foxconn собирается начать производство iPhone X в Индии в июле этого года, согласно сообщению The Economic Times. Производство будет осуществляться на заводе Foxconn в Ченнае в восточной Индии. По словам чиновника, знающего о планах компании, Foxconn надеется увеличить произ...

На манеже — всё те же. Новое поколение процессоров Intel Core X дебютирует осенью Компания Intel объявила о том, что новые процессоры HEDT она выпустит уже этой осенью. Новое поколение будет называться Cascade Lake-X, и не стоит ждать от него чего-то совершенно нового. Напомним, поколение Skylake-X включает две линейки: Core 7000 и Core 9000, которые...

BOE начала массовое производство панелей Micro OLED Согласно последним сообщениям, BOE начал массовое производство панелей Micro OLED в Куньмине, провинция Юньнань, в октябре этого года. Диагонали дисплеев не уточняются. Теперь китайскому производителю дисплеев ищет партнеров, которые будут использовать панели Micro OLED...

Представитель Xiaomi объяснил дефицит MI 9 на старте ... Недавно Xiaomi наконец смогли увеличить объёмы производства нового флагмана Xiaomi Mi 9 и избавились от досадной необходимости принимать предзаказы на новинку и вынуждать покупателей ждать по три недели. К концу марта поставки аппаратов наконец превысили 1.5 миллиона единиц....

Выпущено второе поколение процессоров AMD EPYC Компания AMD представила семейство процессоров AMD EPYC второго поколения для обширной экосистемы партнеров и клиентов в области обработки данных. Новые процессоры обеспечивают лидирующую производительность при выполнении широкого спектра ...

TSMC развернёт массовое производство 5-нм продуктов в марте 2020 года Второе поколение 7-нм продуктов уже попало на конвейер.

Игровой ноутбук ASUS ROG Strix III Компания ASUS в совместном проекте с командой из BMW представила новый игровой ноутбук ASUS ROG Strix III. Данный ноутбук включает в себя процессор Intel Core 9-го поколения с графическим процессором NVIDIA RTX 2070, и 15,6-дюймовым экраном на 240 Гц с разрешением 1080p....

Смартфоны Samsung новой серии Galaxy M дебютируют в январе В первых числах декабря мы сообщали, что компания Samsung организовала производство смартфонов нового семейства Galaxy M, в которое войдут модели начального и среднего уровней. И вот теперь стали известны сроки анонса этих устройств. Фотографии WSJ

Точная дата презентации серии Huawei Mate 30 названа Когда-то в Huawei решили, что в их модельном ряду должны быть флагманы, максимально насыщенные новыми технологиями. Так в портфолио компании появилась линейка Mate и осенью состоится премьера нового поколения — Huawei Mate 30.   Журналистам из профильного издани...

Intel представила 28-ядерный процессор Xeon W за $7450 После анонса процессоров Core 10-го поколения компания Intel объявила о выходе девяти новых CPU линейки Xeon W 3200 архитектуры Cascade Lake. Топовый 28-ядерный чип уже используется в недавно представленном профессиональном компьютере Apple Mac Pro. Характеристики…

Apple начала хранить персональные данные российских пользователей на локальных серверах Как сообщает источник, российское представительство компании Apple впервые признало факт хранения данных российских пользователей на местных серверах. На это указывает соответствующая запись в базе Роскомнадзора. Кроме того, ТАСС сообщает, что «Эппл Рус&ra...

AirPods Pro вообще не поддаются ремонту Специалисты iFixit не стали изменять сложившимся традициям и спустя всего пару дней после официального анонса AirPods Pro они разобрали новинку. Как обычно, эксперты не просто заглянули в корпус нового яблочного устройства, но и оценили ремонтопригодность гаджета.Читать даль...

Volkswagen готовится к запуску производства мобильных зарядных станций для электрокаров Немецкий концерн Volkswagen планирует заняться выпуском аккумуляторных блоков и зарядных станций для автомобилей на электрической тяге. Производство будет запущено автопроизводителем в Германии.

Тим Кук гордится тем, что Mac Pro производится в Техасе   Генеральный директор Apple Тим Кук и президент США Дональд Трамп посетили завод по производству Mac Pro в Техасе. Во время визита Кук дал небольшое интервью телеканалу ABC News. Он заявил, что гордится запуском производства нового Mac Pro в США. Мы очень гордимс...

Samsung Galaxy M30s был замечен на сайте Google Entertprice Interface Несмотря на то, что рынок смартфонов сокращается, продажи Samsung растут и она уверенно лидирует. В этом южнокорейскому гиганту новая линейка Galaxy M нижнего ценового диапазона помогает. Смартфоны хорошо продаются, поэтому и не удивительно, что компания и дальше будет расши...

AMD представила семинанометровые процессоры Ryzen третьего поколения Кроме видеокарты Radeon VII, которая стала первой потребительской игровой видеокартой на основе семинанометрового GPU, AMD представила и настольные процессоры Ryzen, которые также стали первыми семинанометровыми потребительскими CPU в мире. В том числе показали демонст...

В Samsung Galaxy S11 установят камеру от не флагманского Xiaomi Уже в начале 2020 года Samsung представит новое поколение своих флагманских смартфонов Galaxy S11. И учитывая тот факт, что анонс все ближе, в сети начинают появляться все новые слухи касательно устройства. Так, последние данные от интернет-инсайдеров гласят, что Samsung Gal...

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

Airbus завершит производство самолетов A380 Компания Airbus сообщила о скором прекращении поставок самолетов A380. Это связано, в частности, с тем, что авиакомпания Emirates решила сократить заказ на данные самолеты с 162 до 123 аппаратов. По словам Airbus, последняя поставка A380 запланирована на 2021 год. Как пишет ...

Qualcomm решила ускорить анонс Snapdragon 865 Qualcomm готовится анонсировать флагманский процессор Snapdragon следующего поколения ранее запланированного времени — в ноябре нынешнего года. По крайней мере, так утверждают инсайдеры и обещают нам премьеру Snapdragon 865 в последний осенний месяц. Ранее чипмейкер п...

Обзор процессора AMD Ryzen 9 3900X. Где потеряли суффикс «Х»? Месяц спустя началась продажа процессоров нового поколения Matisse. Хотя продажами это трудно назвать, ибо Ryzen 9 3900Х размели как горячие пирожки в считанные часы во всех самых крупных магазинах и по большому счету,...

Фотогалерея дня: упаковка 16-ядерного процессора AMD Ryzen 9 3950X Несколько дней назад компания AMD отдельно представила свой новый флагманский настольный процессор — 16-ядерный Ryzen 9 3950X. Новинку оценили в 750 долларов. Для сравнения, Ryzen 7 2700X, который является флагманом уже прошлого поколения CPU AMD, на старте оценив...

Эксперты назвали дату выхода Samsung Galaxy S10 Новый смартфон Samsung Galaxy S10 презентуют 20 февраля. Об этом сообщают Новости ИТ со ссылкой на telegraf.com.ua. Южнокорейская компания Samsung объявила дату презентации смартфона Galaxy S10. В этом году, вопреки сложившейся традиции и ожиданиям экспертов, премьера устрой...

Intel анонсировала мобильные Comet Lake: до шести ядер в тонких ноутбуках и Wi-Fi 6 Компания Intel расширила десятое поколение мобильных процессоров Core, анонсировав в нём семейство чипов Comet Lake. Новинки предложат больше ядер, а соответственно и более высокую производительность в многопоточных задачах, по сравнению с уже представленными в новом поколен...

Toyota и Panasonic запустят совместное производство призматических аккумуляторов Японские корпорации Toyota Motor и Panasonic подписали соглашение о создании совместного производства призматических автомобильных аккумуляторов.

Насколько iMac (2019) быстрее своих предшественников? Буквально пару дней назад Apple представила обновленные моноблоки iMac. Новые компьютеры получили быстрые процессоры Intel Intel 8-го и 9-го поколения, а также производительную графику производства AMD — Radeon Pro Vega. По заявлениям Apple, обновленные iMac стали гор...

Инженерный образец 64-ядерного серверного CPU AMD Epyc работает на базовой частоте 1,4 ГГц Только вчера мы знакомились с параметрами прототипа 32-ядерного серверного CPU AMD Epyc нового поколения, как сегодня появилась информация о 64-ядерной модели. Напомним, 32-ядерный образец работал на частотах 1,7-2,4 ГГц. У 64-ядерной модели частоты ожидаемо ниже. Катал...

Apple сняла с производства iPhone 6 Apple официально прекратила производство старых моделей до серии iPhone 6S. Стартовыми моделями теперь будут iPhone 7 и iPhone 8

Phison представила SSD контроллер с шиной PCI-e 4.0 Компания AMD заявила о готовности к производству процессоров с поддержкой шины PCI-e 4.0, однако лидирующий производитель контроллеров для твердотельных накопителей сообщает, что уже имеет необходимое оборудование, которое он продемонстрировал в ходе CES 2019.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)