Социальные сети Рунета
Четверг, 25 апреля 2024

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Контрактный производитель Jabil вдвое увеличил производственные мощности завода в Ужгороде Сегодня, 15 марта, компания Jabil, оказывающая услуги по контрактному производству разного рода электроники, открыла новую площадку на производстве в Ужгороде. Введение нового объекта в эксплуатацию увеличивает мощности завода вдвое. Сколько было инвестировано в расширение, ...

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Серьёзный инцидент на фабрике TSMC: производство остановлено, забраковано более 10000 пластин Тревожные новости приходят с Тайваня. Крупнейший контрактный производитель полупроводников, компания TSMC столкнулась с серьёзной аварией на одном из своих передовых заводов, в результате чего оказалось повреждено более десятка тысяч полупроводниковых пластин, а производстве...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

TSMC запустит серийное производство по нормам 2 нм уже в 2024 году Компания TSMC сообщила о том, что она начала стадию исследований и разработок, связанных с 2-нанометровым технологическим процессом. TSMC первой на рынке сделала подобное заявление. В настоящее время конкретные детали процесса не разглашаются. Соответствующий завод рас...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

В полупроводниковой продукции, изготовленной Samsung Electronics на заказ, обнаружены дефекты Как известно, к чистоте помещений и оборудования для полупроводникового производства предъявляются очень высокие требования. Их нарушение может привести к браку. Именно это произошло на предприятии компании Samsung Electronics, выпускающем продукцию по сторонним заказам...

Траектории спотовых и контрактных цены на DRAM разошлись По данным DRAMeXchange, подразделения TrendForce, спотовые цены и контрактные цены на память DRAM движутся по разным траекториям. С начала июля спотовые цены увеличились в среднем на 24%, тогда как контрактные уменьшились более чем на 10%. Аналитики отмечают, что спотов...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Foxconn подтвердила предстоящий запуск массового производства iPhone в Индии Foxconn вскоре запустит массовое производство смартфонов iPhone в Индии. Об этом объявил глава компании Терри Гоу (Terry Gou), развеяв опасения по поводу того, что Foxconn предпочтёт Индии Китай, где она ведёт строительство новых производственных линий.

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Высокий спрос на 7-нм и 5-нм чипы поможет росту TSMC в 2020 году TSMC планирует в 2019 году достичь ещё одного рекорда, но из-за разочаровывающих результатов в первой половине года чистая прибыль вряд ли побьёт результаты 2018 года. Однако, как считают источники, в 2020 году снова наметится рост общегодовой выручки и прибыли тайваньской п...

Yangtze Memory начала массовое производство 64-слойных микросхем 3D NAND Китай стремится к технологической независимости, вкладывая значительные средства в полупроводниковую промышленность, что позволит уменьшить зависимость от иностранного импорта и технологий. Для достижения этой цели в 2016 году была создана компания Yangtze Memory Technologie...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Торговая война сдержит расширение производства полупроводниковых пластин Разница между спотовыми и контрактными ценами продолжает увеличиваться из-за снижения спроса.

Intel может привлечь Samsung в качестве контрактного производителя своих дискретных GPU Раджа Кодури, возглавляющий проект Xe по созданию дискретных GPU в компании Intel, недавно посетил завод по производству кремниевых чипов компании Samsung Electronics. Напомним, буквально на днях Samsung заявила о грядущем запуске производства чипов по нормам 5-нанометрового...

UMC отказывается от намерения выпускать DRAM совместно с китайским партнером После недавних обвинений в экономическом шпионаже со стороны США тайваньская компания United Microelectronics Corp (UMC) намерена свернуть проект, предусматривавший разработку и выпуск памяти DRAM совместно с китайским партнером. По сообщению источника, почти половина ...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

Компания GlobalFoundries может быть продана, названы потенциальные покупатели Компания GlobalFoundries, уступающая на рынке контрактного производства полупроводниковой продукции только TSMC и Samsung, может быть продана новому владельцу. В прошлом году штат контрактного производителя был сокращен на 5%. А в конце января этого года стало известно...

Samsung поможет Intel справиться с дефицитом 14-нм процессоров Не было бы у компании Samsung счастья, да несчастье Intel помогло. Южнокорейское информационное агентство Yonhap распространило новость, что Samsung Electronics согласилась начать выпуск центральных процессоров для Intel. Неделю назад Intel намекнула на возможность подобного...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Очередное дополнение к договору с GlobalFoundries развязывает руки AMD В пресс-релизе AMD, посвященном итогам четвертого квартала 2018 года и года в целом, есть небольшой параграф, озаглавленный «Обновление соглашения о поставке пластин». При всей лаконичности и малозаметности на фоне финансовых показателей он весьма важен. В ...

TSMC планирует освоить выпуск 5-нм продукции во втором квартале 2020 года Руководство контрактного производителя полупроводниковой техники Taiwan Semiconductor Manufacturing Company на последнем квартальном отчете заявило, что компания готовится к массовому выпуску 5-нм продукции (N5) во втором квартале 2020 года. Ранее TSMC уже пообещала внедрить...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Globalfoundries сосредоточилась на контрактном производстве чипов Компания сделала ставку на основной бизнес после продажи активов.

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Все заказы на модемы 5G пока достаются TSMC Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, уже получила заказы на выпуск модемов 5G, разработанных компаниями, не имеющими собственного производства. Более того, по данным отраслевых источников, пока что все заказы на вы...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Серийный российский электромобиль появится в 2020 году Компактный электромобиль Zetta с трёхдверным кузовом планируется собирать в Тольятти. Новинка сможет развивать до 120 км/ч максимальной скорости, а запас хода без подзарядки в зависимости от комплектации составит от 200 до 580 км. «Мне коллеги докладывали, что сертификация э...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Nvidia, Mediatek, Huawei и Hisilicon могут пострадать от загрязнения на фабрике TSMC На заводе Fab14B (научный парк Хсинчу, Тайвань) крупнейшего контрактного производителя полупроводниковых микросхем TSMC произошел инцидент. За счет бракованной партии химических реагентов, которые применяются при производстве полупроводников, были повреждены от 10 до 30 тыс....

SK Hynix анонсировала 16-гигабитные чипы DDR4, выпускаемые по нормам 1Z-нм Южнокорейский чипмейкер SK Hynix завершил разработку 16-гигабитных (2 ГБ) микросхем DDR4, для выпуска которых будет использоваться техпроцесс класса 1Z-нм. Об этом компания сегодня объявила в соответствующем пресс-релизе. Как отмечает вендор, благодаря новой технологии...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Apple и Foxconn признали, что слишком полагались на временных работников в Китае Apple и её контрактный партнёр Foxconn Technology в понедельник выступили с опровержением обвинений в нарушении законов о труде, предъявленных неправительственной организацией по защите прав трудящихся в Китае China Labour Watch, хотя и подтвердили, что они нанимают слишком ...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

Yangtze Memory начинает массовое производство 64-слойной памяти 3D NAND Китайская государственная полупроводниковая компания Yangtze Memory Technologies (YMTC), основанная в 2016 году, заявила о начале массового производства 64-слойной флэш-памяти.

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

Google займется выпуском фитнес-браслетов и умных часов Компания Google имеет в своем портфолио массу решений – от смартфонов до умных колонок. Однако с носимыми устройствами у нее пока не сложилось: из подобных аксессуаров имеются только наушники Pixel Buds, которые едва ли можно назвать успешным продуктом. Но в скоро...

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Открытая архитектура RISC-V пополнилась интерфейсами USB 2.0 и USB 3.x Как подсказывают наши коллеги с сайта AnandTech, один из первых в мире разработчиков SoC на открытой архитектуре RISC-V, компания SiFive приобрела пакет интеллектуальной собственности в виде IP-блоков интерфейсов USB 2.0 и USB 3.x. Сделка совершена с компанией Innovative Log...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

SK Hynix в третьем квартале провалила всё что можно Южнокорейская компания SK Hynix опубликовала информацию о работе в третьем квартале 2019 календарного года, который закончился для неё 30 сентября. Квартальная выручка этого производителя памяти DRAM и NAND показала годовое снижение на 40 %, снижение чистой прибыли составил...

Контрактные цены на DRAM в этом квартале упадут почти на 30% Контрактные цены на память DRAM в первом квартале 2019 года, вероятно, упадут почти на 30% по сравнению с предшествующим кварталом. Таков уточненный прогноз специалистов аналитической компании DRAMeXchange. Ранее аналитики оценивали снижение в 25%. Еще в феврале эксперт...

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

Конец роста. В этом году ожидается сокращение расходов на полупроводниковые фабрики на 14% Аналитики отраслевой организации SEMI прогнозируют, что в текущем году мировые расходы на оборудование для производства полупроводниковой продукции сократятся на 14% (53 млрд долларов). Однако уже в 2020 году ожидается быстрое восстановление рынка — рост на 27% (6...

Huawei будет использовать модемы 5G MediaTek в своих бюджетных смартфонах Компания Huawei всеми силами пытается снизить зависимость от иностранных компонентов в своих мобильных (и не только) устройствах. Именно по этой причине компания форсировала выпуск операционной системы HarmonyOS, и по этой же самой причине собирается использовать модемы...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

TSMC не справляется с огромным числом заказов на 7-нм продукты: сроки выполнения выросли в 3 раза 7-нм техпроцесс пользуется огромным спросом среди клиентов TSMC. Конечно, это не может не радовать тайваньского контрактного производителя, но у этой медали есть и оборотная сторона. Подробнее об этом читайте на THG.ru.

Sharp перемещает производство из Китая вслед за другими поставщиками Apple Sharp стала одним из последних поставщиков Apple, который объявил о планах вывести часть производства продукции из Китая в связи с продолжающейся торговой войной между Вашингтоном и Пекином. REUTERS/Toru Hanai Генеральный директор Apple Тим Кук (Tim Cook) в ходе недавнего кв...

IKEA делает ставку на развитие технологий «умного дома» IKEA официально подтверждает то, что итак было очевидным в последнее время: компания делает серьёзную ставку на технологии «умного дома», рассматривая их в качестве нового источника доходов. Для этого компания намерена инвестировать в новое бизнес-подразделение «IKEA Home Sm...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

TCL наладит выпуск гибких OLED панелей в этом году Интерес производителей смартфонов к складному форм-фактору очень высок. В числе них — TCL, которая еще в начале года заявила о своих планах выпустить гибкий мобильник. И, возможно, даже не один.     Серьезный шаг к созданию складного смартфона уже сделан &md...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

Сергей Орехов назначен директором по развитию GS Nanotech В этой должности он будет отвечать за формирование и реализацию коммерческой стратегии компании, развитие существующих и вывод на рынок новых продуктов, укрепление позиций GS Nanotech в сегменте контрактной разработки и производства микроэлектронной продукции, выход н...

TSMC начнёт массовое производство 5-нм чипов в ближайшие месяцы Контрактный производитель полупроводников Taiwan Semiconductor Manufacturing Company (TSMC) близок к запуску 5-нанометрового конвейера, сообщает веб-издание China Times. Серийный выпуск микросхем по новой технологии он планирует наладить уже в первом квартале 2020 года. В...

Полупроводниковое производство Panasonic достанется тайваньской компании Nuvoton Technology Компания Panasonic объявила, что продаст свое производство полупроводниковой продукции тайваньской компании Nuvoton Technology за 250 миллионов долларов. Японский электронный гигант изо всех сил пытается увеличить прибыль в условиях отсутствия двигателей роста, а указан...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Аналитики TrendForce назвали факторы, которые определят цены на DRAM и NAND в краткосрочной и долгосрочной перспективе Специалисты DRAMeXchange, подразделения компании TrendForce, отслеживающие ситуацию на рынке микросхем памяти типа DRAM и флеш-памяти типа NAND, недавно назвали факторы, которые будут определять цены на эту продукцию в краткосрочной и долгосрочной перспективе. Как утве...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

Президент Xiaomi заверил, что компания усердно работает над массовым производством продуктов со 100-ваттной зарядкой В марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт. Компания заявила, что данная технология позволит заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут, как показано...

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

Маск пообещал инвесторам начать массовое производство Model Y в следующем году Массовое производство электрического кроссовера Tesla Model Y, о котором глава американской компании Илон Маск впервые рассказал еще в 2015 году, начнется к концу 2020 года, говорится в корпоративном письме, разосланном акционерам компании на этой неделе в среду, сообщает п...

OLED плюс QLED. Samsung намерена в ближайшее время начать производство панелей QD-OLED На рынке телевизоров компания Samsung сделала ставку на технологию QLED, которая подразумевает использование обычных ЖК-панелей, но с дополнительным слоем из квантовых точек. В прошлом году корейский гигант представил технологию, объединяющую OLED и QLED, хотя подробно...

lifecell запустил новые контрактные тарифы «Бизнес Старт 90», «Бизнес Свобода 120» и «Бизнес Премиум 200» Оператор мобильной связи lifecell запустил новые тарифные планы для абонентов контрактной формы обслуживания «Бизнес Старт 90», «Бизнес Свобода 120», «Бизнес Премиум 200». Новые пакеты услуг включают большее количество SMS и минут для голосовой связи в пределах Украины по ср...

Бизнес на 3D печати. Реальный кейс от SHOKOBOX - Влог 11 Возможно ли внедрить 3D печать в производство и получить реальный профит? Мы запустили серию влогов, где на примерах конкретных бизнесов и производств расскажем, как компании внедряют 3D печать.Подробнее...

LG Display инвестирует 2,6 млрд долларов в производство панелей OLED в Южной Корее Компания LG Display сообщила, что инвестирует 2,6 млрд долларов в свою линию по производству панелей OLED, расположенную в Южной Корее. Ранее поставщик панелей для устройств Apple прогнозировал, что 2019 год будет тяжелым, поскольку значительные средства в выпус...

Sharp решила перенести производство из КНР Компания Sharp стала одним из последних контрактных производителей Apple, объявившим о намерениях перенести производственные мощности из КНР.

Видеокарты Radeon 625, Radeon 620 и Radeon 610, похоже, основаны на GPU родом из 2013 года Несколько дней назад мы писали о видеокартах Radeon RX 640, Radeon 630, Radeon 625, Radeon 620 и Radeon 610, нацеленных на OEM-производителей. Тогда мы говорили, что все адаптеры основаны на GPU Polaris, но, похоже, это не так. Такой графический процессор лежит лишь в о...

В России показали новую разработку для контроля движения автотранспорта Презентация прибора "Атлант-КА" состоялась на конференции "Цифровая индустрия промышленной России" (ЦИПР), которая проходила с 22 по 24 мая в Иннополисе, Республика Татарстан.Тахограф - это контрольный регистрирующий прибор, предназначенный для установки ...

Официально: Nintendo перенесет часть производства Switch из Китая По словам представителя Nintendo, компания планирует перенести часть производства консолей Switch из Китая во Вьетнам. Nintendo подтвердила эту информацию в комментарии для Reuters. Переезд запланирован на ближайшие месяцы, хотя точная дата пока не озвучена. В настоящее врем...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

У Foxconn достаточно мощностей, чтобы производить iPhone для США за пределами Китая В связи с эскалацией торговой войны между Вашингтоном и Пекином возникли опасения, что производимые в Китае для рынка США смартфоны iPhone могут вырасти в цене из-за повышения пошлин. REUTERS/Tyrone Siu Но контрактный производитель Foxconn заверил, что этого не случится, так...

Прибыль TSMC упала на треть и не дотянула до ожиданий рынка Крупнейший в мире контрактный производитель полупроводниковой продукции опубликовал отчетность за первый квартал.

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Для TSMC минувший квартал оказался рекордным Компания TSMC, являющаяся крупнейшим в мире контрактным производителем полупроводниковой продукции, отчиталась за третий квартал текущего года. Отчетный период принес ей 9,47 млрд долларов. Это больше прогнозируемого показателя 9,1-9,2 млрд долларов. Более того, это ре...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

По прогнозу Digitimes Research, мировой выпуск микросхем в ближайшие годы будет расти в среднем на 5,3% в год Специалисты аналитической компании Digitimes Research взялись спрогнозировать глобальный объем производства полупроводниковых микросхем в течение ближайших пяти лет. По их мнению, выпуск этой продукции в период до 2024 года будет расти в среднем на 5,3% в год. Если этот...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

TSMC стала самой дорогой компанией Азии, обойдя Samsung У тайваньской полупроводниковой кузницы TSMC дела идут отлично: в настоящее время компания является безоговорочным лидером производства чипов, технологически обходя даже Intel, которая годами обладала передовыми мощностями. Неудивительно, что TSMC недавно стала крупнейшей и ...

Официально: Японцы представят серийный электромобиль Honda Urban EV на Женевском автосалоне, производство и продажи стартуют до конца текущего года Японский автопроизводитель Honda впервые показал публике концепт электромобиля Honda Urban EV еще в 2017 году. В прошлом году на Женевском автосалоне компания заявила, что собирается запустить его в серийное производство до конца 2019 года. А сегодня представители Honda офиц...

Ricoh представила технологию струйной печати литиево-ионных аккумуляторов Японская компания Ricoh сообщила, что она разработала первую в мире технологию струйной печати литиево-ионных аккумуляторов. Компании, заинтересованные в производстве аккумуляторов по новой технологии, смогут получить к ней доступ уже до конца марта 2020 года (в 2019 финансо...

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

Huawei больше не может выпускать смартфоны, Foxconn останавливает производство Как сообщает газета South China Morning Post, компания Foxconn, контрактный производитель смартфонов Huawei, остановила несколько производственных линий, на которых выпускались гаджеты китайского вендора.

GlobalFoundries хочет запретить поставки чипов для Apple и Nvidia Контрактный производитель полупроводниковой продукции под в суд на конкурирующую TSMC, обвинив компанию в нарушении 16 патентов.

TSMC присматривается к 1-нанометровой технологии Компания Taiwan Semiconductor Manufacturing Company (TSMC), крупнейший контрактный производитель полупроводниковых микрочипов, рассказала о развитии технологических процессов.

Выручка TSMC в 2018 году оказалась рекордной Компания TSMC отчиталась за декабрь 2018 года, четвертый квартал 2018 года и весь 2018 год в целом. Крупнейший контрактный производитель полупроводниковой продукции впервые заработал за год более 1 трлн новых тайваньских долларов (если быть точным — 1,03 трлн). Об...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

Производство части смартфонов Huawei остановилось Контрактный производитель смартфонов Foxconn частично остановил сборку устройств Huawei.

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

TSMC верит в 7 нанометров Представители компании TSMC считают, что во второй половине 2019 года загрузка 7-нанометровой технологии возрастет. Дело в том, что некоторые крупные производители уже разрабатывают свои решения на данном технопроцессе и совсем скоро эти проекты запустят в производство. Учит...

Пастильда: итоги Как устроено контрактное производство электроники в США? Можно ли заработать на краудфандинге? Софт, который убивает железо. Миф или реальность? Есть ли жизнь у open-source проектов? Все это в заключительной части детективной истории про разработку Пастильды — аппаратного...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Стартовало массовое производство экранов для смартфона Samsung Galaxy Fold Компания Samsung Display приступила к массовому производству экранов для гибкого смартфона Galaxy Fold. Генеральный директор компании 9 апреля провёл мероприятие с целью отметить старт производства. На мероприятии присутствовало около 100 руководителей Samsung различны...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Революция близко: Xiaomi уже занимается массовым производством 100-Ваттных зарядок Недавно компания Xiaomi опубликовала ролик, где продемонстрировала зарядное устройство мощностью 100 Ватт, с помощью которого аккуулятор емкостью 4000 мАч можно зарядть до 100% за 17 минут. На тот момент подробностей о том, предназначена ли эта технология для массового рынк...

Samsung Display потратит на модернизацию производства 11 миллиардов долларов Компания столкнулась с перепроизводством ЖК-панелей из-за снижения спроса на телевизоры и смартфоны и переходом крупнейших заказчиков на OLED-панели. Компания Samsung Display до 2025 года планирует вложить в разработки технологий изготовления дисплеев и модернизацию произ...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

Следующим электромобилем Jaguar станет седан XJ По сообщениям сетевых источников, вторым полностью электрическим автомобилем компании Jaguar Land Rover станет обновлённая версия седана XJ. Компания намерена инвестировать порядка $1,25 млрд на переоснащение производственного завода в Великобритании. Столь значительные влож...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

Samsung снизит затраты на производство смартфонов с помощью Китая Сетевые источники сообщили, что в следующем году компания Samsung намерена нарастить объёмы ODM-производства смартфонов. То есть значительное количество устройств южнокорейского гиганта будут собраны сторонними китайскими компаниями.

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

Иск Microsoft вызвал ярость у главы Foxconn Тайваньская компания Foxconn во вторник отреагировала на поданный Microsoft в США иск о нарушении её патентных прав, заявив, что, являясь контрактным производителем, она никогда не платила роялти за использование программного обеспечения американского софтверного гиганта. RE...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

Apple инвестирует в свой индийский бизнес 1 млрд долларов Компания Apple, согласно данным источника, намерена инвестировать в развитие своего бизнеса в Индии 1 млрд долларов. Конечно, делать это купертинский гигант будет через своих партнёров во главе с Foxconn. То есть деньги пойдут в первую очередь на развитие производств с...

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Контрактное производство смартфонов выросло на 12% Рост произошел во многом из-за сокращения запасов в первой четверти и обострения конкуренции среди производителей.

Контрактное производство смартфонов в сильном сезоне упало на 6% Спад вызван слабым спросом на устройства в канале, сообщают аналитики IDC.

TSMC ускоряется — наймёт 8 тыс. новых сотрудников для внедрения 3-нм техпроцесса Компания TSMC намерена нанять дополнительные 8 тыс. сотрудников в новый научно-исследовательский центр, который будет создан в 2020 году. Он будет ориентирован на исследования и разработки технологий для 3-нанометрового и более тонких технологических процессов. По словам исп...

Снижение контрактных цен на флеш-память NAND замедляется Специалисты DRAMeXchange, подразделения компании TrendForce, на днях проанализировали изменение контрактных цен на флеш-память в июле и пришли к выводу, что хотя цены в целом все еще снижаются, снижение цен на продукцию основного сегмента замедлилось. Аналитики связываю...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

В России стартовало производство SSD GS Group с интерфейсом PCIe Центр разработки микроэлектроники в составе GS Group ― GS Nanotech ― приступил к производству первых в России твердотельных накопителей с интерфейсом PCIe и с поддержкой протокола NVMe. Разработка и производство новинок полностью локализованы в России в инновационном кластер...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

«Рикор» запустил производство СХД в России Российский инновационный холдинг «Рикор» объявил об окончании разработки и начале производства опытной партии …

Applied Materials покупает компанию Kokusai Electric за 2,2 млрд долларов Компания Applied Materials, которая поставляет оборудование, услуги и программное обеспечение для производства микросхем, плоских дисплеев и солнечных батарей, объявила о подписании соглашения, в соответствии с которым она приобретет все находящиеся в обращении акции ко...

Volkswagen запустит производство мобильных зарядных станций для электромобилей Автопроизводитель Volkswagen (VW) намерен начать выпуск аккумуляторов для электромобилей, а также зарядных станций у себя на родине в Германии, поскольку он готовится к старту массового производства электромобилей. Также будет проведена реорганизация подразделения по произво...

Tesla за 200 млн долларов приобрела производителя ионисторов и прочих энергетических решений Компания Tesla известна своими электромобилями. Однако амбиции на рынке электромобилей привели к тому, что Tesla к тому же ещё и стала крупным производителем аккумуляторов. Как сообщается, сегодня Tesla для усиления своих позиций приобрела компанию Maxwell, специализир...

В этом квартале снижение контрактных цен на DRAM замедлилось По данным исследовательского подразделения DRAMeXchange компании TrendForce, в текущем квартале средняя цена микросхем памяти DRAM продолжает снижаться, но медленнее, чем раньше. В настоящий момент оно оценивается в 5%. В то же время, общий объем торгов в октябре значит...

Контрактные абоненты Vodafone получат за дополнительную плату безлимитный доступ к мессенджерам и соцсетям Vodafone вводит услуги Pass в тарифы для контрактных абонентов. Теперь контрактникам оператора в тарифах Red S/M/L/LIGHT доступны услуги PASS, которые обеспечивают...

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

Контрактное производство ноутбуков ощутимо выросло Подъему во II квартале 2019 года способствовало улучшение поставок процессоров Intel, а также растущие заказы от ПК-брендов, сообщили в IDC.

TSMC начнет производство 5-нм чипов в марте 2020 года Ранее в 2019 году компания приступила к массовому производству микросхем по технологическому процессу 7 нм+ второго поколения.

Выручка от продаж памяти NAND всего за квартал упала на 23,8% Специалисты DRAMeXchange, подразделения аналитической компании TrendForce, пришли к выводу, что традиционный сезонный спад первого квартала в этом году дополнили другие факторы, в результате чего выручка от продаж флеш-памяти NAND всего за квартал упала на 23,8%. В перв...

Intel анонсировала свои первые массовые 10-нанометровые процессоры Ice Lake – они предназначены для ноутбуков Сегодня на специальном мероприятии в рамках выставки CES 2019 компания Intel официально анонсировала свои первые массовые CPU, произведенные по техпроцессу 10 нм. Правда, новинки именно анонсированы – массовое производство их стартует позже в этом году (вероятно, ...

GlobalFoundries готовится увеличить капитал за счёт публичного размещения акций Контрактный производитель полупроводниковых изделий, недорого.

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Huawei готовится к масштабным увольнениям сотрудников в США Похоже, что китайская телекоммуникационная компания Huawei готовится к длительному торговому противостоянию, несмотря на обещания правительства США смягчить ограничения, введённые ранее. По сообщениям сетевых источников, Huawei готовит «обширные» увольнения в своих исследова...

При производстве SoC Kirin для смартфонов Huawei на заводе TSMC возникли проблемы Компания Taiwan Semiconductor Manufacturing Co. (TSMC) является крупным производителем полупроводниковой продукции, в частности, однокристальных систем для Huawei, Apple, Qualcomm, MediaTek и других компаний. Вот уже второй раз за последние несколько месяцев на произво...

В 2020 году Китай захватит до 70 % глобального рынка смартфонов с 5G Технологии 5G стали началом очередного мощного скачка в телекоммуникационной отрасли, поэтому многие производители стараются успеть занять место на этом рынке. Лидирующую позицию в этом направлении уже в следующем году может занять китайский рынок. По мнению тайваньской комп...

Binance запустит фьючерсные контракты на биткоин Биржа Binance намерена запустить собственную фьючерсную платформу Binance Futures в ближайшие несколько месяцев, сообщил CEO компании Чанпен Чжао в ходе стартовавшего в Тайбэе Asia Blockchain Summit. Об этом пишет CoinDesk. «Очень скоро Binance запустит фьючерсную платформу....

Japan Display планирует быстрее запустить производство OLED-экранов Компания намерена построить такой завод в Японии, потратив на это около 2,5 лет.

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

Инсайдеры: беспроводная зарядка Apple AirPower уже запущена в производство По данным инсайдеров, Apple наконец начала производство беспроводной зарядки AirPower, которая была анонсирована ещё в сентябре 2017 года во время презентации iPhone X. Тогда компания обещала выпустить коврик в 2018 году, однако уже через несколько месяцев столкнулась с неко...

Foxconn передумала выпускать в США ЖК-дисплеи для телевизоров Крупнейший в мире контрактный производитель Foxconn Technology Group пересмотрел планы по производству современных жидкокристаллических панелей для телевизоров в кампусе в Висконсине (США) и теперь планирует вместо завода создать здесь «технологический центр», который будет ...

TSMC пересмотрит цены с поставщиками кремниевых пластин Недавно крупнейший полупроводниковый производитель TSMC в числе первых в своей отрасли подвёл итоги работы в четвёртом квартале календарного 2018 года и сделал прогноз по выручке на первый квартал 2019 года. Компания огорошила общественность сообщением об ожидании сильнейшег...

Аналитики предсказывают сильнейшее падение цен на оперативную память из-за торговой войны США и Китая Буквально только что аналитики подразделения DRAMeXchange торговой площадки TrendForce официально изменили собственный прогноз по динамике снижения контрактных цен на оперативную память типа DRAM.

Volkswagen выделит на цифровизацию до 4 млрд евро Компания Volkswagen AG намерена до 2023 года инвестировать до 4 млрд евро в проекты по цифровизации — в основном в области управления, но и в области производства тоже. Эти проекты включают внедрение таких решений для управления ресурсами предприятия, как платфор...

Квартальный отчёт Intel: выручка не изменилась, а все остальные основные финансовые показатели упали Компания Intel опубликовала отчёт по итогам первого квартала 2019 финансового года. И квартал этот был для компании далеко не самым успешным. Выручка компании осталась неизменной в годовом выражении — 16,1 млрд долларов. А вот все остальные основные финансовые по...

Huawei рвется на вершину рынка смартфонов Huawei планирует следующую ступеньку своего восхождения — поставить 250 млн смартфонов в 2019 году. В следующем году эта цифра должна составить 300 млн трубок. Амбиций и тщеславия Huawei не занимать. Словно и нет признаков того, что рынок стагнирует и продажи смар...

Samsung инвестирует $11 млрд в производство дисплеев на ... Сегодня корпорация Samsung сделала официальное объявление о своих планах инвестировать $11 млрд (13,1 трлн вон) в разработку и производство дисплеев следующего поколения с квантовыми точками. Такое решение принято в попытке справиться с падением спроса на LCD-матрицы, растущ...

Российская Ангстрем-Т готова выпускать силовые транзисторы Trench MOSFET Очевидный курс массового автотранспорта на электромобили, солнечная энергетика и общее развитие электроники в сторону мобильности открыто намекают на важность развития силовой полупроводниковой электроники. В перспективе отрасль будет переходить на новые материалы из разряда...

Платформа ShapeShift анонсировала масштабный ребрендинг Ветеран биткоин-индустрии компания ShapeShift готовится к крупному ребрендингу своей платформы моментального обмена криптовалют. В рамках этого процесса компания запускает с понедельника закрытое бета-тестирование новой площадки. Глава компании Эрик Ворхес сделал соответству...

Panasonic прекращает производство LCD к 2021 году Panasonic объявляет о том, что к 2021 году выйдет из производства ЖК-дисплеев. Компания была ведущим производителем телевизоров, но из-за острой конкуренции со стороны китайских и южнокорейских производителей, ранее прекратила производство ЖК-телевизоров в 2016 году. Вместо...

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

Foxconn отказалась от производства LCD-панелей на территории США Руководство крупнейшего на планете контрактного производителя Foxconn Technology Group отказалось от идеи производства в Висконсине жидкокристаллических панелей.

Samsung инвестирует $116 млрд в разработку и производство микропроцессоров в ближайшие 10 лет В 2018 году подразделение по производству чипов принесло компании 75% всего операционного дохода, а новые инвестиции, по мнению Samsung, помогут обогнать Qualcomm и TSMC.

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

Xiaomi может выйти на рынок электронных сигарет Компания Xiaomi имеет обширную производственную гамму, и даже старается ее постоянно расширять. К примеру, несколько дней тому назад в китайских СМИ появились слухи о выходе Xiaomi на рынок мониторов, и вот новый слух: на этот раз компании приписывают выход на рынок эле...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

OneWeb привлекла $1,25 млрд инвестиций для массового производства спутников В раунде финансирования, о котором было объявлено 18 марта 2019 года, участвовали SoftBank, Qualcomm, правительство Руанды, а также мексиканская группа компаний Grupo Salinas. В число других инвесторов также вошли Intelsat, Bharti Enterprises и Virgin Group."Этот раунд ...

GlobalFoundries продала сингапурский завод Fab 3E Контрактный чипмейкер GlobalFoundries объявил о продаже своего сингапурского завода Fab 3E компании Vanguard International Semiconductor (VIS). Новому владельцу достанется не только сама фабрика и оборудование, но также сотрудники и связанные с производством технологии....

Продажи фотошаблонов для полупроводникового производства в 2018 году достигли рекордного уровня Отраслевая ассоциация SEMI, в которую входят представители цепочки поставок в области производства и проектирования электроники, сообщила интересный факт: в 2018 году продажи фотошаблонов или масок для полупроводникового производства впервые превысили 4 млрд долларов. Г...

Samsung поможет Intel справиться с дефицитом процессоров Компания Samsung Electronics согласилась поставлять Intel центральные процессоры для компьютеров, чтобы помочь решить проблему с их дефицитом. Об этом сегодня сообщили многие отраслевые источники. Как известно, компания Intel столкнулась с технологическими проблемами. ...

Председатель Foxconn уходит с поста и обдумывает участие в президентской гонке Терри Гоу (Terry Gou) планирует уйти со своего поста председателя совета директоров Foxconn, крупнейшего в мире контрактного производителя. Также магнат заявил, что рассматривает возможность участия в президентской гонке на Тайване, которая пройдёт в 2020 году. Об этом он ск...

Процессоры A13 готовы к серийному производству TSMC, основной производитель чипов для Apple, готов запустить массовое производство процессоров следующего поколения A13 для нового модельного ряда iPhone.

Несмотря на временные трудности, в долгосрочной перспективе цены на DRAM и NAND продолжат снижаться Аналитики подразделения DRAMeXchange компании TrendForce опубликовали своё экспертное мнение о динамике цен на память в ближайшей и долгосрочной перспективе. Как вам наверняка известно, за последний месяц производство DRAM- и NAND-памяти подверглось испытаниям на прочность. ...

Пока вы спали. Выпущено более 100 устройств с поддержкой 5П По данным Глобальной ассоциации мобильных поставщиков (Global Mobile Suppliers Association, GSA), по состоянию на август 2019 года на рынке представлено более 100 моделей устройств с поддержкой 5G. В данном списке присутствуют самые разные устройства, от смартфонов до т...

Всего за квартал выручка TSMC уменьшилась почти на четверть Компания TSMC, являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, опубликовала отчет за первый квартал 2019 года. Выручка TSMC за отчетный период составила 7,1 млрд долларов. По сравнению с первым кварталом 2018 года этот показатель у...

Наконец-то стартовало производство беспроводной зарядки Apple AirPower Apple анонсировала беспроводную зарядку AirPower в сентябре 2017 года, и с тех пор в Сети регулярно появлялись слухи о том, что выпуск зарядки откладывается. И тому называли массу причин. Но, судя по всему, все проблемы с AirPower уже решены, и зарядное устройство готов...

lifecell представил новые тарифные планы для абонентов контрактной формы обслуживания Диджитал-оператор lifecell запустил новые тарифные планы для абонентов контрактной формы обслуживания «Бизнес Старт 90», «Бизнес Свобода 120», «Бизнес Премиум 200».

lifecell представил новые тарифные планы для абонентов контрактной формы Диджитал-оператор lifecell запустил новые тарифные планы для абонентов контрактной формы обслуживания "Бизнес Старт 90", "Бизнес Свобода 120", "Бизнес Премиум 200".

Microsoft и Sony объединяются ради игр Как говорится в сообщении на новостном портале Microsoft, компания предоставит мощности своей облачной платформы Azure для игровых и стриминговых сервисов Sony. В рамках партнерства обе корпорации обменяются опытом для оптимизации как «изнанки» всех подобных сайтов, так и ко...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

TSMC наращивает портфель заказов на 7-нм продукцию Как сообщает сетевое издание DigiTimes со ссылкой на источники в индустрии, крупнейший тайваньский контрактный производитель TSMC продолжает успешно осваивать производство 7-нм продукции. Количество таких заказов со стороны клиентов растет, впрочем, как и коэффициент...

Symantec и Broadcom завершили сделку Стоимость корпоративного бизнеса Symantec составила 10,7 млрд долларов, тогда как ранее это подразделение приносило компании 2,5 млрд долларов годовой выручки.

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Meizu 16S и Meizu 16S Plus: уход от классики с 3,5 мм разъемом Мода с отказом от 3,5 мм аудиоразъема теперь докатится и до смартфонов Meizu, но компенсация в виде влагозащиты не появится. Информация об отказе компании в новом поколении флагманов от мини-джека появилась еще в ноябре прошлого года и пришла очередная инсайдерская информаци...

Китайский конкурент Waymo и Toyota будут совместно разрабатывать самоходные автомобили Китайский стартап по разработке самоуправляемых автомобилей Pony.ai из Гуанчжоу объявил в понедельник о заключении соглашения о партнёрстве с японским автопроизводителем Toyota с целью исследования «безопасных» сервисов в сфере мобильности с использованием технологий автоном...

AMD будет продавать Radeon VII почти по себестоимости Уже совсем скоро, 7 февраля, начнутся продажи видеокарты AMD Radeon VII. Рекомендованная стоимость новинки составит $699, что, с учётом использования дорогой памяти HBM2, является вполне гуманным ценником. Поэтому ресурс Fudzilla решил выяснить себестоимость новинки и узнать...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Apple запускает массовое производство iPad 7, сборка 16-дюймового MacBook Pro начнется в конце года Тайваньская компания Radiant Opto-Electronics, поставщик модулей подсветки для устройств Apple, раскрыла данные, о которых в американской компании предпочли бы молчать. Теперь, благодаря азиатской фирме, мы знаем, что массовое производство iPad нового поколения стартует...

Минувший квартал оказался для Nikon убыточным, продажи камер сократились на 22% Компания Nikon опубликовала отчет за минувший квартал. Поскольку у нее финансовый год начинается 1 апреля, это был его третий квартал. Отчетный период принес известному производителю фототехники и оборудования для полупроводникового производства доход 190,5 млрд иен и ...

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Российские ИБП – на экспорт История компании "Парус электро" неразрывно связана с развитием компании "Связь инжиниринг", которая работает на рынке с 1997 года и известна в первую очередь как производитель систем постоянного тока для телекоммуникаций. С 2011 года "Парус электро...

Samsung начала массовое производство модемов 5G, а Apple собрала команду из более 1000 инженеров для разработки собственного 5G-модема Компания Samsung заявила о начале массового производства собственных чипов для обеспечения поддержки связи 5G. Речь идёт о многорежимном чипсете Exynos Modem 5100, который используется в смартфоне Galaxy S10 5G. Чип Samsung Exynos Modem 5100 был впервые анонсирован в августе...

Samsung начинает массовое производство накопителя eUFS 3.0 емкостью 512 Гб Компания Samsung Electronics объявила о начале массового производства встраиваемого накопителя Universal Flash …

Intel готовится к массовому производству 5G-модемов Корпорация Intel начнёт работать над инженерными проектами в рамках организации массового производства 5G-модемов уже в следующем квартале. По крайней мере, об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Изображения Intel В конце прошлого года, напомним...

Запущена блокчейн-система для контроля поставок косметики Многие из товаров Cult Beauty относятся к нишевым брендам, поэтому неудивительно, что покупатели требуют от компании доказательства их подлинности. Теперь ритейлер сможет это сделать с помощью блокчейн-платформы, где будет храниться вся история производства и поставок товаро...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Vodafone вводит услуги Pass в тарифы для контрактных клиентов Теперь контрактным клиентам Vodafone в тарифах Red S/M/L/LIGHT доступны услуги PASS, которые обеспечивают безлимитный доступ к любимым приложениям. Чтобы не волноваться о лимите в своем тарифном плане, пользователи этих тарифов могут подключить одну или несколько актуальных ...

Samsung начинает массовое производство первой флэш-памяти объемом 1 ТБ Компания Samsung объявила о начале массового производства первой флэш-памяти eUFS (embedded Universal Flash Storage) 2.1 емкостью 1 ТБ для мобильных устройств следующего поколения. ***

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

По прогнозу TrendForce, контрактные цены на DRAM во втором квартале упадут еще на 15% Специалисты DRAMeXchange, подразделения аналитической компании TrendForce, прогнозируют, что превышение предложения памяти DRAM над спросом сохранится в течение всего полугодия. Следствием перепроизводства является снижение цен. Только в январе было зафиксировано послед...

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

SK Hynix приступает к массовому производству 128-слойной 4D NAND Компания SK Hynix начала массовое производство первой в мире 128-слойной памяти типа 4D NAND.

Серийное производство российского электрокара Zetta начнётся в 2020 году Глава Минпромторга РФ Денис Мантуров сообщил о планах начать серийное производство первого российского электромобиля Zetta в первом квартале 2020 года. По его словам, сертификация машины находится на финальном этапе. Ранее запуск производства российских электрокаров был анон...

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

Компания ERA внедрила на производстве «1С:ERP» Компания 1С:Апрель Софт полностью завершила проект по комплексной автоматизации на базе решения 1С:Предприятие 8. ERP Управление предприятием 2 (далее 1С:ERP) в компании ERA. Ранее компания ERA работала в программе 1С:Управление ...

В этом году на производство памяти придется 43% капиталовложений в полупроводниковой отрасли Затраты, связанные с выпуском микросхем памяти, в последние годы служили движущей силой быстрого роста капиталовложений в полупроводниковой отрасли. Однако к настоящему моменту большинство соответствующих планов расширения и модернизации уже завершены или вступили в зав...

Возвращение Rockchip. SoC RK3588 предложит восьминанометровый техпроцесс и ядра Cortex-A76 и Cortex-A55 Компания Rockchip на данный момент редко мелькает в новостях тематических ресурсов. Даже китайские производители планшетов сейчас используют платформы Rockchip достаточно редко. Однако вскоре компания сможет предложить производителям устройств весьма современную SoC. Од...

У Samsung готова 7-нанометровая технология EUV Компания TSMC первой среди производителей полупроводниковой продукции освоила выпуск продукции по нормам 7 нм. И хотя формально это 7-нанометровая продукция, ее характеристики они находятся на тех же уровнях, которые ожидаются от 10-нанометровой продукции Intel. В компа...

Китайские учёные разработали 3-нм транзистор По сообщению китайского издания South China Morning Post, группа китайских исследователей из Института микроэлектроники китайской академии наук разработала транзистор, который можно будет выпускать в рамках 3-нм техпроцесса. В отличие от 3-нм структуры транзистора компании S...

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

Ждем скидок. Собранные в Индии iPhone впервые начнут продаваться в других странах   Официально. Произведенные в Индии смартфоны Apple iPhone будут продаваться в других странах. Apple намерена запустить продажи нескольких моделей iPhone в странах Европы в ближайшее время. Об этом сегодня заявил министр информационных технологий Индии Рави Шанкар...

Сборка старших моделей Apple iPhone начнется в Индии уже в будущем году По сообщению источника, в 2019 году местное подразделение Foxconn в Индии начнет выпуск своей первой продукции Apple — это будут старшие модели смартфонов iPhone. Компания Foxconn уже собирает в Индии смартфоны Xiaomi. В расширение предприятия, где будут изготавл...

Apple купила подразделение мобильных модемов Intel Компания Apple официально подтвердила информацию о приобретении подразделения компании Intel, занимающегося производством мобильных модемов. Сумма сделки составила 1 миллиард долларов США. В результа...

Adidas закрывает роботизированные фабрики в Германии и США Компания Adidas объявила сегодня о намерении закрыть свои высокотехнологичные «роботизированные» заводы, расположенные на территории США и Германии. Эти фабрики создавались с целью приблизить производство к клиентам. Однако теперь представители компании объявили о том, что в...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

Китайцы готовятся выпускать первую разработанную в стране память DRAM На днях с подачи интернет-ресурса DigiTimes мы сообщили, что китайская компания ChangXin Memory Technologies (ранее ― Innotron Memory) собирается в четвёртом квартале приступить к производству 19-нм 8-Гбит чипов LPDDR4 (или DDR4, в этом мнения разделились). Чуть подробнее о ...

Аналитики TrendForce заговорили о неконтролируемом падении цен на флэш-память NAND Согласно последним исследованиям DRAMeXchange, подразделения TrendForce, из-за торговой войны между США и Китаем спрос на смартфоны и серверы в году упадет. В результате, помимо дефицита процессоров, который продолжает мешать поставкам ноутбуков, спрос на SSD, модули eM...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

СМИ: экс-глава Bitmain Джихан Ву собирается запустить внебиржевую торговую платформу Как сообщает The Block, бывший глава китайского майнингового гиганта Bitmain до конца июля намерен запустить стартап Matrix, специализирующийся на внебиржевом трейдинге, кредитовании и кастодиальных решениях. Согласно знакомым с ситуацией китайским источникам, ключевым партн...

Samsung начала массовое производство оперативной памяти LPDDR4X на 12 Гбайт В прошлом месяце Samsung представила новые флагманы с объемом оперативной памяти 12 Гбайт. Сегодня компания объявила о старте массового производства оперативной памяти LPDDR4X объемом 12 Гбайт для премиальных смартфонов. Подробнее об этом читайте на THG.ru.

Intel готова к массовому производству энергонезависимой памяти MRAM Согласно отчету издания EETimes, компания Intel готова начать массовое производство магниторезистивной оперативной памяти SST-MRAM. Благодаря высокой стойкости и высокой прочности, STT-MRAM может стать будущей универсальной альтернативой традиционным DRAM и NAND. MRAM…

Интернет-гигант Kakao запустит собственный криптовалютный кошелек Южнокорейская технологическая корпорация Kakao намерена запустить собственный криптовалютный кошелек Klip до конца текущего года, сообщает News1 Korea. Так, его разработкой занимается блокчейн-подразделение Ground X. Новый сервис будет поддерживать цифровую валюту корпорации...

День, когда смартфоны заменили банковские карты 26 мая 2011 года Google запустил мобильную электронную платёжную систему.Google Wallet рассчитана для работы на смартфонах с ОС Android и с наличием модуля беспроводной передачи данных NFC. Система позволяет оплачивать покупки в магазинах с помощью телефона, на котором устан...

Официально: видеокарты AMD Radeon Navi выйдут в третьем квартале 2019 года Компания AMD официально подтвердила информацию о том, что первые видеокарты на базе новой графической архитектуры Navi выйдут в третьем квартале 2019 года. Чипы будут выполнены по 7-нм техпроцессу TSMC. Стоит отметить, что Navi — это семейство графических процессоров, поэто...

Samsung планирует начать массовое производство 3-нм чипов в 2021 году Южнокорейская корпорация Samsung поделилась планами начать серийное производство 3-нм полупроводниковой продукции с новым типом транзисторов GAAFET (gate-all-around FET) в 2021 году. Технологию GAAFET разрабатывается Samsung и другими компаниями с начала 2000 годов. Она...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

Amazon заказала 100 тысяч электрофургонов Rivian Amazon, Rivian Как передает Reuters, глава Amazon Джефф Безос (Jeff Bezos) объявил, что в рамках плана компании по достижению нулевого баланса выброса парниковых газов к 2040 году Amazon заказала у стартап-компании Rivian целых 100 000 электрических фургонов, поставки к...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Apple уже начала производство процессоров A13 Уже начато производство процессоров для нового семейства iPhone, которые выйдут осенью этого года. Это однокристальная платформа Apple A13, выполненная по 7-нанометровому техпроцессу. Производством занимается компания TSMC (Taiwan Semiconductor Manufacturing). Пока нача...

Samsung начинает массовое производство памяти MRAM Вслед за компанией Intel о начале массового внедрения магниторезистивной памяти MRAM объявил еще один гигант индустрии — Samsung Electronics. Речь идет о микросхемах eMRAM для различных встраиваемых систем, микроконтроллеров, устройств «интернета вещей» и.....

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

Компания Open Bionics предлагает бионические протезы киборгов и известных героев Компания Open Bionics объявила о готовности к массовому производству новой модели бионических протезов руки «Hero Arm» (Рука героя). Ставка сделана на рынок США, где они будут реализовываться в сотрудничестве с клиникой Hanger. Ранее такие протезы создавались на заказ и толь...

Один из крупнейших контрактных производителей смартфонов сокращает бизнес Компания FIH Mobile решила сосредоточиться на разработке автомобильной электроники.

Samsung будет делать дроны Некоторое время назад в сети появилась новость о том, что компания Samsung вроде как планирует запустить производство собственных дронов. Эта информация быстро растворилась в сети и никто как-то особо не обратил внимание на то, что крупный производитель электроники, если не ...

Выручка TSMC в первом полугодии оказалась на 4,5% меньше, чем год назад Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, опубликовала отчет за июнь. Поскольку это одновременно последний месяц полугодия, появилась возможность оценить динамику изменения финансовых показателей TSMC за более протяженн...

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

Samsung приступила к массовому производству смартфонов Galaxy S10 Мы пока еще довольствуемся всевозможными слухами и утечками относительно флагманских смартфонов Samsung Galaxy S10, но для самой компании уже все решено — аппараты запущены в массовое производство. Причем, по данным корейского источника, стартовало оно еще пять дн...

Apple теперь владеет подразделением Intel по производству мобильных чипов Apple и Intel официально закрыли сделку. Покупка Apple подразделения Intel по производству мобильных чипов была анонсирована […]

OneWeb привлекла $1,25 млрд на массовое производство спутников для покрытия Земли интернетом Компания запустила свои первые спутники в космос в феврале 2019 года.

Оператор Vodafone Украина ввел услуги Pass в тарифы для контрактных клиентов Оператор мобильной связи Vodafone Украина объявил, что теперь контрактным клиентам в тарифах Red S/M/L/LIGHT доступны услуги PASS, которые обеспечивают безлимитный доступ к любимым приложениям. Чтобы не переживать о лимите в своем тарифном плане, пользователи этих тарифов мо...

Производство тягача Tesla Semi официально перенесено на 2020 год В ходе презентации электрического тягача Tesla Semi в 2016 году Илон Маск назвал предполагаемую дату начала его производства — конец 2019 года. С тех пор эта дата не менялась, и некоторые люди начали предполагать, что компания действительно успевает к намеченной дате. Оказа...

Спецназ ФСБ может получить на вооружение «русско-американский» карабин AR15 Российские оружейники запустили производство американского оружия. В СМИ поступило сообщение о начале производства российской компанией ORSIS гражданского карабина на базе системы AR-15. Оружие получило обозначение ORSIS-AR15J. Ранее эти карабины собирались компанией из аме...

История компании IM | Секреты производства 3D принтеров Hercules | Исповедь сотрудников Сегодня вы увидите влог не о 3D печати, вы увидите влог о нас! :)Спешим поделиться самым душевным выпуском о том, что такое компания IMPRINTA и как мы преодолели рубеж в пять лет!Внимание! Сегодня мы точно не расскажем вам об успешных внедрениях 3D печати в бизнес, об иннова...

Foxconn остановила производственные линии, на которых собирались смартфоны Huawei По данным неназванных источников, «знакомых с положением вещей», компания Foxconn, известный контрактный производитель смартфонов, остановила несколько производственных линий, на которых собирались модели Huawei. Источники ничего не говорят о том, является л...

Почти даром. SSD будут дешеветь минимум до середины следующего года Падение цен на твердотельные накопители за последнее время привело к тому, что именно на SSD сейчас останавливают в большинстве случаев свой выбор как потребители, так и производители ПК. Аналитики TrendForce утверждают, что снижение цен продлится ещё минимум до середин...

Специалистам EOSRL, похоже, удалось совершить прорыв в технологии micro-LED Подразделение Electronic and Optoelectronic System Research Laboratories (EOSRL) института Industrial Technology Research Institute (ITRI), в ноябре 2017 года взявшееся за разработку дисплеев micro-LED в сотрудничестве с PlayNitride, Macroblock и Unimicron, похоже, сове...

Старейший банк Южной Кореи запустит систему безопасности на блокчейне Один из крупнейших банков Южной Кореи Shinhan Bank подписал меморандум о взаимопонимании с компаниями Ground X и Hexlant для совместной разработки системы безопасности на базе технологии блокчейн. Об этом сообщает The Korea Times. В рамках сделки Shinhan и финтех-фирмы запус...

ODM-производители начнут производить среднего ... Не добившись больших успехов на рынке Китая, Samsung закрыла собственные фабрики в этой стране. Вместо этого принято решение увеличить количество смартфонов, произведенных силами ODM-производителей на условиях аутсорсинга. Ожидается, что в следующем году 20% всех смартф...

Samsung начинает массовое производство 12 ГБ оперативной памяти LPDDR4X для смартфонов Компания Samsung уже представила смартфоны Galaxy S10+ и Galaxy Fold с 12 ГБ оперативной памяти в прошлом месяце. Сегодня она объявила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ.  ***

В США впервые выдали сертификат авиационного перевозчика компании, доставляющей грузы дронами Получившая сертификат, аналогичный тому, который выдают авиаперевозчикам, компания Wing намерена запустить в Вирджинии сервис доставки товаров и еды при помощи беспилотников. Ранее аналогичный сервис Wing запустила в Австралии.

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Ericsson автоматизировала производство 5G-оборудования Компания завершила проект по полной автоматизации своей фабрики, на которой собираются продукты для сетей 5G и 4G.

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Назвали виновника провала Red Hydrogen One и Red Hydrogen Two Компания RED, которая специализируется на производстве цифровых кинокамер, решила попробовать себя в другом направлении — она взялась за создание смартфонов. Первая модель Red Hydrogen One вышла с большим опозданием и по высокой цене. Новинка не снискала популярности и...

Volkswagen тоже займется выпуском аккумуляторных батарей для электромобилей Компания Volkswagen планирует производить в Германии аккумуляторные батареи и зарядные станции для электромобилей, пересмотрев работу подразделения по производству компонентов для автомобилей, которое сейчас выпускает двигатели и детали рулевого управления. Готовясь к м...

Снижение зарплат и платная прачечная. Слабый спрос на iPhone больно ударил по сотрудникам Foxconn Слабый спрос на iPhone во всем мире отразился не только на финансовых показателях компании Apple, но и затронул еще огромное количество других компаний, которые занимаются поставкой комплектующих и сборкой этих устройств. Так, например, стало известно о том, как слабый ...

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

Hardware-стартап для трансформации рабочих мест запустил производство Компания GETMOBIT запустила серийное производство и продажи аппаратно-программной платформы GM Smart System. Решение предназначено для построения и …

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

Китайский ответ YouTube приходит в Россию. В ближайшие несколько месяцев Huawei запустит сервис Huawei Video Компания Huawei собирается в ближайшее время расширить аудиторию фирменного видеосервиса Huawei Video на Россию и еще несколько стран. Об этом, пишет РБК, сообщил Джейме Гонсало (Jaime Gonzalo) — вице-президент направления мобильных сервисов подразделения Huawei C...

Поставки материнских плат Gigabyte падают и тянут за собой сокращение людей и расходов Сайт DigiTimes со ссылкой на рыночных наблюдателей сообщает, что компания Gigabyte Technology в первой половине 2019 года планирует сократить расходы на производство и маркетинг. Увольнению могут подвергнуться от 5 % до 10 % работников компании. Официально Gigabyte не комме...

В сентябре Foxconn откроет в Китае первую фабрику, которая будет выпускать только носимую электронику Компания Foxconn, являющаяся очень крупным, если не крупнейшим контрактным производителем электронных изделий, строит новое предприятие в Чэнду на юго-западе Китая. Эта фабрика будет выпускать только носимую электронику. Она должна быть открыта в сентябре. Учитывая, чт...

Bakkt намерена запустить расчетные биткоин-фьючерсы Регулируемая криптоплатформа Bakkt намерена до конца года расширить свое предложение расчетными фьючерсами на биткоин. Выступая на конференции CoinDesk Invest: NYC, операционный директор Bakkt Эдам Уайт заявил, что подразделение Intercontinental Exchange (ICE) уже работает н...

Выходцы из Soylent привлекли 5 млн USD на производство никотиновых жвачек Один из соучредителей Soylent Д. Рентельн спустя два года после ухода из стартапа запустил проект Lucy. Новая компания специализируется на производстве никотиновой жвачки.

Hyundai Motor планирует инвестировать в развитие 52 млрд долларов, треть этой суммы — в электрические и самоуправляемые транспортные средства Компания Hyundai Motor намерена инвестировать в свое развитие в период с 2020 по 2025 год 61,1 трлн вон (51,81 млрд долларов). Треть средств будет направлена на разработку и выпуск электрических и самоуправляемых транспортных средств. Южнокорейский автопроизводитель обн...

Особый вид квантового туннелирования может изменить полупроводниковую отрасль Что такое парадокс Клейна, и как он может помочь дальше уменьшать техпроцесс чипов.

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

SK Hynix: экспансия смартфонов с поддержкой 5G поднимет спрос на память на 20 % Причастные к производству смартфонов с поддержкой сетей 5G компании начинают называть свои уточнённые прогнозы по скорости их экспансии в следующем году, и на недавней квартальной конференции руководство TSMC уже заявило, что в 2020 году модели с поддержкой 5G смогут занять ...

Американо-китайская торговая война остановит расширение производства кремниевых пластин Продолжающаяся американо-китайская торговая война может вынудить некоторых поставщиков кремниевых пластин приостановить реализацию планов расширения мощностей, поскольку из-за снижения спроса растет разрыв между спотовыми и контрактными ценами. Об этом сообщают пре...

Компания Jenax привезёт на CES 2019 «революционную» гибкую аккумуляторную батарею Уже 9 января в рамках выставки CES 2019 южнокорейская компания Jenax должна показать первую в мире, согласно её словам, гибкую аккумуляторную батарею. Отметим, что про подобные продукты мы писали не раз, но, во-первых, зачастую это были прототипы, а во-вторых, их гибкос...

Huawei отложила выход Mate X на рынок и назвала время, ... На IFA 2019 компания Huawei отметилась анонсом процессора Kirin 990. Это новая флагманская платформа от китайцев, которая стала первым чипом со встроенным 5G‑модемом. Представители Huawei подтвердили информацию, что складной Huawei Mate X получит Kirin 990 5G.    ...

Новые флагманы Meizu можно ждать уже в апреле О том, как примерно будет выглядеть смартфон Meizu 16s, мы уже знаем. Компания сохранит удачную, по мнению очень многих, концепцию без использования вырезов, только сделает рамки сверху и снизу экрана ещё тоньше. Кроме того, новый флагман перейдёт на Snapdragon 855 и по...

Как мы собираем ТВ-приставки Как сделать шаг навстречу такому модному сегодня понятию, как импортозамещение? На самом деле, несложно, если ты уже имеешь соответствующий опыт разработки и производства отечественного продукта. У нас такой опыт имеется. Вот уже больше года в Екатеринбурге функционирует с...

Начато производство новой Skoda Octavia Skoda Компания Skoda сообщила о старте производства Octavia нового поколения в городе Млада-Болеслава, Чехия. На данный момент собирается лишь универсал, однако уже в следующем месяце компания запустит сборку и лифтбека. Skoda рассчитывает, что предприятие в Млада-Болес...

Ford будет использовать платформу Volkswagen MEB для создания своих электромобилей Компания Volkswagen собирается в ближайшие годы наполнить рынок электромобилями. Для этого немецкий автогигант создал новый бренд и новую платформу. Как сообщает источник, Volkswagen и Ford достигли общего соглашения о совместном использовании технологий электрических и...

[Перевод] Смартфоны Samsung в скором времени получат 1 ТБ внутренней памяти Надоела нехватка дискового пространства на вашем телефоне, заполненном приложениями, фотографиями и видео? Когда-нибудь в ближайшем будущем смартфоны будет поставляться с более чем одним терабайтом внутренней памяти которая будет работать в 10 раз быстрее, чем SD-карточка. ...

Объём экспорта полупроводников из Южной Кореи восстановится в следующем году По сообщениям южнокорейских СМИ, поставки полупроводниковой продукции, являющейся ключевым элементом экспорта страны, восстановятся в следующем году. Это произойдёт вслед за восстановлением глобального спроса на полупроводники и приведёт к завершению этапа непрерывного сокра...

Смартфон Energizer с аккумулятором на 18 000 мАч не выйдет Впервые смартфон Energizer Power Max P18K Pop с огромной батареей ёмкостью 18 000 мАч показали на MWC 2019. Тогда компания Avenir Telecom, лицензирующая торговую марку Energizer, планировала запустить устройство в массовое производство до конца лета текущего года. После…

LedgerX намерена опередить Bakkt с запуском поставочных биткоин-фьючерсов Провайдер криптовалютных деривативов LedgerX планирует стать первой в США компанией, запустившей поставочные фьючерсы на биткоин. Об этом сообщает CoinDesk. Сегодня, 15 апреля, компания сообщила о намерении получить лицензию CFTC, которая сделает LedgerX авторизованным рынко...

В РФ начали производство аналога винтовки AR-15 Полуавтоматическая винтовка AR-15 является одним из самых популярных образцов американского стрелкового оружия. Как сообщает РИА Новости со ссылкой на официального представителя ORSIS, компания запустила серийный выпуск полуавтоматических винтовок ORSIS-AR15J. Ранее их собир...

Видео | Как происходит сборка Tesla Model 3 от начала до конца? Компания Tesla долгое время не могла наладить производство электрического автомобиля Tesla Model 3. Она была намерена выпускать по 5000 электрокаров в неделю, и в июле 2018 года чуть не нарушила свое слово — желаемая скорость производства была достигнута в последний момент....

Слухи приписывают AMD намерения выпустить в этом году 7-нм гибридные процессоры Представительница AMD на технологической конференции Bank of America Merrill Lynch сообщила, что компания намеревается перевести на 7-нм техпроцесс изготовления и мобильные процессоры марки Ryzen, но когда это случится, она уточнять не стала. В конце концов, настольным 7-нм ...

Германия профинансирует разработку технологий аккумуляторов для электромобилей Правительство Германии намерено профинансировать исследования, в результате которых немецкие компании получат собственное ноу-хау аккумуляторных батарей для электромобилей. Об этом на днях заявила Аня Карличек (Anja Maria-Antonia Karliczek), возглавляющая министерство о...

LG Chem обвиняет SK Innovation в краже коммерческих секретов Компания LG Chem и ее дочернее производственное предприятие LGCMI, расположенное в США, подали два иска против южнокорейской компании SK Innovation. Называя себя мировым лидером в производстве литий-ионных аккумуляторных батарей, чьи уникальные технологии используются в...

Японцы научились эффективно извлекать кобальт из выработанных аккумуляторов По сообщению японских источников, компания Sumitomo Metal разработала эффективный техпроцесс для извлечения кобальта из выработанных аккумуляторов для электрокаров и не только. Технология позволит в будущем избежать или смягчить дефицит этого крайне редко встречающегося на З...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

Foxconn начала подготовку к массовому производству новых iPhone Если верить недавним слухам, то официальный анонс нового поколения iPhone состоится 10 сентября. Во второй половине месяца Apple должна начать продавать свои новинки. Сейчас же партнеры яблочной компании готовятся к старту производства новых моделей. Например, компания Foxco...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

Подразделение Alibaba представило первое IP-ядро процессора Подразделение китайского технологического гиганта Alibaba Group Holding, занятое разработкой полупроводниковых изделий, представило свое первое IP-ядро центрального процессора. Оно получило название Xuantie 910. По словам разработчиков, Xuantie 910 подходит для устройст...

Германия намерена увеличить субсидирование покупок электромобилей на 50 % Германия собирается вдвое увеличить субсидирование покупок электромобилей начиная с 2020 года. По данным агентства Reuters, проект этих предложений должен был обсуждаться на встрече высокопоставленных правительственных чиновников и представителей автомобильных компаний в пон...

По оценке TrendForce, доля TSMC на рынке полупроводникового производства в этом квартале составит 48,1% Согласно последнему отчету TrendForce, темпы развития передовых производственных процессов падают из-за ослабления спроса на большинстве конечных рынков, включая смартфоны. Отрасль столкнулась с серьезной проблемой. Ожидается, что в текущем квартале продажи сократятся д...

Xiaomi обещает, что в этот раз все будет иначе: смартфонов Xiaomi Mi 9 изначально будет много Компания Xiaomi, которая смогла за несколько лет выбиться в пятерку самых успешных поставщиков смартфонов во всем мире и занять первые места в некоторых странах мира, давно пытается решить главную проблему, связанную с доступностью ее смартфонов. Всякий раз, когда Xiaom...

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

Apple начнёт тестовое производство наушников AirPods во Вьетнаме В прошлом месяце мы сообщали о том, что компания Apple собралась постепенно переносить производственные мощности за пределы Китая и для этих целей обратилась к своим поставщикам с просьбой просчитать последствия такого шага при условии переноса 15-30% мощностей. Если в...

Умные часы Apple Watch с экраном microLED могут выйти в следующем году Источник сообщает, что компания Apple ведёт переговоры с тайваньским производителем дисплеев относительно поставок экранов microLED для будущих умных часов. Сейчас, напомним, Apple Watch используют экраны OLED производства LG. Массовое производство необходимого ...

На рынке оборудования для полупроводникового производства сменится лидер Этого не было около 19 лет. На первое место поднимется ASML, опередив компанию Applied Materials.

Samsung может начать производство GPU для дискретных видеокарт Intel На этой неделе Раджа Кодури (Raja Koduri), курирующий производство GPU в Intel, побывал на заводе Samsung в Южной Корее. Учитывая недавнее объявление Samsung о начале производства 5-нм чипов с использованием EUV, некоторые аналитики посчитали, что этот визит может быть неслу...

Цена не помешала Samsung Galaxy Fold стать популярным Samsung зафиксировала положительный пользовательский отклик на сгибающийся смартфон Galaxy Fold с момента запуска устройства, который состоялся совсем недавно. Ранее появились сведения о том, что Samsung планирует увеличить производство складных телефонов в 2020 году. Д...

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

Volkswagen готовится к запуску производства мобильных зарядных станций для электрокаров Немецкий концерн Volkswagen планирует заняться выпуском аккумуляторных блоков и зарядных станций для автомобилей на электрической тяге. Производство будет запущено автопроизводителем в Германии.

НОРБИТ внедрил в европейском подразделении Segezha Group CRM-систему Creatio Компания НОРБИТ завершила тиражирование CRM-системы Creatio (ранее bpm’online) от Террасофт в одном из европейских подразделений Segezha Group — предприятии Segezha Packaging в г. Ольборг (Дания). Новая CRM-система позволила ...

Электрокар Volvo Polestar 2 первым получит новую систему Android Auto Volvo готовит электрокар Polestar 2, который станет первым полностью электрическим автомобилем компании под новым брендом. Кроме того, Polestar 2 первым получит обновлённую операционную систему Android Auto со специальной автомобильной версией Google Assistant из коробки с к...

Foxconn по-прежнему намерена строить завод в Висконсине, хотя штат собирается уменьшить льготы Foxconn сообщила в пятницу, что по-прежнему готова к выполнению контракта на строительство в Висконсине завода по выпуску ЖК-панелей и научно-исследовательского центра. Заявление тайваньской компании поступило спустя несколько дней после того, как вступивший в должность в ян...

Дождались! Sony сама будет снимать фильмы по мотивам хитов PlayStation Компания Sony решила больше не ждать, когда крупные голливудские студии обратят внимание на ее знаменитые игровые вселенные и запустят в производство соответствующие фильмы и сериалы. На этой неделе было объявлено о создании киностудии PlayStation Productions, которая ...

Роскосмос внедрит блокчейн для работы с цифровыми правами Государственная корпорация «Роскосмос» подписала соглашение о сотрудничестве с ассоциацией IPChain для внедрения блокчейн-инфраструктуры. Об этом сообщается на официальном сайте ассоциации. Главной целью партнерства является «вовлечение наукоемких отраслей эко...

«Киевстар» представил новую линейку тарифов Smart для контрактных и бизнес-клиентов Оператор мобильной связи Киевстар представил новую линейку тарифов Smart, Smart+, Smart Max, Smart Business, Smart Business+. Тарифы доступны для всех абонентов контрактной и корпоративной форм обслуживания, а также для новых клиентов. К основным преимуществам новой Smart-ли...

Alibaba представила свой первый процессор Технология RISC-V имеет ряд преимуществ при использовании в "умных" часах и другой электронике с выходом в интернет. Кроме того, она считается недостижимой для американских санкций и может использоваться в Китае без боязни нарушить экспортные ограничения, отмечает ...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Топор войны зарыт. TSMC и GlobalFoundries уладили все патентные разногласия, став партнерами TSMC и GlobalFoundries, два крупнейших контрактных производителя полупроводниковой продукции объявили о достигнутом ими соглашении. Стороны уладили патентные разногласия, отозвали все судебные иски и подписали глобальное кросс-лицензионное соглашение сроком на десять лет. Та...

Samsung может перейти на использование чипсетов сторонних разработчиков Мировым лидером производства чипсетов для мобильных устройств по праву можно назвать компанию Qualcomm. Но кроме продукции данного производителя можно также выделить HiSilicon Kirin от Huawei, Samsung Exynos, а теперь и чипсеты Apple A. В недавнем письме компании Samsung поя...

Xiaomi выпустит смартфон с поддержкой 100-ваттной зарядки Super Charge Turbo только в 2021 году Еще в марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт и позволяетт заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут. В апреле президент Xiaomi Лин Бин (Lin Bin) з...

Держись, Intel. Дорожная карта проливает свет на новые процессоры AMD О грядущих планах AMD относительно процессорного рынка мы знаем не так уж и мало. Есть даже официальные данные. К примеру, глава AMD уже пообещала нам мобильные Ryzen 4000 в начале следующего года. Кроме того, мы знаем, что в следующем году выйдут и настольные CPU Ryzen...

Компания realme станет одной из первых продавать 5G устройства Производитель смартфонов realme заявил о том, что станет одним из первых производителей, которые запустят продажу устройств с технологией 5G по всему миру. Данное заявление от молодой компании бросило вызов крупным игрокам рынка смартфонов, ведь 5G все еще находится ...

Meizu 16S позируют на «живых» снимках С дня на день мы ждем объявления даты анонса флагманов Meizu 16S и Meizu 16S Plus. Если все идет по намеченному плану, то их массовое производство уже стартовало или начнется до конца марта. Уже в первой половине апреля компания вполне может устроить пресс-конференцию, посвя...

В 2018 году компания Renesas продала продукции на 8,87 млрд долларов Компания Renesas Electronics, являющаяся крупнейшим мировым производителем микроконтроллеров и вторым в мире производителем специализированных процессоров, опубликовала отчет за четвертый квартал 2018 года и год в целом. Квартал принес японскому производ...

В Бельгии начали разрабатывать сверхъяркие тонкоплёночные светодиоды и лазеры Сверхъяркие светодиоды и лазеры прочно вошли в нашу жизнь и используются как для обычного освещения, так и в разного рода измерительной электронике. Перевести эти полупроводниковые приборы на новый уровень могли бы технологии производства с использованием тонкоплёночных стру...

Основатель Foxconn призвал Apple переносить производства за пределы Китая Как сообщает источник, основатель и крупнейший акционер компании Foxconn Терри Гоу (Terry Gou) не просто поддержал Apple в её намерениях постепенно переносить производственные мощности за пределы Китая, но и призвал компанию делать это. В частности, Гоу предложил Apple...

Гибкому смартфону Sony Xperia F тоже приписывают экран с соотношением сторон 21:9 Компания Sony, которая намерена провести реструктуризацию смартфонного бизнеса, если верить источнику, в следующем году выпустит свой гибкий смартфон. Источник говорит, что новинка будет называться Xperia F. Устройство будет использовать гибкую панель OLED производства ...

Дефицит гелия угрожает продавцам шариков, производителям чипов и учёным Лёгкий инертный газ гелий не обладает собственными месторождениями и не задерживается в земной атмосфере. Он добывается либо как побочный продукт из природного газа либо извлекается при добыче других полезных ископаемых. До недавнего времени гелий добывался в основном на трё...

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Foxconn уже строит крупную фабрику по производству телевизионных панелей 8K На сегодняшний день телевизоры 8K являются невероятно дорогой и в целом бесполезной диковинкой. Однако аналитики указывают на то, что определённую популярность такие модели наберут уже по итогам текущего года, а уж спустя несколько лет и вовсе начнёт переход с 4K на 8K....

Наигрались: Razer сворачивает производство Razer Phone 3 и закрывает мобильное подразделение Компания уволила 30 сотрудников, которые занимались производством и продвижением смартфонов, а также созданием программного обеспечения для них.

На радость фанатам: потоковый видеосверис Disney+ стартует в ноябре с ценой всего 7 долларов в месяц Ещё в ноябре мы узнали о потоковом видеосервисе Disney+, который будет запущен в 2019 году. На самом деле первая информация о нём появилась ещё в 2017 году, но лишь прошлой осенью появились подробности. Теперь же Disney+ рассекречен почти полностью, включая цены. Итак,...

Samsung за десять лет инвестирует в производство мобильных SoC около $115 млрд В 2017 году Samsung Electronics стал новым лидером мирового рынка полупроводниковой продукции, сместив с трона Intel, который удерживал этот титул 24 года. Само собой, в Samsung Electronics отлично понимают, что в условиях столь высокой конкуренции без инвестиций в расширени...

Северная Корея показала свой флагманский смартфон В рамках 15-й Пхеньянской международной осенней выставки товаров был представлен новейший смартфон местного производства — "Пхурын ханыль" ("Голубое небо"). По данным корреспондента ТАСС, новейшая разработка Северной Кореи была запущена в производство буквально неделю назад ...

ASUS надеется продать 1 млн хромбуков в 2019 году Отраслевые источники утверждают, что тайваньский производитель электроники ASUS намерен продать в текущем году не менее миллиона хромбуков. Во многом, благодаря тесным взаимоотношениям с компанией Google и регулярным поставкам контрактных производителей Pegatron и Quanta Com...

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

Toshiba Memory запустила в производство XL-FLASH Компания Toshiba Memory Europe (TME) объявила о запуске в производство нового решения в области памяти класса хранилища (Storage Class Memory, SCM) — XL-FLASH. Оно создано на основе собственной инновационной технологии TME — ...

По данным Applied Materials, рынок микросхем памяти восстановится не раньше 2020 года Компания Applied Materials, поставляющая оборудование, услуги и программное обеспечение для производства полупроводниковых микросхем, предупредила, что восстановление на рынке микросхем памяти до 2020 года маловероятно. По данным поставщика, служащего барометром всей п...

Благодаря торговой войне США и Китая компания MSI стала лидером рынка видеокарт в Северной Америке Источник взял интервью у генерального директора MSI Чарльза Чанга (Charles Chiang). Разговор коснулся торговой войны между США и Китаем и её последствий для отрасли в целом и компании MSI в частности. Глава компании рассказал, что MSI сейчас готовится к худшему, ведь в...

Завтра Huawei представит новый процессор Kirin Как вы уже наверняка знаете, заявления о том, что Huawei при производстве процессоров для своих смартфонов полностью полагается на себя, оказались неправдой. Да, ее «дочка» HiSilicon разработала часть важных компонентов для чипсетов самостоятельно, но это было сделано с исп...

Apple планирует выпустить очки с поддержкой дополненной реальности? Ни для кого не секрет, что Apple давно интересуется технологиями дополненной реальности. Пока компания ограничилась лишь запуском платформы ARKit с набором инструментов для разработчиков. Но, как утверждает авторитетный аналитик Минг Чи-Куо, корпорация планирует развивать э...

Поставки кремниевых пластин за год сократились на 5,6% По подсчетам отраслевой организации SEMI Silicon Manufacturers Group (SMG), в минувшем квартале объем поставок кремниевых пластин, используемых при производстве полупроводниковой продукции, сократился до наименьшего с четвертого квартала 2017 года уровня. В годовом...

OnePlus 7 запущен в массовое производство, к старту продаж обещано более миллиона смартфонов Смартфон OnePlus 7 ждут многие — он, как и предшественники, должен обеспечить идеальный баланс цены, характеристик и возможностей. Понимая это, в компании рассчитывают создать достаточный объем складских запасов — чтобы не повторилась ситуация с Xiaomi Mi 9....

Huawei запустила сайт о Hongmeng, где рассказала о ней почти все Мы уже знаем, что Huawei готовится вот-вот запустить собственную операционную систему под названием Hongmeng, но почти все, что о ней известно к настоящему моменту, является результатами всевозможных утечек и сливов от людей, близких к планам компании. Но поскольку времени ...

Google запустил официальный сайт для ОС Fuchsia Google запустил официальный сайт с документацией по Fuchsia – новой операционной системе, над которой компания работает последние несколько лет. На сайте содержатся инструкции и технические детали, с помощью которых разработчики могут «поиграть» с новой ОС и программным обес...

Воздухоплавающие телефонные вышки, следующий шаг 5G Наземные сети 5G будут поддерживать высотные воздушные шары и беспилотники, и однажды смогут объединиться с ними. Фото: Loon В то время как мир стремится внедрить на земле скоростные мобильные сети 5G, некоторые компании по-прежнему сосредоточены на воздухоплавающих вышка...

СМИ: LG осваивает производство блокчейн-смартфонов Южнокорейский гигант LG предположительно собирается выпустить смартфоны с поддержкой технологии блокчейн. Об этом сообщает The Block со ссылкой на местное издание Chosun. К настоящему времени компания завершила исследование рынка блокчейн-компаний и децентрализованных прилож...

Логотип игрового подразделения Google замечен в Сан-Франциско перед завтрашним анонсом На этой неделе в Сан-Франциско проходит конференция разработчиков компьютерных, мобильных и видеоигр Game Developers Conference. В ходе этого мероприятия различные компании сделают свои анонсы. Компания Google готовится к анонсу своего нового сервиса потоковой трансляц...

Строительство сборочного завода Tesla в Шанхае будет завершено в мае Сборочный завод компании Tesla в Шанхае по выпуску электромобилей, как ожидается, будет построен в мае этого года. Об этом сообщил в кулуарах ежегодного мероприятия в парламенте в Пекине представитель правительства Шанхая Чэнь Минбо (Chen Mingbo), возглавляющий городскую ком...

Tesla обошла BMW, General Motors и Daimler и вошла в Топ-3 самых дорогих автопроизводителей Илону Маску (Elon Mask) совсем не обязательно пользоваться Twitter, чтобы подстегнуть интерес инвесторов к своей компании Tesla. На этот раз ему достаточно было лишь объявить о строительстве завода в Германии. На фоне этой новости акции Tesla взлетели, причем настолько,...

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

Xiaomi остановила продажи смартфонов Mi 9, Mi 9 SE и Mi 9 Explorer Edition из-за того… что не может произвести их в достаточном количестве Компания Xiaomi выпустила два официальных заявления. Сначала в первом говорилось о приостановке продаж смартфонов Mi 9 SE и Mi 9 Explorer Edition, в опубликованном позже втором речь идет уже о всех моделях серии Mi 9. Посыл каждого сообщения одинаков – продажи сма...

У Intel всё так плохо? Слухи приписывают компании намерение сократить тысячи рабочих мест Сегодня мы уже сообщали о том, что компанию Intel покинули два специалиста, связанные с подразделением по разработке GPU. Как сообщает источник, в течение последних недель ходят слухи о том, что Intel намерена серьёзно сократить штат сотрудников. Пока неясно, на...

У 76% производителей нет опыта внедрения аддитива — почему это хорошо По оценкам экспертов, ежегодно отечественный рынок аддитивных технологий растет на 20% и достигнет 14 млрд рублей в 2023 г. И так во всём мире — исследование EY показало, что 76% промышленных компаний не имеют опыта внедрения аддитивных технологий (АТ) в свое производство....

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

Популярный флагман XIaomi Mi 9 сняли с производства Сетевые источники сообщают о том, что компания Xiaomi убрала из каталога на фирменном сайте флагманский смартфон Mi 9. Скорее всего, речь идет о снятии модели с производства. Предполагается, что это было сделано из-за наличия в ассортименте моделей Mi 9 Pro 5G. Mi 9 и CC9 Pr...

Годовой доход Lenovo вырос на 12,5%, впервые превысив 50 млрд долларов Сегодня компания Lenovo опубликовала отчет за четвертый квартал 2018/2019 финансового года и год в целом. Квартальный доход Lenovo составил 11,7 млрд долларов дохода. Это на 10,1% больше в годовом исчислении. Производитель отмечает, что доход и прибыль увеличились во в...

Samsung разработала 10-нм DDR4 DRAM 3-го поколения Компания Samsung разработала новые микросхемы памяти 10-нм DDR4 DRAM третьего поколения без использования экстремальной ультрафиолетовой (EUV) литографии. Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого года. 10нм класс ( 1z-нм ) 8G...

Смартфоны Sony провалились в продажах Портал Gizchina, опираясь на собственные источники, опубликовал данные продаж смартфонов Sony в первой половине 2019 года. Если в 2018 году компания продавала, в среднем, полтора миллиона устройств за каждые три месяца, то за первый квартал текущего года удалось реализовать ...

Первое устройство на базе графена появится на рынке «в ближайшие два месяца» Выходцы из России, работающие в Британии, Константин Новоселов и Андрей Гейм создали графен – полупрозрачный слой углерода толщиной в один атом – в 2004 году. С этого момента практически сразу и повсюду мы стали слышать хвалебные оды о самых разных удивительных свойствах ма...

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

Официально: Apple покупает бизнес Intel по производству модемов за $1 млрд Компания Apple официально подтвердила информацию о покупке бизнеса Intel по производству модемов для смартфонов за $1 млрд. Ожидается, что сделка будет закрыта в четвёртом квартале 2019 года. В общей сложности к компании из Купертино присоединится 2200 сотрудников Intel. По...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Момо-3 — первая частная ракета в Японии, достигшая космоса Японский аэрокосмический стартап в субботу успешно запустил в космос небольшую ракету, что сделало её первой в стране моделью, разработанной частной компанией, которой это удалось. Interstellar Technology Inc. сообщила, что беспилотная ракета Момо-3 стартовала с испытательно...

Консоль Xbox 360 официально снята с производства Консоль Xbox 360 от компании Microsoft разошлась по всему миру примерно в 82 миллиона экземпляров. Благодаря именно Xbox 360 многие люди открыли для себя дорогу в цифровой мир игр за счет сервиса Xbox Live, который до сих пор позволяет играть с друзьями используя Интернет, а...

Рынок материалов для полупроводникового производства в 2018 году побил рекорд 2011 года Мировой рынок материалов, используемых при производстве полупроводниковых изделий, в 2018 году вырос по сравнению с 2017 годом на 10,6%. В денежном выражении он достиг 51,9 млрд долларов. Это новое рекордное значение, превысившее прежний максимум — 47,1 млрд долла...

Xiaomi пообещала не заниматься недвижимостью и машинами Компания Xiaomi представляет новые продукты каждую неделю, всякий раз умудряясь удивить и заставить гадать общественность, каким же станет следующий рынок, который она решит взять штурмом. В последнее время участились слухи о том, что Xiaomi намеревается создать н...

Производители закладывают основу для повышения цен на DRAM По данным аналитиков TrendForce, контрактные цены на память DRAM для компьютеров в августе стабилизировались. Средняя цена модуля объемом 8 ГБ осталась неизменной, удержавшись на уровне 25,5 доллара. Точных данных за сентябрь пока нет, но большинство признаков указывает...

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

Массовое 14-нм производство чипов в Китае стартует в первой половине года Мы строили, строили...

F5 Networks завершила приобретение компании Nginx Nginx официально стала частью F5 Networks и будет преобразована в отдельное бизнес-подразделение, которое возглавит теперь уже бывший генеральный директор Nginx Гас Робертсон (Gus Robertson). Основатели поглощенной компании - Игорь Сысоев и Максим Коновалов - продолжат работ...

Google собирается заняться разработкой умных часов У корпорации Google очень много различных проектов, как чисто программных, так и «железных». Похоже на то, что компания задумала присоединить к списку своих бизнесов еще и производство умных часов. Она и так имеет непосредственное отношение к этой отрасли, поскольку подавл...

Toyota и Panasonic запустят совместное производство призматических аккумуляторов Японские корпорации Toyota Motor и Panasonic подписали соглашение о создании совместного производства призматических автомобильных аккумуляторов.

Расходы на рекламу и разработки компании Intel удалось сократить Инвестиции в перспективные виды бизнеса и техпроцессы не пострадали.

Sony запустила сайт My PS4 Life, где показывается игровая история пользователей консоли PS4 Компания Sony запустила сайт My PS4 Life, на котором публикуется интересная статистика о привычках и поведении игрока, связанная с использованием игровой консоли PS4. Здесь можно увидеть, например, какую первую игру запустил пользователь на консоли или наиболее редкую получе...

Новейшая платформа Qualcomm Snapdragon 215 производится по техпроцессу 2012 года Сегодня мы уже писали об однокристальной системе Snapdragon 215, предназначенной для очень дешёвых смартфонов. И вот теперь Qualcomm представила данное решение. Никакого пресс-релиза нет, просто новинка появилась в списке линейки Snapdragon 200. Итак, новая однокристаль...

Electrify America установит на зарядных станциях для электромобилей аккумуляторные батареи производства Tesla Electrify America, подразделение Volkswagen AG, собирается установить более чем на 100 зарядных станциях в Соединенных Штатах аккумуляторные батареи Tesla. Это должно снизить расходы на зарядку электромобилей. Дело в том, что за повышенное энергопотребление в течение ко...

ДФВТ планирует инвестировать в «умных роботов» Серийное производство и центр по разработке искусственного интеллекта хотят запустить во Владивостоке.

Подразделение Societe Generale запустило блокчейн-ETN Британский банк Kleinwort Hambros, подразделение одного из крупнейших финансовых конгломератов в Европе Societe Generale, запустил блокчейн-ETN с активным управлением. Как сообщается в документе Kleinwort Hambros, листинг биржевой ноты был осуществлен в Люксембурге. Новый бл...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

SK Hynix начала массовое производство 128-слойной памяти 3D NAND TLC SK Hynix в официальном пресс-релизе сообщила о запуске масштабного производства 128-слойных микросхем флэш-памяти типа 3D NAND TLC ёмкостью 1 Тбит (128 ГБ). В маркетинговых материалах данная память называется 4D NAND, что на самом...

Xiaomi построила суперфабрику по производству флагманских смартфонов Руководитель Xiaomi Лей Цзунь (Lei Jun) выступил сегодня на мероприятии World 5G Conference, где сделал очень интересное заявление. Оказывается, компания построила суперсовременную фабрику по производству смартфонов в особой экономической зоне Пекина (Beijing Economic a...

6 причин посетить Autodesk University Russia 2019, если вы увлекаетесь цифровым производством Autodesk University Russia 2019 — ежегодная конференция Autodesk, собирающая российских и зарубежных экспертов в области технологий проектирования и производства промышленных изделий. В течение двух дней, 2 и 3 октября, участники смогут узнать, как создавать цифровые двойник...

RedmiBook 14 Enhanced Edition на платформе AMD поступит в продажу 21 октября. Цены обещаны сказочные Во время сегодняшнего мероприятия глава Redmi Лю Вейбинг (Lu Weibing) не только официально представил Redmi 8 и Redmi 8A в Китае, а также анонсировал Redmi K30, но еще и пообещал через неделю запустить в продажу ноутбуки RedmiBook 14 Enhanced Edition на платформе AMD. Ц...

К концу 2020 года Китай будет выпускать до 4 % микросхем на мировом рынке памяти Японское издание Nikkei изучило возможное влияние зарождающегося в Китае национального производства памяти NAND и DRAM на мировой рынок. Немногочисленным китайским компаниям ещё предстоит преодолеть много препятствий на пути к производству массовой памяти, но даже сейчас на ...

Toyota, подвинься. Great Wall представила двигатель на топливных элементах третьего поколения Пожалуй, самые известные автомобили на топливных элементах выпускает Toyota – это водородомобиль Mirai. Срок жизни Mirai первого поколения уже подходит к концу, компания недавно анонсировала Mirai нового поколения – она будет запущена в производство в конце ...

Intel готова начать массовое производство память MRAM Компания Intel показала, что она может вывести на рынок совершенно новый продукт. Накопители Optane уже достаточно распространены, хотя речи о замене обычных SSD, конечно, не идёт. Как сообщает источник, Intel уже готова к массовому производству ещё одного нового проду...

Дефицит процессоров Intel продлится до декабря текущего года В конце прошлого года еще встречались относительно позитивные прогнозы по ситуации нехватки процессоров Intel на рынке: мол, в 2019 году, если не в первом квартале, то уж к середине года точно, ситуация должна разрешиться. Судя по новым данным, дефицит CPU если и исчезн...

На одном из заводов Samsung по производству DRAM произошла авария Как стало известно накануне, несколько недель назад на одном из небольших заводов Samsung возникли проблемы с производством микросхем DRAM. Предприятие Giheung Plant находится в Южной Корее и занимается выпуском 200-мм полупроводниковых пластин по...

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

Сотовый оператор «МТС» запустил самый лучший в мире потрясающий тарифный план Лидером на рынке связи в России вот уже как много лет является компания «МТС», которая не собирается уходить с такой выгодной позиции. Именно поэтому она решила запустить самый лучший в мире потрясающий тарифный план, предлагающий Сообщение Сотовый оператор «МТС» запустил с...

По данным SEMI, продажи полупроводникового оборудования в 2018 году достигли рекордного значения 64,5 млрд долларов Специалисты отраслевой ассоциации SEMI, объединяющей поставщиков, работающих в микроэлектронной промышленности, подсчитали, что 2018 году нового оборудования для производства полупроводниковой продукции было продано на 64,5 млрд долларов. Это значение стало рекордом, пр...

Илон Маск заявил о намерениях построить новый завод Gigafactory 4 возле Берлина Глава Tesla сообщил, что его компания собирается построить новый завод по производству электрокаров “в окрестностях […]

За сутки заказано более 1 млн смартфонов Xiaomi Mi 9 Глава компании Xiaomi сразу же после анонса смартфонов линейки Mi 9 заявил, что производство новинки стартовало еще в январе, поэтому спрос на него будет полностью удовлетворен. ***

OnePlus дразнит изображением 55-дюймового OnePlus TV Компания OnePlus наконец нарушила долгое молчание относительно линейки телевизоров OnePlus TV. На официальной страничке OnePlus India было опубликовано следующее изображение, которое подтверждает, что первый телевизор OnePlus TV будет оснащен 55-дюймовой панелью QLED. Т...

Видеокарты NVIDIA с 7-нм GPU увидят свет в 2020 году Как сообщают источники, NVIDIA планирует заказывать производство своих 7-нм чипов будущего поколения не у традиционного партнёра, TSMC, а у компании Samsung. Утверждается, что такой выбор вызван тем, что Samsung в рамках 7-нм процесса сможет предложить EUV-литографи...

«Яндекс» запустит собственные сериалы «Яндекс» собирается заняться производством собственных фильмов и сериалов. Компания хочет добиться финансового и рекламного успеха, который смогли достичь Netflix и Amazon, ставшие ведущими в мире в области онлайн-медиа во-многом благодаря тому, что предложили телезрителям о...

Warner Bros. запустил в производство «Фантастических зверей 3» — повествование перенесут в Бразилию, сценарий поможет написать Стив Кловз, премьера назначена на 12 ноября 2021 года Несмотря на откровенно низкие оценки второй части франшизы «Фантастические звери» (6,6 балла на IMDb, 37% на Rotten Tomatoes), киностудия Warner Bros. официально запустила в производство третий фильм серии, основанной на произведениях Джоан Роулинг. У неназванного ...

Эксперты из Газпром нефти и ВТБ примут участие в блокчейн-конференции Waves Enterprise в Москве 17 декабря компания Waves Enterprise проведет ежегодную конференцию Waves Enterprise Conference, посвященную внедрению блокчейн-технологий в работу госучреждений и бизнеса. Делиться опытом будут представители Газпром нефти, S7 Techlab, Альфа-Банка, РЖД, КРОК, банка ВТБ, Лабо...

[Из песочницы] Недовнедренная ERP в производстве: в реанимацию или в морг? Как превратить условно-работающую ERP в реальный инструмент управления производством и поставками. Питеркин Сергей, Меркулов Михаил, «Райтстеп» За последние годы, количество производственных предприятий, заявляющих о внедренных ERPсистемах, значительно возросло. И составляет...

Новинка от Huawei Новинка была продемонстрирована в ходе конференции для разработчиков Huawei Developers Conference. Ранее вице-президент компании BOE Лю Саодонг пообещал, что производитель начнёт массовое производство оптических сканеров для LCD-панелей к концу текущего года. Под экранные ск...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

Аналитик раскрыл новую особенность iPhone 11 До выхода новых моделей iPhone остается уже не так много времени — всего несколько месяцев. И с каждым днём в Сети появляется всё больше сведений о грядущих новинках. Сегодня стало известно об ещё одной отличительной особенности iPhone 11 и iPhone 11 Max. Авторитетный...

Компьютеры Mac перейдут на процессоры ARM в 2020 году Как известно, в будущем Apple откажется от использования процессоров х86 в компьютерах собственного производства. Но примечательно то, что переход на архитектуру ARM не заставит себя ждать — Apple намерена перейти на новые чипы уже в следующем году. Об этом сообщает и...

Приключения железного стартапа в России: сбор команды Коллеги, доброго времени суток. Осенью 2018 года ваш покорный слуга в одиночку запустил hardware-стартап. Это был тщеславный и необдуманный поступок. Не было профильного образования. Опыт в разработке железа – по нулям. Инженерная команда и деньги на контрактную разработк...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

Microsoft анонсировала подписки Xbox Game Pass для ПК и Xbox Game Pass Ultimate На пресс-конференции Xbox E3 Microsoft объявила о выходе новой подписки Xbox Game Pass для ПК, которая предоставит пользователям доступ более чем к 100 компьютерным играм (к августу) для Windows. Как и в случае с обычным Xbox Game Pass, компания намерена ежемесячно добавлят...

Meizu даже не планировала запускать провалившийся смартфон Meizu Zero в массовое производство Как уже сообщалось, кампания по сбору средств на выпуск смартфона Meizu Zero завершилась провалом. Проект поддержали всего 29 человек, а необходимая сумма в 100 000 долларов не была собрана даже наполовину. Однако генеральный директор компании Хуан Чжан (Huang Zhang) у...

Варианты загрузки Windows 10 May 2019 Update Разработка нового функционального обновления для Windows 10 под названием May 2019 Update завершена, масштабное тестирование среди инсайдеров на канале Release Preview проведено, и теперь компания Microsoft приступает к полноценному распространению новой версии операционной...

Samsung продолжит инвестировать в производство ... Samsung среди тех компаний, кто активно переводит свои продукты на OLED-матрицы и инвестирует огромные деньги в развитие и наращивание производства таких панелей. На данный момент южнокорейский гигант доминирует на рынке экранов OLED и контролирует более 90% рынка дисплеев и...

Запущено серийное производство первого сертифицированного отечественного SLM 3D-принтера Петербургская компания «Лазерные системы» первым из российских производителей получила сертификат происхождения СТ-1 и заключение Минпромторга о промышленном производстве промышленного 3D-принтера по технологии селективного лазерного наплавления (SLM) на территории России.По...

SK Innovation представит на CES 2019 пленку для гибких дисплеев складных смартфонов Южнокорейская компания SK Innovation завершила разработку полиимидной пленки для гибких дисплеев. Проект был начат в 2016 году, и в настоящее время компания готовится к серийному производству этого материала. Во втором квартале текущего года на коммерциализацию разработ...

В российских магазинах запустили "умный" вывоз мусора Пресс-служба X5 Retail Group официально объявила о том, что на базе сети магазинов “Перекресток” запускается пилотный проект компании по внедрению вывоза мусора с использованием технологий интернета вещей.

Глава NVIDIA намекнул, что 7-нм изделия конкурента ни на что не годятся Старый 12-нм техпроцесс лучше 7-нм двух?

Sony сделает ещё один шаг к господству на рынке датчиков изображений Японская компания Sony давно задумала стать королём рынка датчиков изображений. Ещё в 2010 году для этих целей она выкупила у Toshiba один из своих заводов в префектуре Нагасаки, который выпускал процессоры Cell для PlayStation 3, и перепрофилировала его для производства дат...

В столице запустили инновационное производство препарата для лечения ВИЧ-инфекций В столице РФ подписано соглашение, согласно которому в ближайшие пять лет фармацевтическая компания Servier займется производством инновационного лекарственного препарата долутегравир, предназначенного для лечения ВИЧ-инфекций. Запуск первой в РФ производственной линии этого...

Однокристальная система Huawei Kirin 985 будет представлена до конца квартала Еще в декабре появились первые сведения, что Huawei работает над однокристальной системой Kirin 985, которая станет преемницей нынешней флагманской модели Kirin 980. Как и Kirin 980, она будет спроектирована в расчете на технологические нормы 7 нм, но может стать первой...

Биткоин-биржа Bitfinex запустит рынки предсказаний Криптовалютная биржа Bitfinex намерена запустить платформу для рынков предсказаний до конца 2020 года. Об этом The Block рассказал технический директор компании Паоло Ардоино. В настоящее время Bitfinex сужает масштаб проекта, чтобы ускорить его реализацию. По словам Ардоино...

Samsung призналась в разработке дисплеев без вырезов со скрытой камерой Очередной флагманский смартфон Samsung модель Galaxy S10+ стал первым в истории компании устройством с OLED-дисплеем с дырой для фронтальной камеры. Несмотря на кажущуюся простоту, проделать дыру в дисплее и собрать воедино блок с платой электроники с полной герметизацией вс...

Официально: Ford назвал свой электрокроссовер Ford Mustang Mach-E, его представят 18 ноября и сразу запустят предзаказы Американский автопроизводитель Ford наконец объявил официальное название своего электрокроссовера в стиле Mustang — новинку назвали Ford Mustang Mach-E (как и предполагалось). Анонс электромобиля состоится в понедельник 18 ноября, тогда же стартуют онлайн-предзаказы, а...

В следующем поколении смартфонов Samsung будет 1 Тбайт памяти Компания Samsung запустила в массовое производство флеш-память Embedded Universal Flash Storage 2.1 (eUFS) на 1 Тбайт. Именно она будет использоваться во флагманских телефонах следующего поколения. Объем — не единственная технологическая особенность eUFS. Данная память пре...

В iPhone 2020 года могут появиться гибкие OLED-дисплеи LG Компания LG готовится к запуску производства гибких OLED-панелей, которое должно начаться уже в этом году в Южной Корее. По сообщениям сетевых источников, компания Apple заинтересована в сделке с южнокорейским производителем. В случае заключения партнёрского соглашения между...

Память DRAM дешевеет быстрее прогнозов Торговая площадка TrendForce устами своего аналитического подразделения DRAMeXchange продолжает информировать о состоянии рынка памяти типа DRAM. С момента последнего отчёта прошло две недели, но данных накопилось достаточно, чтобы заявить: в январе и феврале 2019 года прояв...

Прощай, LGA 1151. В следующем году процессоры Intel Comet Lake потребуют нового сокета Как известно, настольных процессоров Intel семейства Ice Lake в ближайшее время ждать не стоит. Судя по всем слухам и официальным заявлениям, они выйдут в лучшем случае в начале 2021 года, хотя сегодняшние слова главы Intel заставляют засомневаться в этом. Возможно, Int...

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

Ростех создает «цифровую фабрику» для производства авиадвигателей ПД-14 Основным элементом цифрового пространства станет система планирования и учета производственных операций с применением штрихкодирования сопроводительных документов деталей и сборочных единиц ПД-14. Система позволит оптимизировать сроки создания продукта, сократить потер...

В России запустят центр разработки и внедрения искусственного интеллекта Как стало известно, глава Минэкономики России Максим Орешкин озвучил премьер-министру Дмитрию Медведеву предложение по созданию специального центра, где специалисты будут заниматься как разработкой, так и развитием искусственного интеллекта.

Не выдержали конкуренции. Sony закрывает завод по производству смартфонов в Китае Компания Sony закрывает свой завод по производству смартфонов в Пекине, Китай. Чтобы снизить убытки своего подразделения смартфонов, японский гигант принял решение перенести производство на свой завод в Таиланде. Представитель Sony уточнил, что решение не связано с торг...

В Великобритании запустили первую сеть 5G Как пишет "КоммерсантЪ", пока что 5G-сеть будет действовать только на части территории шести крупных городов, включая Лондон, Эдинбург и Манчестер, к концу года она должна быть запущена еще в десяти городах. В июне 5G также собирается запустить другой британский...

Бренд Toshiba на рынке ПК переименовали в Dynabook Осенью прошлого года компания Sharp приобрела 80,1% акций компании Toshiba, чтобы вернуться на рынок ПК и успешно конкурировать с другими производителями. Теперь же было объявлено о том, что название подразделения Toshiba Consumer Products Group (TCS) и бренд Toshiba с...

Китайская компания BOE начала серийное производство micro-OLED панелей По сообщениям сетевых источников, китайская компания BOE в октябре этого года приступила к серийному производству панелей по технологии micro-OLED. Производство развёрнуто в городе Куньмин, который находится на территории провинции Юньнань. В сообщении говорится, что в насто...

ТД «Ярмарка» внедрил «1С:ERP Управление предприятием» Специалисты ООО «ИТРП» автоматизировали управление производством в компании «Торговый дом „Ярмарка“». Система «1С:ERP» помогла ускорить расчет спецификаций и оптимизировать управление обеспечением производства сырьем и материалами ...

Ryanair наконец-то запустил украиноязычную версию сайта Ирландская бюджетная авиакомпания Ryanair спустя семя месяцев работы на украинском рынке наконец-то запустила украиноязычную версию официального сайта. В числе первых на это обратил внимание министр инфраструктуры Владимир Омелян. Таким образом, теперь украинцам гораздо прощ...

Самый дешевый iPad получит новый дизайн и экран 10,2 дюйма, а iPhone действительно лишится 3D Touch Согласно новым сообщениям, поступившим от источников из цепочки поставок, компания Apple планирует выпустить существенно обновленную модель планшета iPad начального уровня. Новый самый доступный планшет в линейке Apple iPad получит новый дизайн и большой экран диагональ...

Fly запустила браузер со встроенным VPN От Fly никто такого не ожидал. Мы привыкли, что компания занимается исключительно производством недорогих смартфонов. Но нас удивили, решив показать, что Fly умеет и в разработку программного обеспечения. Причём не что-то типичное, например, очередная фирменная оболочка, н...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

Intel не будет выпускать 10-нм процессоры для настольных ПК В последние годы корпорация Intel выпускает свои десктопные процессоры по 14-нм технологическим нормам и, судя по всему, продолжит это делать ещё как минимум пару лет. Многострадальный 10-нм техпроцесс к настоящему моменту нашёл применение...

[Из песочницы] История одного удачного применения SPR в Legacy проекте В этой статье я расскажу на примере эволюции своего проекта историю перехода и видение контрактного программирования. Сначала хотел назвать статью — «Контрактное программирование», постольку поскольку используемый подход заключается в разделении всей бизнес-логики на дата-...

Oppo покажет смартфон с 10-кратным зумом через неделю Вице-президент компании Oppo Шен Йирен (Shen Yiren) оставил в социальной сети Weibo сообщение о том, что смартфон с 10-кратным оптическим зумом будет представлен на выставке Mobile World Congress 2019. Также представители компании заявили, что смартфоны с подобной техно...

Годовой доход Sony превысил 78 млрд долларов, чистая прибыль выросла на 87% Компания Sony опубликовала отчет за минувший квартал и весь 2018 финансовый год, который завершился у нее 31 марта. За квартал был получен доход в размере 19,288 млрд долларов. В годовом исчислении этот показатель вырос на 9%. Квартальная операционная прибыль за год вы...

Решение Ford прекратить производство и импорт легковых автомобилей в Россию не приведет к снижению цен оставшихся машин С 1 июля на рынке появится новое СП Ford и "Соллерс", которое займется производством и продажей легких коммерческих машин Ford Transit. При этом запасов легковых автомобилей Ford хватит до сентября, но резкого дисконта ждать не стоит.

Samsung признал бесперспективность IPS-дисплеев в смартфонах и телевизорах Подразделение Samsung, отвечающее за разработку дисплеев, выступило с официальным заявлением, что Samsung Display на полном серьезе рассматривает возможность сворачивания одной из производственных линий, занимающуюся производством LCD-дисплеев для смартфонов и телевизоров. С...

В часах Apple Watch вскоре будут использоваться экраны OLED производства Japan Display Несмотря на то, что Japan Display достаточно серьёзно пострадала из-за Apple, компания всё равно не намерена отказываться от сотрудничества с купертинским гигантом. Как сообщают источники, Japan Display начнёт поставлять экраны OLED для умных часов Apple Watch в конце ...

Samsung Galaxy Fold наизнанку. Продажи складного смартфона Huawei Mate X стартуют до конца месяца Компания Huawei уже несколько недель не радовала нас новостями о своём конкуренте Samsung Galaxy Fold — складном смартфоне Huawei Mate X с гибким экраном. Судя по всему, скоро ситуация изменится и Huawei, наконец, начнёт продажи долгожданной новинки.  По соо...

Samsung потратит 116 млрд долларов на разработку и производство чипов Южнокорейский гигант намерен создать около 15 тысяч новых рабочих мест в полупроводниковом подразделении.

Робот Spot от Boston Dynamics покидает пределы лаборатории С июня этого года американская компания Boston Dynamics говорила о начале серийного производства роботов Spot. Теперь же стало известно, что робот-собака не поступит в свободную продажу, но для отдельных компаний разработчики готовы сделать исключение. Что касается области п...

14 марта Tesla официально представит свой новый электромобиль – Tesla Model Y После насыщенного февраля у Tesla будет не менее насыщенный событиями март, главным из них станет анонс нового электромобиля – Tesla Model Y. Он состоится 14 марта в лос-анджелесской дизайн-студии. Model Y unveil event on March 14 at LA Design Studio — Elon ...

Samsung получает заказы от Intel на производство CPU Как сообщает портал Pulsenews.co.kr со ссылкой на источники в отрасли, Intel делегирует часть заказов на производство полупроводниковых продуктов южнокорейскому гиганту Samsung Electronics. Ранее в Сети появлялась информация о намерениях компании поручить выпуск наборов...

Toyota и Panasonic запустят совместное производство призматических аккумуляторов для электромобилей Японские корпорации ToyotaMotor и Panasonic подписали соглашение о создании совместного производства призматических автомобильных аккумуляторов. Новая компания должна обеспечить Toyota и другим автопроизводителям стабильные поставки высокоэффективных, мощных, безопасных и на...

Samsung увеличила скорость работы накопителей в смартфонах Samsung Electronics объявила о начале массового производства первого в отрасли встраиваемого накопителя Universal Flash Storage (eUFS) 3.0 емкостью 512 ГБ для мобильных устройств нового поколения. В соответствии с требованиями спецификаций eUFS 3.0, представленное ре...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)