Социальные сети Рунета
Вторник, 16 апреля 2024

Запущено серийное производство первого сертифицированного отечественного SLM 3D-принтера Петербургская компания «Лазерные системы» первым из российских производителей получила сертификат происхождения СТ-1 и заключение Минпромторга о промышленном производстве промышленного 3D-принтера по технологии селективного лазерного наплавления (SLM) на территории России.По...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Русполимет запускает производство материалов для порошковой металлургии и 3D-печати В Нижегородской области начались испытания комплекса по производству металлических порошков и гранулятов для порошковой металлургии и промышленных технологий 3D-печати.

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

[Из песочницы] Как взломать завод: системы радиоуправления как слабое звено современного производства Компания Trend Micro выпустила исследование, в котором рассмотрела уязвимости системы дистанционного радиоуправления промышленного оборудования и то, насколько просто злоумышленники могут их использовать для атак на промышленные объекты Недавно мы (специалисты Trend Micro...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

«Рикор» запускает производство промышленных компьютеров широкого спектра применения Российский инновационный холдинг «Рикор» разработал и готовит к производству опытные образцы первых отечественных …

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

GE налаживает серийную 3D-печать лопаток для авиадвигателей GE9X Авиационное подразделение корпорации General Electric заказало 27 промышленных 3D-принтеров производства шведской компании Arcam AB. Электронно-лучевые системы планируется использовать в производстве турбинных лопаток из алюминида титана для турбовентиляторных двигателей пов...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

TSMC готовится начать промышленное производство систем на чипе Apple A13 Возможно, новые iPhone получат тройную камеру.

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Повышение эффективности промышленного производства в СХЗ СЭД ТЕЗИС внедрена в ЗАО «Ступинский химический завод». Это крупное промышленное предприятие, расположенное в городе Ступино Московской области. СХЗ входит в семерку ведущих производителей бытовой химии России.

У 76% производителей нет опыта внедрения аддитива — почему это хорошо По оценкам экспертов, ежегодно отечественный рынок аддитивных технологий растет на 20% и достигнет 14 млрд рублей в 2023 г. И так во всём мире — исследование EY показало, что 76% промышленных компаний не имеют опыта внедрения аддитивных технологий (АТ) в свое производство....

Новоуральск станет центром производства 3D-принтеров Росатома Производство промышленных лазерных 3D-принтеров Росатома будет базироваться в городе Новоуральске Свердловской области.Подробнее...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

Обзор 3D-принтеров HP на IMTS 2018 Компания HP представила на выставке IMTS 2018 в Чикаго несколько уникальных решений: HP Metal Jet — установку для серийного производства металлических изделий промышленного качества, цветные SLS-принтеры Jet Fusion 500/300 и промышленную установку, работающую по технологии S...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Росатом выйдет на серийное производство промышленных 3D-принтеров со следующего года Структуры государственной корпорации «Росатом» налаживают производство лазерных металлопорошковых 3D-принтеров промышленного класса. Согласно стратегии Росатома, выручка от новых направлений бизнеса, в том числе аддитивных технологий, к 2030 году должна составлять 30-40% от ...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Как Йошкар-Ола стала первым городом с промышленным IoT В столице республики Марий Эл был реализован первый коммерческий проект промышленного интернета вещей на базе сети NB-IoT оператора «МегаФон».

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

Открылся завод стиральных машин Haier в Набережных Челнах 28 августа 2019 года корпорация Haier открыла в Набережных Челнах еще одно свое производство стиральных машин. В будущем количество стиральных машин достигнет 1 млн в год. На заводе будут трудиться более 380 человек, на данный момент — 150 сотрудников. ООО «Хайер Апплаенси...

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

В Киеве пройдет презентация промышленного дрона DJI Mavic 2 Enterprise Dual Уже давно слова «квадрокоптер», «дрон» не вызывают удивление, а наоборот, ассоциируются с инновационной техникой для аэросъемки! Поэтому, когда мы слышим DJI – сразу понимаем, что речь идет о мировом лидере по производству дронов. Не так давно компания заявила о выходе дрона...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Промышленные твердотельные накопители Greenliant ArmourDrive 88 PX развивают скорость передачи данных до 3470 МБ/с Компания Greenliant не этой неделе сообщила о начале поставок твердотельных накопителей ArmourDrive серии 88 PX типоразмера M.2, поддерживающих NVMe. Эти накопители предназначены для использования в промышленных системах, поэтому гарантированно сохраняют работоспособнос...

Panasonic прекращает производство LCD к 2021 году Panasonic объявляет о том, что к 2021 году выйдет из производства ЖК-дисплеев. Компания была ведущим производителем телевизоров, но из-за острой конкуренции со стороны китайских и южнокорейских производителей, ранее прекратила производство ЖК-телевизоров в 2016 году. Вместо...

Модульные промышленные мониторы Advantech FPM-7002 Суровые условия производства накладывают дополнительные требования ко всем периферийным устройствам, в том числе и к мониторам. Промышленные мониторы серии FPM-7002 предназначены для установки во встраиваемые системы с повышенными требованиями надежности, имеют модульную с...

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

6 причин посетить Autodesk University Russia 2019, если вы увлекаетесь цифровым производством Autodesk University Russia 2019 — ежегодная конференция Autodesk, собирающая российских и зарубежных экспертов в области технологий проектирования и производства промышленных изделий. В течение двух дней, 2 и 3 октября, участники смогут узнать, как создавать цифровые двойник...

В Петербурге планируется промышленный центр ИИ Первый в стране национальный центр промышленного искусственного интеллекта хотят создать в Санкт-Петербурге. Меморандум был подписан в четверг, 6 июня.

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

Промышленное производство биткоинов в России - открывается гигантская майнинг ферма на бывшем заводе. В планах достичь 20% от мирового производства Биткоинов И снова Россия очутилась впереди планеты всей!

Видео дня: невероятные темпы строительства завода Tesla Gigafactory 3 в Китае Компания Tesla близка к завершению строительства завода Gigafactory 3 в Китае. Это будет первая в Поднебесной фабрика по производству электромобилей, полностью принадлежащая иностранной компании. Для Tesla иметь своё производство в Китае крайне важно, так как эт...

Flyability представила промышленный дрон для инспекции помещений Elios 2 Швейцарская компания Flyability, занимающаяся разработкой и производством инспекционных дронов для обследования промышленных и строительных объектов, анонсировала новую версию беспилотного летательного аппарата для проведения обследований и инспекций в условиях ограниченного...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

Первое пилотное применение промышленного экзоскелета ExoBelt ExoBelt - первый российский промышленный экзоскелет, который позволит снизить нагрузку на позвоночник во время подъёма и переноски грузов, избежать травматизации рабочих, снизив общую утомляемость и уровень профзаболеваний на производстве. На текущий момент готов первый испы...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Росатом и Hermith займутся совместным производством материалов для промышленных 3D-принтеров Топливная компания Росатома АО «ТВЭЛ» и немецкий поставщик титановой продукции Hermith GmbH приступают к созданию совместного предприятия по производству расходных материалов для промышленных 3D-принтеров и других изделий из титана.Подробнее...

Для российской платформы промышленных ПК взяли процессоры «Байкал-Т1» и ОС «Альт» Промышленный компьютер HR-IC-01 на «Байкал-Т1» Компании «Базальт СПО», «Байкал Электроникс» и недавно зарегистрированный резидент «Сколково», компания «Хамстер роботикс Инжиниринг»? объединили усилия для разработки первой российской платформы промышленных компьютеров. В е...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Серийное производство российского электрокара Zetta начнётся в 2020 году Глава Минпромторга РФ Денис Мантуров сообщил о планах начать серийное производство первого российского электромобиля Zetta в первом квартале 2020 года. По его словам, сертификация машины находится на финальном этапе. Ранее запуск производства российских электрокаров был анон...

В России потратят 420 млн рублей на создание умной системы контроля труда «МегаФон» разработал концепцию платформы промышленного интернета вещей, которую будут использовать контрольно-надзорные органы. С её помощью они смогут контролировать выбросы промышленных предприятий и условия труда на них.

ПАК ДА обрёл облик и характеристики Как сообщает «Интерфакс» со ссылкой на собственный источник в российском оборонно-промышленном комплексе, Министерство обороны утвердило облик перспективного авиационного комплекса дальней авиации (ПАК ДА) и его характеристики. Кроме того, стороны подписали все необходимые с...

Samsung начала промышленное производство мобильной памяти 12 Гб LPDDR5 Это будет очень кстати в новых устройствах с 5G и ИИ.

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

В Петербурге открылось новое производство промышленных 3D-принтеров На территории петербургского технопарка «Нойдорф» открылся производственный комплекс АО «Лазерные системы», занимающегося разработкой и производством промышленных 3D-принтеров по технологии селективного лазерного наплавления.Подробнее...

Объявлены цены первых телевизоров Motorola Motorola объявила о выпуске своих первых телевизоров на Android. Уже началось производство шести моделей. Первой страной, где они начнут продаваться, станет Индия.

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Инжиниринговая компания SEVERIN DEVELOPMENT присоединилась к членам Франко-российской ТПП Компания SEVERIN DEVELOPMENT присоединилась к Франко-российской торгово-промышленной палате (CCI France Russie) в качестве члена ассоциации. Франко-российская торгово-промышленная палата ведет свою деятельность в Москве с 1997 года, когда была основана Ассоциация «Клуб ...

Unisoc готовится к производству 5G-модемов Компания Unisoc (ранее — Spreadtrum) в ближайшее время организует производство 5G-модема для мобильных устройств нового поколения, о чём сообщает ресурс DigiTimes. Фотографии Reuters Речь идёт об изделии IVY510, первая информация о котором была раскрыта в феврале нынешнего г...

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Промышленный контроллер. Система сбора данных. АСУ Доброго времени суток, Хабр! Разработка промышленного контроллера с дисплеем для сбора и анализа данных, а также для управления нагрузками, объединенными в группы. Кому интересно, что из этого получилось, прошу под кат. Читать дальше →

Серия Toshiba TC9562 включает три микросхемы с функцией моста Ethernet для автомобильных и промышленных применений Компания Toshiba расширила линейку микросхем с функцией моста Ethernet, предназначенных для автомобильной и промышленной электроники. Серия TC9562 включает три модели: TC9562AXBG, которая предлагает больше интерфейсов, чем нынешние мосты серии TC9560 (SGMII, а также RGM...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Робот «Вера» поможет РЖД нанимать персонал Робот «Вера» поможет пассажирской компании РЖД при найме персонала. Он отвечает на входящие звонки и помогает подобрать кандидатов при найме персонала. Тестовое применение в ЦППК началось с октября 2018 года. За прошедшее время с роботом пообщались 3,5 тыс. человек. Тестиров...

Ericsson, Telia и Volvo CE используют технологии 5G в промышленных целях Ericsson, Volvo Construction Equipment (CE) и Telia развернули первую в Швеции 5G-сеть для промышленного использования. Она предназначена для дистанционного управления оборудованием и автономными решениями Volvo CE.

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

Nokia, NTT DOCOMO и OMRON развернут технологию 5G на производстве для испытаний концепции Industry 4.0 Для этих испытаний Nokia предоставит решения 5G, OMRON – средства промышленной автоматизации, а NTT DOCOMO будет отвечать за непосредственное проведение испытаний.

Краудфандинг: первая в России операционная система реального времени На Boomstarter, в разделе проекты, начался сбор средств для первой бесплатной операционной системы реального времени. Данная ОС будет нацелена на управление технологией "Умный дом" и других операций, связанных с техникой, вычислениями и производством.

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

Возвращение Rockchip. SoC RK3588 предложит восьминанометровый техпроцесс и ядра Cortex-A76 и Cortex-A55 Компания Rockchip на данный момент редко мелькает в новостях тематических ресурсов. Даже китайские производители планшетов сейчас используют платформы Rockchip достаточно редко. Однако вскоре компания сможет предложить производителям устройств весьма современную SoC. Од...

В России начали производство системы для мысленного управления гаджетами В России началось серийное производство системы «Нейрочат». Об этом сообщила генеральный директор и лидер проекта «Нейрочат» Наталья Галкина, во время представления системы в Самаре.

Начато производство корпуса космического корабля «Федерация» В России началось изготовление корпуса первого экземпляра космического корабля нового поколения «Федерация». Читать дальше →

Аддитивные технологии и 3D-сканирование в машиностроении: 7 историй успеха 3D-технологии все чаще оказываются в центре внимания крупных российских промышленных выставок, что отражает готовность предприятий к внедрению инновационных 3D-решений в свои производственные цепочки. Так, на выставке «Металлообработка-2018» аддитивные технологии впервые б...

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

3DGence предлагает промышленные FDM 3D-принтеры Industry F420 Польская компания 3DGence анонсировала FDM 3D-принтер промышленного класса Industry F420. Новая система способна печатать тугоплавкими конструкционными термопластами, включая полиэфирэфиркетон (ПЭЭК, PEEK) и полиэфиримид (ПЭИ, PEI).

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Toyota и Panasonic объединились для создания сверхъемких аккумуляторов для электромобилей Компании Toyota Motors и Panasonic объединяют свои усилия, чтобы разработать эффективные твердотельные литиевые аккумуляторы, промышленное производство которых еще не налажено, поскольку требует огромных инвестиций и передового технического опыта. Теоретически они должны быт...

Ricoh представила технологию струйной печати литиево-ионных аккумуляторов Японская компания Ricoh сообщила, что она разработала первую в мире технологию струйной печати литиево-ионных аккумуляторов. Компании, заинтересованные в производстве аккумуляторов по новой технологии, смогут получить к ней доступ уже до конца марта 2020 года (в 2019 финансо...

Корпоративная информационная система управления программами «Транснефть» переведена в промышленную эксплуатацию Корпоративная информационная система управления программами (КИС УП) ПАО «Транснефть» переведена в промышленную эксплуатацию. Решение предназначено для повышения эффективности планирования, мониторинга выполнения и корректировки программ по развитию ...

Промышленный ПК MSI MS-9A97 рассчитан на монтаж на DIN-рейке Подразделение компании MSI, специализирующееся на выпуске промышленных решений, представило малогабаритный ПК MS-9A97. Компьютер с разъемами на трех боковых гранях, рассчитанный на монтаж на DIN-рейке, может найти применение в системах промышленной автоматизации, сбора ...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

У 76% производителей нет опыта внедрения аддитива - почему это хорошо По оценкам экспертов, ежегодно отечественный рынок аддитивных технологий растет на 20% и достигнет 14 млрд рублей в 2023 г. И так во всём мире — исследование EY показало, что 76% промышленных компаний не имеют опыта внедрения аддитивных технологий (АТ) в свое производство...

ГП «Антонов» планирует возобновить производство самолетов Ан-124 «Руслан» до конца года Авиастроительное государственное предприятие «Антонов» планирует возобновить сборку тяжелых транспортных самолетов Ан-124 «Руслан» уже в этом году. Об этом в эфире телеканала «Прямий» первый заместитель генерального директора концерна «Укроборонпром» Сергей Омельченко. Омель...

Анизопринт готовит промышленный 3D-принтер с системой укладки армирующих волокон Российско-люксембургская компания «Анизопринт» анонсировала 3D-принтер промышленного класса ProM IS 500, предназначенный для 3D-печати армированными полимерами, в том числе тугоплавкими конструкционными термопластами, усиленными базальтовыми или карбоновыми волокнами.

Наглядное пособие по разработке продуктов: формирование идеи Это перевод первой части цикла из четырех статей о разработке и производстве физических продуктов. Автор, источник: Ben Einstein. Зачастую разница между компанией, приносящей миллиарды, и компанией-банкротом – в умении создать продукт, который полюбят клиенты. Но с учётом...

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

НИССА Диджиспейс на выставке «Металлообработка-2019» с 27 по 31 мая в Экспоцентре Приглашаем на стенд НИССА Диджиспейс на выставке «Металлообработка-2019» с 27 по 31 мая в ЭкспоцентреВ дни выставки НИССА Диджиспейс представит последние промышленные решения в области аддитивного производства и 3D-сканирования. На стенде вы сможете ознакомиться с процессом ...

Вчера были большие, но по тридцать тысяч. А сегодня маленькие, но по три! Компания Volkswagen напечатала десять тысяч миниатюрных металлических моделей, чтобы отпраздновать премьеру электромобиля ID.3. Модели изготовлены на промышленных 3D-принтерах HP Metal Jet. Точную стоимость сувениров мы не знаем, зато знаем, что эти же аддитивные системы уже...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

В Германии испытали автономную систему промышленной 3D-печати Компания Electro Optical Systems (EOS), один из ведущих производителей промышленных лазерных 3D-принтеров, заявила об успехе пилотного проекта NextGenAM, направленного на максимально полную автоматизацию аддитивных производственных процессов, включая роботизированное обслужи...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

MediaTek представила первую однокристальную систему Dimensity 1000 со встроенным 5G модемом Как и планировалось, компания MediaTek представила новую однокристальную систему под названием Dimensity 1000. Это первая платформа производителя с поддержкой связи нового поколения 5G за счет встроенного модема. Dimensity построена на 7-нм техпроцессу и разбита на два клас...

Трехфазные источники бесперебойного питания для промышленных нужд ИБП для промышленного оборудования устанавливаются в тех случаях, когда необходимо обеспечить непрерывный цикл производства или выполнения других функций (освещение, телекоммуникации, охлаждение и др.), не зависящий от внешних источников питания (централизованные электросети...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

Названы сроки выхода первого российского электромобиля Глава Минпромторга России сообщил, что сертификация первого российского серийного электромобиля Zetta находится на финальном этапе. Скоро начнётся массовое производство машины.

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Семинар по промышленной 3D-печати: 1 марта в технопарке Калибр Приглашаем вас на семинар "Промышленная экструзионная 3D-печать. Самое важное в 2019 году" — первый в 2019 году семинар по промышленной 3D-печати. На семинаре будут рассмотрены новые возможности и перспективы развития производственной FDM и FDF 3D-печати.Мероприятие пройдет ...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

Компания «ПКО «Теплообменник» реализовало на производстве концепцию «Индустрия 4.0» АО ПКО «Теплообменник» совершило очередной шаг по объединению «цифрового» и реального производства. На предприятии внедрена система мониторинга промышленного оборудования и персонала «Диспетчер», разработанная компанией ...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Mara X и Mara Z первые собственные флагманы Африки Африка решила начать производство своих собственных смартфонов. Первыми устройствами Африки стали Mara X и Mara Z, которые работают под управлением операционной системы Android. Устройства оценили примерно в 190 и 130 долларов. Производством смартфонов занимается компания...

Adam-3600 — многофункциональный промышленный контроллер Контроллер Adam-3600 — универсальное устройство для дистанционного управления промышленными объектами, сбора данных, мониторинга и автоматизации процессов. Одновременно может выступать как устройство связи с объектом (УСО), устройство сбора и передачи данных (УСПД) и прогр...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Ростех разрабатывает промышленный электронно-лучевой 3D-принтер Холдинг «Росэлектроника», входящий в состав государственной корпорации «Ростех», разрабатывает первый отечественный 3D-принтер по технологии электронно-лучевого наплавления металлических порошков с целью производства сверхпрочных изделий для медицины, автомобилестроения, юве...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Компания «Сервье РУС» займется производством в Москве инновационного препарата для лечения ВИЧ-инфекций В ближайшую пятилетку фармкомпания Servier будет производить в Москве долутегравир — этот инновационный препарат предназначен для лечения ВИЧ-инфекций. Открытие первой в РФ производственной линии лекарственного средства состоялось 25 апреля на столичном заводе «Сервье РУС»....

Корпоративная информационная система управления программами ПАО «Транснефть» поступила в промышленную эксплуатацию Корпоративная информационная система управления программами (КИС УП) ПАО «Транснефть» переведена в промышленную эксплуатацию. Решение предназначено для повышения эффективности планирования, мониторинга выполнения и корректировки программ ...

Особенности ИБП для промышленных объектов Бесперебойное энергоснабжение важно как для отдельного станка на промышленном предприятии, так и для крупного производственного комплекса в целом. Современные энергетические системы достаточно сложные и надежные, но они не всегда справляются с этой задачей. Какие типы ИБП ис...

Huawei увеличит портфолио чипов сразу двумя ... До конца нынешнего года Huawei планирует представить две фирменные однокристальные системы. По инсайдерской информации, одна из них — Kirin 985 и дебютирует она в семействе Huawei Mate 30. Это будет первый чип, произведенный с использованием 7-нанометрового техпроцесс...

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Кибербезопасность промышленных систем. Новые вызовы 11 июля в рамках международной выставки ИННОПРОМ в Екатеринбурге впервые состоялась конференция по промышленной кибербезопасности Код ИБ INDUSTRIAL. Эксперты в сфере ИБ и инженеры АСУТП искали решения насущных вопросов, связанных с обеспечением безопасности промышленных сист...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Куо: в январе будет запущено производство iPhone SE 2, продажи начнутся в конце марта Смартфон, возможно, получит дизайн iPhone 8.

Micron выпускает промышленную карту microSDXC емкостью 1 ТБ Компания Micron Technology представила карту памяти i300 формата microSDXC объемом 1 ТБ. По словам производителя, этот сменный носитель предназначен для систем видеонаблюдения и других промышленных применений. В новой карте памяти используется 96-слойная флеш-память 3D ...

Московский эндокринный завод собирается инвестировать более 2,4 млрд. рублей в развитие производства Решением Межведомственной комиссии столичного Правительства был подтвержден статус ФГУП «Московский эндокринный завод», как промышленного комплекса. Таким образом, как напомнил глава столичного ДИиПП Александр Прохоров, к крупнейшему отечественному производителю фармпрепара...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Пульт управления Хабром на базе HMI от Advantech Your browser does not support HTML5 video. Видео: админский пульт управления Хабром. Позволяет регулировать карму, рейтинг, и банить пользователей. TL;DR: в статье я попробую создать шуточный пульт управления Хабром, используя среду разработки промышленных интерфейсов Webac...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

Новые микросхемы Samsung позволят снизить стоимость оперативной памяти DDR4 Как сообщает источник, компания Samsung вывела на рынок модули оперативной памяти DDR4, основанные на новых микросхема (A-Die вместо B-Die). Эти микросхемы производятся по 10-нанометровому техпроцессу вместо старого 20-нанометрового, который использовался все эти годы ...

AMD будет продавать Radeon VII почти по себестоимости Уже совсем скоро, 7 февраля, начнутся продажи видеокарты AMD Radeon VII. Рекомендованная стоимость новинки составит $699, что, с учётом использования дорогой памяти HBM2, является вполне гуманным ценником. Поэтому ресурс Fudzilla решил выяснить себестоимость новинки и узнать...

Серийное производство китайского ответа Tesla начнётся в июле Китайский производитель электромобилей Byton, столкнувшийся с проблемами финансирования расширения производства и рядом кадровых перестановок в связи с уходом соучредителя и бывшего гендиректора Карстена Брайтфельда (Carsten Breitfeld), сообщил, что получил более 50 000 пред...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Привет, Хабр, мы Advantech Рады представиться, мы — компания Advantech, производитель огромного числа решений для автоматизации, промышленности, здравоохранения, ритейла и телекоммуникаций, со штаб-квартирой на Тайване. Наши продукты используются по всему миру, в том числе в системах федерального ма...

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Ассортимент Greenliant пополнили промышленные карты памяти, в которых используется флеш-память SLC NAND Компания Greenliant «расширила портфолио ArmourDrive» высоконадежными промышленными картами памяти фрмата SD и microSD. В картах памяти ArmourDrive SD и microSD используется флеш-память 3D NAND TLC и SLC с ресурсом 3000 и 30 000 циклов перезаписи соотве...

Стартап дня: маркетплейс для производства промышленных деталей 3D Hubs Заказчик загружает на сайт компании CAD-файл с нужным изделием, а 3D Hubs подбирает исполнителя — у фирмы 2300 партнёров.

Wildcat Discovery и InoBat построят в Словакии линию по выпуску аккумуляторов для электромобилей Словацкая компания InoBat и американская компания Wildcat Discovery Technologies построят в Словакии производственную линию стоимостью 100 миллионов евро по выпуску аккумуляторов для электромобилей. Партнеры заявили, что строительство линии мощностью 100 МВт∙ч, с...

Галэкс прошел аккредитацию по промышленным решениям Xerox Компания Xerox ввела новую специализацию для партнеров — «Промышленные решения». В России аккредитацию по этому направлению прошли уже семь партнёров, в том числе «Галэкс». Эти компании подтвердили свою экспертизу в области полиграфического оборудования и решений Xero...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

До конца года MediaTek представит новые микросхемы с поддержкой 5G Специалисты MediaTek готовят к серийному выпуску новые однокристальные системы для мобильных устройств с поддержкой 5G. Он будут представлены в этом году и в начале следующего. Такими данными располагают отраслевые источники. Ожидается, что MediaTek представит решения,...

Оптимизм MediaTek. Компания хочет отгрузить в следующем году 60 млн SoC с поддержкой 5G Компания MediaTek первой на рынке представила однокристальную систему с интегрированным модемом 5G. Решение называется MediaTek 5G SoC, но оно до сих пор не появилось в серийных продуктах. Ожидается, что массовое производство SoC начнётся до конца текущего года, хотя н...

В 2020 году начнутся первые гонки пилотируемых октокоптеров Airspeeder Молодая австралийская компания Alauda анонсировала первые полеты октокоптеров своего производства с пилотами на борту. Период испытаний в беспилотном режиме, как сообщают в компании, завершился успехом. И это значит, что уже в следующем году могут стартовать официальные гонк...

Harley-Davidson возобновила производство своего первого электроцикла после проблем с зарядкой Harley-Davidson на прошлой неделе приостановила производство и отгрузки своего первого электрического мотоцикла LiveWire, поставки которого начались в сентябре. Компания тогда заявила, что на мотоциклах безопасно ездить, но попросила первых клиентов заряжать двухколёсного др...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

5G интересна производству Весной 2019 года компания HMS Networks провела опрос 50 отраслевых профессионалов из разных стран, чтобы узнать о роли беспроводных коммуникаций их компаниях и об их отношении к запуску 5G. "Белая книга" HMS под названием "5G: готова ли отрасль?" предста...

В контроллерах SICK обнаружили жестко закодированные учетные данные ИБ-эксперты обнаружили опасную проблему в контроллерах производства SICK, которые применяются во всем мире, в том числе в критических областях промышленного сектора.

Японцы научились эффективно извлекать кобальт из выработанных аккумуляторов По сообщению японских источников, компания Sumitomo Metal разработала эффективный техпроцесс для извлечения кобальта из выработанных аккумуляторов для электрокаров и не только. Технология позволит в будущем избежать или смягчить дефицит этого крайне редко встречающегося на З...

Ракету «Оникс» разогнали до 800 километров Как сообщает ТАСС со ссылкой на собственные источники в российском оборонно-промышленном комплексе, на базе стоящей на вооружении крылатой ракеты «Оникс» разработан новый вариант — «Оникс-М», получивший максимальную дальность поражения 800 километров. Ракета оборудована усов...

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

«Росэлектроника» в 2018 году увеличила выпуск гражданской продукции В частности, в 2018 году холдингом создана первая в России навигационная станция для высокоточных хирургических операций и начались поставки в медицинские учреждения нейротренажера ReviVR с технологией виртуальной реальности для реабилитации пациентов после инсульта и травм ...

Смартфоны Samsung с этикеткой Made in China скоро исчезнут Как пишет источник, компания Samsung, начала увольнять персонал со своего единственного китайского завода по производству смартфонов: на предприятии Huizhou Samsung Electronics Co. запущена программа компенсаций при сокращении. Все увольнения осуществляются на доброволь...

Intel забуксовала со своими дискретными видеокартами, главная проблема – в их невысокой эффективности Только ленивый не упрекает Intel в том, что она засиделась на 14-нанометровом техпроцессе в своих CPU, но выбор его же для 3D-карт сыграет с последними злую шутку еще до их выпуска: по эффективности они не смогут тягаться 12-нанометровыми решениями Nvidia и 7-нанометров...

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Началось производство первых российских SSD-накопителей с PCIe и NVMe Это полностью отечественный продукт, разработанный и выпускаемый Центром разработки микроэлектроники GS Nanotech, работающим на территории крупнейшего в России частного инновационного кластера радиоэлектронной промышленности Технополис GS, что находится в городе Гусев Калини...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Carbon развивает скоростную 3D-печать с прицелом на массовое аддитивное производство Компания Carbon анонсировала новую модель скоростного стереолитографического 3D-принтера промышленного класса по фирменной технологии CLIP, обеспечивающей возможность беспрерывной фотополимерной 3D-печати с высокой производительностью. Системы Carbon L2 рассматриваются компа...

Перенос производства iPhone в Индию из-за торговой войны с Китаем оказался провалом Производство iPhone на заводах в Индии официально началось в августе. Решение о переносе производственных мощностей в страну Apple приняла во многом для того, чтобы избежать негативного влияния торговой войны между США и Китаем. Однако компания столкнулась с некоторыми трудн...

«ЭР-Телеком» обеспечил интернетом завод «Еврокорм» в Ростовской области Надежный интернет – не единственная услуга, которая подходит для производств и промышленных предприятий.

Tesla представила электрический пикап Cybertruck по цене от $39 900 Производство начнётся в 2021 году.

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

Huawei показала процесс производства своего главного флагмана Представителям СМИ удалось побывать на фабрике в промышленном парке Songshan Lake города Дунгуань в Китае, где производятся флагманские смартфоны Huawei P30 Pro.

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Аудит безопасности АСУ ТП Ландшафт угроз для систем промышленной автоматизации и промышленного интернета вещей (IIoT) развивается по мере расширения возможности соединения между разнородными устройствами и сетями. Крайне важно планировать и реализовывать эффективные стратегии защиты и корректироват...

Стратегическое командование США отказалось от восьмидюймовых дискет Гибкие диски (дискеты) диаметром 8 дюймов (203 миллиметра) были разработаны на рубеже 1960−70 годов. Их промышленное производство началось в 1971 году, но через считанные годы они утратили распространение, уступив первенство сначала пятидюймовым, а затем и трехдюймовым диске...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Samsung представила систему на кристалле Exynos 9825, выполненную по 7-нм техпроцессу EUV Уже сегодня состоится презентация долгожданной линейки смартфонов Samsung Galaxy Note10. Но Samsung решила начать привлекать к нему внимание раньше и представила новую систему-на-кристалле Exynos 9825. Компания называет ее первой в мире однокристальной системой, выполненной...

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Приготовь-ка мне баньку «по чёрному»… Сколько я не говорю, что крафтовое изготовление — это круто, народу надо, чтобы изделие сияло, как у того кота. Так что готовим баню. Сразу оговорюсь: ЭТО ПРОМЫШЛЕННЫЙ ВАРИАНТ ДЛЯ ПОМЕЩЕНИЙ С ХОРОШЕЙ ВЕНТИЛЯЦИЕЙ!Всё достаточно просто. Берем пластиковый контейнер с крышкой и ...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

KUKA развивает сотрудничество с национальным движением WorldSkills Россия Компания KUKA, ведущий производитель промышленных роботов и систем автоматизации производственных процессов, подтвердила статус официального партнера движения WorldSkills Россия и поддержит компетенцию «Промышленная робототехника» VII национального чемпионата «Молодые профес...

«Норси-Транс» и Huawei займутся импортозамещением В московском офисе Huawei на Крылатских холмах компании подписали оглашение о сотрудничестве в области производства промышленного серверного оборудования для применения в проектах «Цифровой экономики»

HP Inc. представила сверхширокоформатный промышленный принтер HP Stitch S1000, как утверждают разработчики, имеет самую высокую скорость получения цветов и оттенков и новые производственные возможности для оформления декора и производства вывесок.

«Базальт СПО», «Байкал Электроникс» и «Хамстер Роботикс» создают первый российский промышленный компьютер В составе платформы – вычислительная техника на основе процессора BE-T1000 («Байкал-Т1») и операционные системы «Альт» для серверов и рабочих станций.

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Optimus Ride запускает первую в Нью-Йорке коммерческую систему пассажирских перевозок с использованием самоуправляемых транспортных средств Компания Optimus Ride, занимающаяся самоуправляемыми транспортными средствами, объявила о запуске первой в штате Нью-Йорк коммерческой системы пассажирских перевозок с использованием таких средств. Маршрут пролегает по Бруклинскому военно-морскому двору или верфи &mdas...

Сравнение промышленных СОВ: ISIM vs. KICS Нашумевшие атаки на норвежского производителя алюминиевых изделий Norsk Hydro и энергосистему Венесуэлы лишний раз показали, что промышленные предприятия по-прежнему уязвимы для хакеров. Мы решили разобраться, какие специализированные СОВы – системы обнаружения вторжений –...

Samsung решила все проблемы Galaxy Fold: серийное производство начнётся в ближайшее время Вы всё ещё не теряете надежды увидеть складной смартфон Samsung Galaxy Fold? Тогда у нас есть хорошая новость: если верить Bloomberg, корейский производитель уже завершил редизайн своего первого складного смартфона. Подробнее об этом читайте на THG.ru.

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Nokia 8.2 5G первый смартфон с процессором Snapdragon 735 Nokia 8.2 5G станет первым смартфонов на рынке, который оснастят процессором Snapdragon 735, хотя еще этот процессор официально не представлен. Nokia 8.2 приписывают основную камеру с несколькими модулями и главным сенсором на 64 Мп, а также выезжающую фронтальную. Смартфон...

Apple уже начала производство процессоров A13 Уже начато производство процессоров для нового семейства iPhone, которые выйдут осенью этого года. Это однокристальная платформа Apple A13, выполненная по 7-нанометровому техпроцессу. Производством занимается компания TSMC (Taiwan Semiconductor Manufacturing). Пока нача...

OnLogic представляет линейку мини-ПК на базе встраиваемых процессоров AMD Ryzen OnLogic, мировой производитель промышленного оборудования и компьютерной техники IoT, объединился с AMD для выпуска линейки промышленных компьютеров малого форм-фактора на базе процессоров AMD Ryzen Embedded. И ультракомпактный безвентиляторный ML100G-40, и активно охлаждаем...

Хризотил и 4 промышленная революция: опыт Казахстана В Казахстане полным ходом идет реализация программы по внедрению в производство высоких технологий под названием «Индустрия 4.0». Это, в первую очередь, внедрение цифровых технологий во все отрасли и сегменты – производство, управление, логистику и другие сферы. На данный мо...

Основой первого российского промышленного компьютера послужит процессор BE-T1000 («Байкал-Т1»), работающий под управлением ОС «Альт» Компании «Базальт СПО», «Байкал Электроникс» и «Хамстер роботикс Инжиниринг» совместно разрабатывают первую российскую платформу промышленных компьютеров. Ее основой послужит процессор BE-T1000 («Байкал-Т1»), работающий по...

Samsung Display инвестирует 10,85 млрд долларов в производство панелей QD-OLED По данным источника, компания Samsung Display (SDC) официально объявила о решении инвестировать 10,85 млрд долларов США в исследования, разработку и производство телевизионных панелей QD-OLED. Инвестиционный план рассчитан на 6 лет — с 2019 по 2025 год. Первым шаг...

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

Intel анонсировала свои первые массовые 10-нанометровые процессоры Ice Lake – они предназначены для ноутбуков Сегодня на специальном мероприятии в рамках выставки CES 2019 компания Intel официально анонсировала свои первые массовые CPU, произведенные по техпроцессу 10 нм. Правда, новинки именно анонсированы – массовое производство их стартует позже в этом году (вероятно, ...

В России создали телефон с квантовым шифрованием Пара квантовых телефонов может надежно сформировать общий секретный ключ, которым будет шифроваться общение собеседников. Компания «Инфотекс» и Центр квантовых технологий МГУ презентовали первый в России телефон с квантовой защитой связи ViPNet QSS Pho...

КРОК разработал IoT-модуль для умной каски Индустриальная разработка КРОК поможет сократить уровень производственного травматизма и повысить производительность труда на промышленных предприятиях. Носимое устройство стало частью комплексного решения КРОК «Цифровой рабочий» для обеспечения охраны труда и промышленной б...

Huawei станет «первым в мире мобильным брендом с двумя 7-нанометровыми платформами». 21 июня будет представлена SoC Kirin 810 Компания Huawei опубликовала в социальной сети Weibo очередную тизерную картинку, посвященную смартфону Nova 5. Изображение демонстрирует многомодульную камеру во всей красе, но надпись сверху — интереснее. В ней компания называет себя «первым в мире мобиль...

Производство iPhone SE 2 стартует уже в январе, продажи начнутся в марте 2020 Судя по многочисленым утечкам, вероятность запуска iPhone SE 2 в первой половине следующего года становится все выше. Го Минхао (Guo Minghao), известный аналитик Tianfeng Securities, в своем последнем заявлении отметил, iPhone SE 2 будет запущен в производство уже в янв...

Samsung готовится к производству новых дисплеев Выпуск экранов QD-OLED должен начаться "в ближайшем будущем".

Производство Apple A13 для новых iPhone начнётся во 2 квартале TSMC уже разрабатывает чипсеты для устройств Apple, а массовое производство A13 начнётся во втором квартале этого года.

Стало известно, когда Apple выпустит очки дополненной реальности для iPhone Производство начнётся до конца 2019 года.

Шотландский космический стартап Orbex продемонстрировал 3D-печатный ракетный двигатель Шотландская частная космическая компания Orbex продемонстрировала прототип якобы самого большого в мире цельного ракетного двигателя, выполненного из порошковых материалов на промышленном 3D-принтере производства немецкой компании SLM Solutions. Первые орбитальные запуски со...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

GeForce RTX 3080 могут представить уже в июне На днях мы сообщали о том, что слухи указывают на задержку выхода видеокарт Nvidia следующего поколения, из-за чего модель GeForce RTX 2080 Ti Super всё же должна увидеть свет. Новые данные ничего не говорят о таком адаптере, зато проливают свет на следующее поколение в...

[Из песочницы] Подготовка к промышленному производству ДО-РА 1. Транспортировка образцов Проект DO-RA DO-RA.com был рождён в марте 2011 г. после ядерной катастрофы на АЭС Фукусима в Японии и задумывался в виде гаджета – персонального дозиметра-радиометра работающего с одноименным ПО – DO-RA.Soft на любом смартфоне под мобильные пла...

TSMC: освоение 3 нм техпроцесса идет по плану. Появились первые клиенты Пока Intel освоит 10 нм техпроцесс, TSMC начнет выпускать чипы по 5 нм техпроцессу, а там и до 3 нм недалеко

Росатом наладит серийное производство 3D-принтеров и откроет центр 3D-печати В текущем году государственная корпорация «Росатом» наладит серийное производство промышленных 3D-принтеров по технологии селективного лазерного наплавления металлопорошковых композиций, а также откроет в Москве собственный центр аддитивных технологий.  Подробнее...

«Норси-Транс» и Huawei создадут совместное производство промышленного серверного оборудования Компания «Норси-Транс», отечественный производитель информационно-аналитических систем, и Huawei, поставщик инфокоммуникационных решений, заключили соглашение о сотрудничестве. Подписи в документе поставили директор департамента интеллектуальных ...

OCS начала поставлять оборудование Bosch Rexroth Bosch Rexroth разрабатывает концепции для предприятий машиностроительной отрасли и производителей промышленного оборудования, включая промышленную и мобильную гидравлику, электроприводы и др.

Ученые МИСиС совершенствуют алюмоматричные композиты Ученые Национального исследовательского технологического университета «МИСиС» создали новый прочный композит алюминий-никель-лантан с расчетом на применение в автомобильной и авиационной промышленности. Материал может быть использован для производства деталей сложной формы н...

Флагманский чипсет Snapdragon 875 будет выпускаться TSMC на 5-нм техпроцессе Ближе к концу года Qualcomm должна представить новый флагманский чипсет Snapdragon 865. Но ещё до этого события в сети появились первые слухи о его преемнике, который будет известен под названием Snapdragon 875. Подробнее об этом читайте на THG.ru.

AMD представила первую в мире видеокарту на базе нового 7-нм техпроцесса На выставке CES 2019, которая проходит с 8 по 11 января в Лас-Вегасе, компания AMD представила видеокарту Radeon VII. Это первая в мире видеокарта потребительского уровня на базе 7-нанометрового производственного процесса.

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

Круглый стол: Аддитивные технологии как альтернатива традиционному производству В рамках выставки-конференции Top 3D Expo 2019, которая пройдет 19-20 апреля Технополисе «Москва» на Волгоградском проспекте, состоится круглый стол о промышленной SLM-печати на тему «Аддитивные технологии как альтернатива традиционному производству».  Подробнее...

В России наладят производство полностью отечественных инфокиосков "Открытая мобильная платформа", "Байкал Электроникс" и "НПП Итэлма" планируют создание инфокиосков и терминалов, в основе которых будут российские процессоры и отечественная мобильная операционная система. Компании подписали трехстороннее соглаш...

Атака BitPaymer заблокировала работу промышленного гиганта Компания Pilz, один из крупнейших производителей средств промышленной автоматизации, была вынуждена отключить большую часть своих систем после атаки шифровальщика BitPaymer. Инцидент затронул все объекты Pilz в 76 странах по всему миру, которые более чем на неделю потеряли с...

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

Samsung представила мобильный чипсет Exynos 9825 Samsung официально представила мобильный чипсет Exynos 9825, который будет лежать в основе будущих новинок Galaxy Note10 и Galaxy Note10+. Exynos 9825 является первым чипсетом компании, выполненным по 7-нм техпроцессу EUV. Подробнее об этом читайте на THG.ru.

«Рикор» запускает производство материнских плат формата MicroATX Компания «Рикор» закончила разработку материнских плат формата MicroATX на архитектуре процессоров INTEL. Платы могут быть использованы при сборке персональных и промышленных компьютеров, а также на серверах начального ...

Однокристальная система Huawei Kirin 985 будет представлена до конца квартала Еще в декабре появились первые сведения, что Huawei работает над однокристальной системой Kirin 985, которая станет преемницей нынешней флагманской модели Kirin 980. Как и Kirin 980, она будет спроектирована в расчете на технологические нормы 7 нм, но может стать первой...

Начались поставки трехколесных электромобилей Arcimoto FUV Компания Arcimoto начала поставки трехколесных электромобилей Fun Utility Vehicle или FUV, прием предварительных заказов на которые был начат в феврале. Стоит отметить, что разработка непривычного транспортного средства началась более десяти лет назад. «Эта веха ...

На выставке «Металлообработка-2019» расскажут о технологиях 3D-печати в промышленном производстве 27-31 мая в московском выставочном комплексе «Экспоцентр» пройдет выставка «Металлообработка-2019». В программу деловых мероприятий входит международная конференция «Аддитивное производство: легкое проектирование и удобная 3D-печать».Подробнее...

Стала известна стоимость разработки нового российского авианосца “РИА Новости”, ссылаясь на источник в оборонно-промышленном комплексе, сообщило о сумме необходимых затратах на разработку и производство нового российского авианосца. Так, его стоимость оценивается в 300-400 млрд рублей.

Первый смартфон Huawei на базе HongMeng OS появится до конца года Несколько недель назад руководство Huawei прямо заявило, что операционная система HongMeng OS не предназначена для смартфонов и ориентирована на промышленное использование, отличаясь повышенной безопасностью. Но, похоже, в этой истории не всё так просто. Подробнее об этом чи...

Российский планшет «Аквариус» получил отечественную ОС «Аврора» Компании «Открытая мобильная платформа» (ОМП) и «Аквариус» объявили о портировании российской мобильной операционной системы «Аврора» на российские планшеты производства «Аквариуса». «Аврора» — новое имя программной платформы Sailfish Mobile OS Rus. Эта операционная система ...

Датчики IoT помогут повысить езопасность на производстве Продукт позволяет создать "цифровую копию" сотрудника и в режиме реального времени наблюдать за его перемещениями и характером деятельности. Это помогает одновременно повышать уровень безопасности и контролировать эффективность труда на производстве.Решение состоит...

Tesla получила разрешение китайских властей на производство электромобилей в этой стране Впервые за пределами США начнётся сборка электромобилей этой марки.

Производство 5-нм процессоров AMD с архитектурой Zen 4 начнётся в 2021 году Уже сейчас уровень выхода годной продукции на конвейере TSMC достигает 50%.

Новые карты «Мир» получат чип для бесконтактной оплаты Национальная система платежных карт (НСПК) сертифицировала первый чип российского производства для бесконтактных платежей. Ожидается, что он будет использоваться в платежной системе «Мир».

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

В Торгово-промышленной палате РФ создана Комиссия по финансовой безопасности Управляющий партнер ГК «Рыков групп» Иван Рыков возглавил Комиссию по финансовой безопасности, созданную при Совете по финансово-промышленной и инвестиционной политике Торгово-промышленной палаты РФ. Об этом было объявлено на заседании Совета. Как заявил Иван Рыков, «в услов...

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

Полема представила новые материалы для аддитивных технологий на выставке Formnext-2019 Тульский завод порошковой металлургии «Полема» принял участие в международной выставке–конференции по аддитивному и интеллектуальному промышленному производству Formnext-2019 во Франкфурте-на-Майне.

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

Производство промышленных 3D-принтеров Росатома наладят в Новоуральске Топливная компания АО «ТВЭЛ», входящая в структуру государственной корпорации «Росатом», откроет центр аддитивных технологий в Новоуральске. Предприятие займется выпуском промышленных SLM 3D-принтеров и расходных материалов — мелкодисперсных металлических порошков.  Под...

[Из песочницы] Triton — самый убийственный вирус, и он распространяется Привет, Хабр! Это любительский перевод сообщения «Triton is the world’s most murderous malware, and it’s spreading» by Martin Giles, опубликованного 5 марта 2019 г. Все иллюстрации создал Ariel Davis. Спойлер: в кибератаках в очередной раз обвиняют российских хакеров. Виру...

Видеокарты Nvidia Ampere появятся в первой половине 2020 года Судя по последним слухам, уже в первой половине следующего года Nvidia выпустит новые видеокарты поколения Ampere. Новые GPU будут выполнены с использованием 7-нм техпроцесса и, как ожидается, предложат заметный прирост производительности по сравнению с предшественниками. По...

Домашняя автоматика с поддержкой промышленных языков программирования, или BluePill x405 Начало обзора Итак, мои дорогие коллеги, начнём обзор с того, что же на самом деле мы хотим тут осветить. В статье я хочу рассмотреть элементы, характерные для построения программы промышленного контроллера, и показать, как их можно применить для систем домашней автоматики. ...

Представлены однокристальные системы Kirin 990 и Kirin 990 5G Компания Huawei сегодня представила однокристальную систему Kirin 990 — сердце флагманских смартфонов Huawei и Honor на ближайший год. Итак, новая платформа производится по семинанометровому техпроцессу, как и Kirin 980. В конфигурацию входят два процессорных ядр...

Видео как двигатель прогресса: эволюция систем наблюдения Источник Визуальные сигналы использовались для передачи информации на протяжении тысячелетий. Существует легенда, что с помощью большого зеркала, установленного на Александрийском маяке, древние греки наблюдали за кораблями. А с появлением первого казино службы безопасност...

Компания «Трансмашхолдинге» оцифруют более тысячи станков АО «Трансмашхолдинг» намерено до конца 2020 года подключить к системе мониторинга промышленного оборудования «Диспетчер» 1100 станков. Это первая часть комплексного плана цифровизации предприятий холдинга, которая реализуется ООО ...

Анонс Transcend 420T и 420I: карты памяти промышленного класса на памяти BiCS4 3D NAND Компания Transcend представила новые карты памяти SD/microSD 420T и 420I промышленного класса. Они называются производителем идеальным решением для оснащения компактных промышленных устройств. Подробнее об этом читайте на THG.ru.

Panaonic показала защищённый ноутбук Toughbook 55 Компания Panasonic, известная во всем мире производством качественной бытовой и компьютерной техники, представила российскому потребителю новый продукт — ноутбук Toughbook 55. Аппарат предназначен для использования в различных промышленных сферах: энергетика, проектировани...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

3D-принтеры Gefertec печатают опытные запчасти для локомотивов Deutsche Bahn Немецкий железнодорожный оператор Deutsche Bahn, производитель 3D-принтеров Gefertec и бюро промышленной 3D-печати Rolf Lenk успешно завершили пилотный проект по аддитивному производству металлических запасных частей для локомотивов.  Подробнее...

Positive Technologies помогла устранить уязвимости в системе B&R APROL Эксперты отделов безопасности промышленных систем управления и анализа приложений Positive Technologies выявили множественные уязвимости в 12 компонентах системы управления производственными процессами APROL австрийской компании B&R ...

Минпромторг намерен выделить 28 млрд рублей на разработку отечественного оборудования для сетей 5G Об этом говорится в подготовленном ведомством проекте целевой программы "Развитие производства промышленной продукции сетей пятого поколения и интернета вещей в Российской Федерации на 20192024 годы".

Huawei Mate 30: характеристики и время анонса Huawei Mate 30 станет очередной попыткой компании на соперничество с флагманами Samsung или Apple. Ожидалось, что новое поколение имиджевого флагмана представят в октябре нынешнего года. Но теперь есть предсказания, что компания ускорилась, и анонс линейки Mate 30 должен сос...

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

На цели развития производства Московский эндокринный завод направит свыше 2,4 млрд. рублей ФГУП «Московский эндокринный завод» заслуженно обладает статусом ведущего отечественного производителя фармацевтических препаратов. Свыше 1000 специалистов работают на этом высокотехнологичном производстве. Недавно Межведомственная комиссия Правительства Москвы подтвердила с...

В «КСИЛ» внедрена «1С:ERP. Управление предприятием 2» «Первый Бит» автоматизировал управление производством и продажами в компании «КСИЛ». Система «1С:ERP …

Positive Technologies помогла устранить опасные уязвимости в системе управления производственными процессами B&R APROL Эксперты отделов безопасности промышленных систем управления и анализа приложений Positive Technologies выявили множественные уязвимости в 12 компонентах системы управления производственными процессами APROL австрийской компании B&R ...

Siemens и SAS создадут платформу искусственного интеллекта для промышленного Интернета вещей В рамках нового технологического партнерства потоковая аналитика SAS с возможностями искусственного интеллекта и машинного обучения будет встроена в Siemens MindSphere. Ожидается, что новое решение будет доступно уже в конце этого года. Об этом было объявлено на ежегодной кр...

C-500 прошла испытания и готова к обороне Как сообщают «Известия» со ссылкой на собственные источники в Министерстве обороны РФ, С-500 предназначена для уничтожения не только крылатых и гиперзвуковых ракет, но и самолётов, вертолётов, а также беспилотных летательных аппаратов. Более того, система сможет сбивать даже...

«Силтэк» запускает производство RFID-меток на магнитах Метки предназначены для удаленной идентификации объектов из различных типов металла на любых предприятиях и производствах, включая транспортные контейнеры, промышленное оборудование и объекты складских и логистических компаний, аэрокосмической и автомобильной индустрии, нефт...

Ростех готовит специалистов по промышленной 3D-печати Центр аддитивных технологий государственной корпорации «Ростех» присоединился к международной программе обучения передовым технологиям в сфере аддитивного производства Additive Minds.

Беспроводной модуль связи с объектом WISE-4000 Можно ли без навыков программирования развернуть систему сбора данных промышленного уровня? В статье мы познакомимся с устройствами, которые позволяют в несколько кликов получить готовую систему обработки цифровых сигналов, доступных через JSON API. Серия WISE-4000 — это ...

Harley-Davidson останавливает производство электрических мотоциклов Компания Harley-Davidson сообщила, что прекратила производство и поставки своего первого электрического мотоцикла после обнаружения дефекта на этапе окончательной проверки качества. Производитель мотоциклов заявил, что обнаружил «отклонение от кондиции» в мо...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

В России началось производство СХД мирового уровня "Рикор" начал изготавливать комплектующие для СХД и дисковых массивов (JBOD), включающих материнскую плату, корпус и активный бекплейн.

Первый атомный центр обработки данных «Калининский» участвует в программе развертывания Национальной системы цифровой маркировки и прослеживания товаров «Честный Знак» Центр обработки данных (ЦОД) «Калининский» (первый опорный ЦОД ГК «Росатом») станет основой для развития ИТ-инфраструктуры Национальной системы цифровой маркировки и прослеживания товаров «Честный Знак», что позволит реализовать стратегические государственные программы, защи...

В 2019 году выйдут новые Apple Watch и AirPods На сайте DigiTimes появилась информация, что система-на-кристалле Apple A13 будет производиться только TSMC по 7-нм техпроцессу и должна лечь в основу смартфонов нового поколения. Также, подтвердился факт, что в 2019 году выйдет новое поколение наушников...

Стартовали продажи Samsung Galaxy S10, пока в одной стране мира. Флагман Samsung Galaxy S10 5G красуется на первых живых фотографиях Известный сетевой информатор Роланд Квандт (Roland Quand) опубликовал на своей страничке в социальной сети Twitter первые живые фотографии флагманского смартфона Samsung Galaxy S10 5G. Фотографии были сделаны на стартовавшей вчера в Барселоне выставке Mobile World Congr...

Airbus готовит 3D-печатные аналоги традиционным титановым деталям Американская компания Sciaky, занимающаяся разработкой и производством промышленных 3D-принтеров по технологии электронно-лучевого наплавления металлической проволоки (EBAM), поможет концерну Airbus с 3D-печатью титановых авиационных деталей.Подробнее...

В Москве установят два промышленных 3D-принтера производства Росатома НПО «Центротех», предприятие топливной компании Росатома «ТВЭЛ», отгрузило два новых двухлазерных, двухпорошковых промышленных 3D-принтера по технологии селективного лазерного наплавления металлопорошковых композиций (SLM). Оборудование отправлено в московский центр аддитив...

Еще одно промышленное предприятие подверглось атаке вируса Triton Кибератака очень похожа на ту, что была осуществлена в 2017 году на нефтехимический завод в Саудовской Аравии. Специалисты компании FireEye утверждают, что как минимум еще одно крупное промышленное предприятие подверглось кибератаке, очень похожей на ту, что была осуществ...

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

Японская SBI Holdings займется производством чипов для майнинга Японский финансовый конгломерат SBI Holdings объявил о создании нового предприятия, которое будет ориентироваться на производство чипов и систем для майнинга криптовалют. В сообщении на сайте компании говорится, что SBI Mining Chip Co. (SBIMC) является частью стратегии SBI H...

Провал был неизбежен: Essential Phone снят с производства Еще в мае 2017 года состоялся официальный анонс флагманского смартфона Essential Phone, который на момент своего выхода на рынок был вполне себе интересным гаджетом, однако проблемы с ним начались почти сразу после анонса. Так, в Сообщение Провал был неизбежен: Essential Ph...

Разработка твердотельной CAD-модели Трехмерное моделирование твердотельной CAD-модели по чертежам и эскизам. Мы разработаем 3D модель любой сложности и детализации, в том числе промышленные модели. Полученные 3D модели могут применяться при производстве на ЧПУ станках или для печати на 3D принтерах, а также д...

ЗРС «Витязь» успешно прошла госиспытания Как сообщает РИА Новости, запущено производство первого серийного комплекта, который сдадут в этом году. По словам Криворучко, система заменит в Вооруженных силах С-300 «Фаворит». ЗРК С-350 — зенитный комплекс средней дальности с дальностью полета ракет до 200 км. Состоит из...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Официально: видеокарты AMD Radeon Navi выйдут в третьем квартале 2019 года Компания AMD официально подтвердила информацию о том, что первые видеокарты на базе новой графической архитектуры Navi выйдут в третьем квартале 2019 года. Чипы будут выполнены по 7-нм техпроцессу TSMC. Стоит отметить, что Navi — это семейство графических процессоров, поэто...

Магнитогорские металлурги получат отечественный 3D-принтер для печати литейных форм ООО «Аддитивные технологии» готовится к отгрузке промышленного 3D-принтера для печати песчаных литейных форм Магнитогорскому металлургическому комбинату. Производством оборудования занимается барнаульский завод Zias Machinery.

Производители NAND ожидают высокий спрос со стороны ЦОД Поставщики микросхем NAND-памяти начали ускорять темп своего производства на фоне ожидаемого интереса к такой памяти со стороны промышленного сектора и центров обработки данных.

Samsung Galaxy A71 с процессором Exynos 980 Как оказывается первым смартфоном Samsung с процессором Exynos 980 может стать Galaxy A71 с номером SM-A7160 для китайского рынка и SM-A715F для международного. Смартфон Samsung Galaxy A71 получит поддержку 5G, это будет доступная модель с 5G и процессором который построен ...

Canon празднует выпуск 100-миллионной камеры EOS Компания Canon отпраздновала выпуск 100-миллионной камеры EOS со сменными объективами. Знаменательное событие случилось 20 сентября 2019 года — именно в этот день количество произведенных компанией пленочных и цифровых камер серии EOS со сменными объективами превысил...

Промышленная безопасность под контролем умного видеонаблюдения Следуя тренду цифровизации, промышленные предприятия все больше и больше оснащаются различными системами, включая и видеонаблюдение.

Вендоры промышленного Интернета вещей Буровые вышки, автомобилестроение, критически важная инфраструктура — все эти сферы применения показывают, почему промышленный Интернет вещей (IIoT …

Китайцы готовятся выпускать первую разработанную в стране память DRAM На днях с подачи интернет-ресурса DigiTimes мы сообщили, что китайская компания ChangXin Memory Technologies (ранее ― Innotron Memory) собирается в четвёртом квартале приступить к производству 19-нм 8-Гбит чипов LPDDR4 (или DDR4, в этом мнения разделились). Чуть подробнее о ...

Apple представила tvOS 13 — с поддержкой геймпадов PS4 и Xbox One Началась ежегодная конференция WWDC — и Apple, как всегда, открыла ее большим мероприятием. Первым анонсом в рамках презентации стала обновленная система для Apple TV — tvOS 6.

Новая линейка промышленных SSD с использованием BiCS4 Компания Transcend представляет новые твердотельные накопители промышленного класса, выполненных в различных форм-факторах: MTE652T (PCIe M.2 2280), SD452K (SATA III 2,5-дюйма), MTS952T...

Новая линейка промышленных SSD с использованием BiCS4 от Transcend Компания Transcend представляет новые твердотельные накопители промышленного класса, выполненных в различных форм-факторах: MTE652T (PCIe M.2 2280), SD452K (SATA III 2,5-дюйма), MTS952T (SATA III M.2 2280) и MTS552T (SATA III М.2 2242).

Новая платформа не позволяет Samsung Galaxy Note10+ существенно превосходить Galaxy S10+ В основе смартфонов Samsung Galaxy Note10 и Galaxy Note10+ лежит однокристальная система Exynos 9825 (не для всех рынков). Эта SoC отличается от Exynos 9820 лишь частотами и тем, что производят её по техпроцессу 7 нм с использованием технологии EUV. Поэтому ожидать ско...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Tesla обошла BMW, General Motors и Daimler и вошла в Топ-3 самых дорогих автопроизводителей Илону Маску (Elon Mask) совсем не обязательно пользоваться Twitter, чтобы подстегнуть интерес инвесторов к своей компании Tesla. На этот раз ему достаточно было лишь объявить о строительстве завода в Германии. На фоне этой новости акции Tesla взлетели, причем настолько,...

Micron представила промышленную карту microSD высокой ёмкости Компания Micron представила карту памяти microSDXC UHS-I модели i300, которая по словам самих разработчиков является самой ёмкой картой памяти промышленного назначения.

Ответ на Hongmeng OS? Аналитики считают, что Apple готовит операционную систему SiriOS — и представит ее уже в 2020 году Операционная система Hongmeng, над которой работает Huawei, не предназначена для смартфонов, а будет использоваться в устройствах IoT: автономном транспорте, решениях промышленной автоматизации и прочих узкоспециализированных отраслях. Не исключено, что по пути китайско...

Руководитель производства электромобилей Tesla во Фримонте покинул компанию Питер Хоххолдингер (Peter Hochholdinger), вице-президент Tesla по производству на заводе во Фримонте, покинул компанию после трех лет работы в ней. Об этом сообщает Reuters со ссылкой на собственный источник. Хоххолдингеру было поручено улучшить производство седана Tesl...

Apple жадничает. Собирать iPhone стало дешевле, но цены снижаться не будут Как стало известно, компания Foxconn начала производить iPhone XR в Индии. Кроме того, скоро в Индии начнется производство линейки смартфонов iPhone 11. Этот шаг позволит сократить затраты на производство iPhone. Законы Индии поддерживают использование в оборудовании де...

Идет работа над первым российским промышленным компьютером Разработчики уверены, что эти изделия найдут широкое применение на отечественных предприятиях, предъявляющих высокие требования к надежности и безопасности компонентов ИТ-инфраструктуры и заинтересованных в реализации программ импортозамещения. Начальный объем продаж планиру...

Основой миниатюрного встраиваемого компьютера IB918 служит SoC AMD Ryzen Embedded V1000/R1000 Тайваньская компания IBASE Technology, специализирующаяся на промышленных и встраиваемых компьютерах, сообщила о выпуске одноплатного компьютера типоразмера 3,5 дюйма IB918. Этот миниатюрный компьютер на однокристальной системе AMD Ryzen Embedded V1000/R1000 предназначе...

Volkswagen выделит на цифровизацию до 4 млрд евро Компания Volkswagen AG намерена до 2023 года инвестировать до 4 млрд евро в проекты по цифровизации — в основном в области управления, но и в области производства тоже. Эти проекты включают внедрение таких решений для управления ресурсами предприятия, как платфор...

SK Hynix хочет производить 3D-NAND с 800 слоями На саммите по флэш-памяти в Санта-Кларе, корейский производитель SK Hynix представил новые продукты и объявил о планах на будущее. В настоящее время SK Hynix работает над 128-слойным 3D-nand, и его массовое производство должно начаться в четвертом квартале этого года. Компан...

Amazon и Volkswagen вместе создадут «промышленное облако» Компании Amazon и Volkswagen создали стратегическое партнерство, целью которого является создание «промышленного облака» ('industry cloud').

Российские разработчики представили прототипы индустриальных решений на хакатоне КРОК и СИБУР Участники промышленного хакатона ProHack 4.0 в КРОК нашли способы сэкономить электроэнергию в шахтах, повысить безопасность работников опасных производств и с помощью нейросети находить дефекты на шоколадных батончиках.

Назван первый смартфон с новой операционной системой, которая составит конкуренцию MIUI и EMUI Компания Oppo представляет сегодня оболочку ColorOS 7, которая должна стать интереснее и удобнее, чем MIUI и EMUI. Новая версия фирменной оболочки получила ряд новый функций, она ориентирована на более комфортное и простое использование. Новая версия ColorOS будет досту...

Как работает печатная машинка для нот Первые такие девайсы появились на рубеже XIX и XX веков. Nocoblick продавался с 1910 по 1917 годы, успеха не снискал, но его можно назвать первопроходцем промышленных музыкальных печатных машинок. На видео ниже раритетная печатная машинка Keaton, разработанная в 1936 г...

Как 3D принтеры Hercules помогли красноярскому техникуму выйти на новый уровень? Добрый день, читатель! В последние годы 3D технологии активно используются не только в бизнесе и аддитивном производстве малого и крупного масштаба, но и превращаются в один из наиболее современных трендов в образовательной отрасли. Сегодня даже на 3D принтере самой простой ...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Яндекс запускает производство собственных сериалов Компания Яндекс намерена в этом году заказать производство 8−10 сериалов и, вероятно, показать свои первые проекты в начале 2020. С помощью контента собственного производства компания планирует развивать свой онлайн-кинотеатр и увеличивать число платных п...

Так-Так-Так и никакого Тика. Чем отличаются процессоры Intel Core разных поколений на основе одной архитектуры С появлением процессоров Intel Core седьмого поколения многим стало понятно, что стратегия «Тик-так», которой Интел следовал всё это время, дала сбой. Обещание уменьшить технологический процесс с 14 до 10 нм так и осталось обещанием, началась долгая эпоха «Така» Skylake, в...

YADRO полностью локализовала производство СХД TATLIN Компания YADRO (входит в «ИКС Холдинг») стала первым производителем систем хранения данных, прошедшим комплексную …

Продажи первого в мире смартфона на Snapdragon 855 завершились, не успев начаться Первая партия была раскуплена за 32 секунды.

Huawei начала пробное производство 5-нм SoC Kirin 1000 Компания Huawei уже начала пробный этап производства новых флагманских чипов. По предварительным данным, данные SoC получат название Kirin 1000 и будут построены по 5-нм техпроцессу. Ожидается, что первыми смартфонами на базе этих процессоров окажутся флагманские Huawei Mate...

Недовнедренная ERP в производстве: в реанимацию или в морг? (продолжение) Как превратить условно-работающую ERP в реальный инструмент управления производством и поставками. 1 часть: проблемы использования для планирования внедренных «учетных» ERP 2 часть: 2я жизнь — постановка Планирования и Мониторинга производства и поставок с внешним планировщи...

«Ростелеком-Солар» помог закрыть опасные уязвимости в промышленном оборудовании МОХА Илья Карпов и Евгений Дружинин, эксперты «Лаборатории кибербезопасности АСУ ТП» компании «Ростелеком-Солар», провели исследование защищенности промышленного Ethernet-оборудования мирового вендора МОХА. В ходе исследования была обнаружена ...

Как делают стеклянные ёлочные украшения Согласно одной из версий, первые стеклянные ёлочные игрушки появились в Саксонии в XVI веке — раньше первой документально зафиксированной установки рождественского дерева! Другая версия звучит более правдоподобно и связывает их появление с катастрофическим неурожаем яблок в ...

Уже в этом году MediaTek выпустит флагманскую семинанометровую однокристальную систему с поддержкой 5G У компании MediaTek на данный момент самой производительной однокристальной системой является Helio P70, причём она очень мало отличается от Helio P60. Но ещё в декабре производитель анонсировал также Helio P90, которая должна появиться в смартфонах уже в нынешнем кварт...

Intel представила Lakefield: пятиядерный гибрид Core и Atom с трёхмерной компоновкой Intel представила свой первый гибридный процессор Lakefield, в котором объединено пять вычислительных ядер с различной архитектурой, работающих по принципу big.Little. Несмотря на то, что для изготовления Lakefield применяется 10-нм техпроцесс и технология 3D-компон...

«Сегодня АСУ ТП не защищают ни воздушный зазор, ни проприетарные протоколы» — интервью с Владимиром Карантаевым Общественность регулярно будоражат сообщения о кибератаках на промышленные предприятия разных стран. Российские регуляторы требуют обеспечить защиту объектов, имеющих критически важное значение для функционирования экономики. Публикуем интервью с Владимиром Карантаевым, ру...

В Виннице открыли крупный завод по производству солнечных панелей Kness Pv Сегодня в Виннице открыли первый в Украине крупный завод по производству солнечных панелей Kness Pv. Ожидается, что первые панели от украинского производителя, который специализируется на традиционной и возобновляемой энергетике, появятся в продаже уже в феврале текущего год...

На ЦИПР-2019 заложили первый камень аэродрома экспериментальной авиации в Татарстане В первой день конференции подписали шесть соглашений, а сорок российских и зарубежных компаний приняли участие в выставочной экспозиции. С 22 мая в Иннополисе проходит конференция «Цифровая индустрия промышленной России». Одним из ключевых событий перв...

Nikon будет выпускать лидары Velodyne Lidar Компания Velodyne Lidar объявила о соглашении с компанией Nikon, в соответствии с которым японский производитель будет серийно выпускать лидары, созданные специалистами Velodyne. Выпуск должен начаться во втором полугодии. «Массовое производство наших выс...

Одного из крупнейших производителей алюминия Norsk Hydro атаковали хакеры Об это пишет TAdviser со ссылкой на сообщение агентства Reuters.Как рассказали агентству в норвежском органе национальной безопасности (Norwegian National Security Authority), в результате кибератаки произошел сбой в работе Norsk Hydro в Европе и США. Часть производственных...

Intel готова начать производство памяти MRAM Итак, принципиально новый вид компьютерной памяти, называемый преемником как DRAM, так и NAND и продемонстрированный в конце прошлого года компаниями Samsung и Intel, начинает обретать вид реального продукта. По крайней мере, в этом направлении сделан еще один шаг: по заявле...

Развивать 5g в РФ будет «дочка» «Ростеха» Определены победители конкурса госкорпорации «Росатом» по созданию дорожных карт для ФП «Цифровая экономика РФ». Так, «дочка» Ростеха в лице НЦИ будет заниматься разработкой дорожных карт по 5G, промышленному интернету и большим данным. Это выбор объясняется лидерским статус...

AMD Radeon Pro W5700 — видеокарта для 3D-дизайнеров, архитекторов и инженеров AMD анонсировала видеокарту AMD Radeon Pro W5700 с первым в мире графическим процессором на базе 7-нм техпроцесса для профессиональных рабочих станций.

Huawei: система Hongmeng разрабатывалась не для замены Android ОС предназначена только для промышленного использования, объяснили в компании.

Процессоры Intel используются в 470 системах списка TOP500 Как мы уже сообщали, к проходящей в эти дни конференции по суперкомпьютерам SC19 приурочена публикация 54 редакции списка самых быстрых суперкомпьютеров мира. Список возглавляют системы Summit и Sierra на процессорах IBM Power9. Однако в целом процессоры IBM используют...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

GlobalFoundries и ARM спроектировали тестовый чип с объёмной упаковкой Компания GlobalFoundries отказалась от гонки за техпроцессами и замерла на отметке 12 нм, но это не означает, что она не будет внедрять передовые технологии объёмной упаковки чипов. За счёт 3D-компоновок даже старый техпроцесс можно использовать таким образом, что результиру...

В ВолГУ установили 3D-принтер для 3D-печати металлическими порошками Волгоградский государственный университет обзавелся лазерным 3D-принтером для печати металлическими порошками. Оборудование планируется использовать в исследовательских и образовательных целях, а также для прототипирования и аддитивного производства в интересах региональных ...

В Руанде открыли первое в Африке предприятие по производству смартфонов Завод компании Mara Group расположен возле Кигали, и на нем осуществляется не только сборка двух недорогих смартфонов под брендом Mara, но и производство комплектующих для них.

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

Смартфоны Redmi Note 8 уже собирают. Видео с производства Известный сетевой информатор Мукул Шарма (Mukul Sharma) сообщил радостную новость для поклонников смартфонов Xiaomi и Redmi, которой поделился вице-президент Xiaomi и глава бренда Redmi Лу Вейбинг (Lu Weibing). Производство нового 64-мегапиксельного смартфона, который д...

Серию Enermax Maxrevo пополнил блок питания мощностью 1800 Вт Компания Enermax, известная как разработчик и производитель компьютерных блоков питания, объявила о выпуске модели Maxrevo 1800. Длина этого блока питания мощностью 1800 Вт (пиковая — 1900 Вт) равна 180 мм, тогда как аналогичные модели обычно имеют длину 220 мм. ...

Xerox покажет технологии автоматизации полиграфического производства на PrintShow "Креативная весна" Xerox проведёт PrintShow "Креативная весна" совместно с компаниями NBZ Computers и "Русском". Участники мероприятия узнают, как ускорить полиграфическое производство и повысить качество продукции, используя систему автоматизации Xerox FreeFlowCore.

Названо имя электромобиля Lotus Type 130 Компания Lotus выбрала мероприятие Festival of Speed в Гудвуде, Западный Сассекс, чтобы назвать имя своего нового полностью электрического гиперкара. До этого момента машина была известна под обозначением Type 130. Гиперкар, производство которого должно начаться в 2020 ...

Шпионы и черви возглавили список угроз умным зданиям Аналитики «Лаборатории Касперского» рассказали о кибератаках на умные здания, которые были зафиксированы в первой половине 2019 года у клиентов компании. По данным экспертов, за этот период под удар попали около 40% систем автоматизации, а чаще всего инциденты были...

Тульский завод «Полема» продемонстрировал новые порошки для промышленной 3D-печати Завод порошковой металлургии АО «Полема», входящий в состав Промышленно-металлургического холдинга (ПМХ), принял участие в юбилейной двадцатой выставке «Металлообработка-2019», проходившей с 27 по 31 мая в Москве. АО «Полема» второй год участвует в экспозиции «Аддитивные тех...

Строительство нового стартового комплекса на Восточном начнётся в апреле Фактическое строительство нового стартового комплекса на космодроме Восточный начнётся весной нынешнего года. Об этом сообщает сетевое издание «РИА Новости» со ссылкой на информацию, полученную от государственной корпорации Роскосмос. Фотографии Роскосмоса Напомним, что Вост...

«Ростех» будет отвечать за развитие 5g в России Государственная корпорация «Росатом» сообщила, кто стал победителями конкурса по разработке дорожных карт для федерального проекта «Цифровая экономика РФ». Уточняется, что среди них есть НЦИ и НИПС, относящиеся к госкорпорации «Ростех». Такую информацию передает ТАСС. ...

Samsung представила однокристальную систему Exynos 990 для Galaxy S11 и Note11 Компания Samsung представила начинку для своих флагманов 2020 года. Новая однокристальная система Exynos 990 будет отвечать за производительность Galaxy S11 и Galaxy Note11. Не исключено, что она станет также сердцем нескольких смартфонов в линейке Galaxy A. Основными конкур...

«Москабельмет» установит промышленного робота для выполнения сложных производственных операций Московский завод ГК «Москабельмет», до конца декабря 2019 года установит на производстве нового робота-манипулятора, который позволит автоматизировать процесс подачи сырья в плавильную печь и положит начало модернизации основных ...

Видеокарты NVIDIA Ampere поступят в продажу в первом полугодии 2020 года: нас ждет 7 нм техпроцесс и невероятная мощь Начинаем экономить уже сейчас, а иначе на новую видеокарту не накопить!

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Жизненный цикл 14-нм техпроцесса Intel продлила до 2021 года Он будет существовать бок о бок с 7-нм техпроцессом.

Konica Minolta обновила систему видеонаблюдения Rector Lesage Konica Minolta, ведущий поставщик решений для обеспечения безопасности, модернизировала системы видеонаблюдения на объектах Rector Lesage Group, производителя напольных и стеновых строительных конструкций. Реализованные на промышленном предприятии решения основаны на ...

На «Трансмашхолдинге» оцифруют более тысячи станков АО «Трансмашхолдинг», крупнейший производитель подвижного состава для рельсового транспорта в России, намерено до конца 2020 года подключить к системе мониторинга промышленного оборудования «Диспетчер» 1100 станков.  Это первая часть комплексного плана цифро...

Внимание, подделка. Производство точных копий AirPods Pro уже началось Менее чем за сутки после начала продаж новейших беспроводных наушников AirPods Pro с функцией активного шупомодавления стало известно, что с первых же дней есть шанс натолкнуться на подделку. Различные китайские магазины уже начали продавать модель Vpods Pro, которая пр...

В России наладят выпуск цифровых цифровых станков КЭМЗ и Takisawa подписали контракт о совместном производстве, предполагающий сборку шести промышленных машин в Коврове к концу 2021 года. Первый станок будет собран уже в апреле 2020 года и представлен на выставке "Металлообработка-2020"."Переход на цифровое о...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Новейшая платформа Qualcomm Snapdragon 215 производится по техпроцессу 2012 года Сегодня мы уже писали об однокристальной системе Snapdragon 215, предназначенной для очень дешёвых смартфонов. И вот теперь Qualcomm представила данное решение. Никакого пресс-релиза нет, просто новинка появилась в списке линейки Snapdragon 200. Итак, новая однокристаль...

Дефицит процессоров Intel продлится до декабря текущего года В конце прошлого года еще встречались относительно позитивные прогнозы по ситуации нехватки процессоров Intel на рынке: мол, в 2019 году, если не в первом квартале, то уж к середине года точно, ситуация должна разрешиться. Судя по новым данным, дефицит CPU если и исчезн...

Названо время выхода первого смартфона Huawei на собственной операционной системе Последние месяцы компания Huawei находится в очень подвешенном состоянии. Из-за американских санкций, она не может быть уверена в надежности использования американских разработок при производстве смартфонов. И если от процессоров от Qualcomm компания частично все же смогла о...

Apple снижает производство новых iPhone ещё на 10% После того, как Apple пересмотрела квартальный прогноз продаж своих смартфонов, объём производства гаджетов на январь-март 2019 года сокращается на 10%. Apple уже уведомила поставщиков о сокращении заказов на iPhone Xs, iPhone Xs Max и iPhone Xr в первом квартале текущего…

3D взмывает ввысь: создан новый композит для аэрокосмоса, снизивший вес деталей на 20% Аддитивное производство металлических деталей становится все более востребовано, и неудивительно: по сравнению с традиционными промышленными технологиями, такими как литье, порошковая металлургия и механическая обработка, «аддитивка» позволяет создавать детали сложной формы,...

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

Как работает «умный» завод General Electric Инструменты Индустрии 4.0 комплексно используются крупными мировыми производителями уже сегодня. Такие «фабрики будущего» являют собой прообраз модели, на основе которой будет организовано производство Четвертой промышленной революции, и подобных предприятий становится все...

В России сделали телефон с квантовым шифрованием Как сообщают «Ведомости» со ссылкой на представителя «Инфотекса», пара квантовых телефонов способна надёжно сформировать общий секретный ключ, которым будет шифроваться общение собеседников. Как известно, в квантовой передаче информации используются фотоны, а их основное сво...

Шины и протоколы в промышленной автоматике: как всё это работает Наверняка многие и вас знают или даже видели, каким образом управляются большие автоматизированные объекты, например, атомная станция или завод со множеством технологических линий: основное действо часто происходит в большой комнате, с кучей экранов, лампочек и пультов. Эт...

В Epic Games Store бесплатно раздают Limbo В игровом онлайн-магазине Epic Games Store началась бесплатная раздача культового атмосферного платформера Limbo производства студии Playdead.  Знаменитый паззл-платформер можно забрать бесплатно в свою библиотеку Epic Games Store до 25 июля. Для этого надо...

Технологии 3D-печати в производстве стеклянных микрожидкостных систем Немецкие и швейцарские ученые продемонстрировали результаты опытов по производству микрожидкостных систем из кварцевого стекла с использованием 3D-печати методом мультифотонной литографии. Диаметр внутренних каналов в некоторых образцах составляет всего семь микрон.Подробнее...

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

Росатом и Farsoon займутся совместным развитием промышленной 3D-печати ООО «РусАТ», отраслевой интегратор государственной корпорации «Росатом» в сфере аддитивных технологий, и китайский производитель промышленных 3D-принтеров Hunan Farsoon High-tech Co., Ltd заключили меморандум о взаимопонимании. Компании объединят усилия по разработке и внедр...

M300 Dual – домашний принтер, отвечающий промышленным стандартам Zortrax выпустила M300 Dual – 3D-принтер с двойной экструзией. По заявлению производителей, устройство отлично подойдет для промышленных приложений. При этом принтер достаточно компактный, поэтому может использоваться и в домашних условиях. Система двойной экструзии Польски...

Завод «Полема» представил новые материалы для аддитивных технологий в судостроении Завод порошковой металлургии «Полема», входящий в состав Промышленно-металлургического холдинга, принял участие во всероссийской отраслевой научно-технической конференции «Цифровые технологии проектирования и производства в судостроении» и представил новые разработки в облас...

НИССА Диджиспейс на выставке «Металлообработка» до 31 мая На стенде НИССА Диджиспейс демонстрируются последние промышленные решения в области аддитивного производства и 3D-сканирования. Вы можете ознакомиться с процессом работы Objet 30 Prime и Stratasys F370 и 3D-сканера FARO Focus S70.Также стенде НИССА Диджиспейс представлены об...

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Процессоры AMD на архитектуре Zen 3 будут переведены на техпроцесс 7nm++ В продаже они появятся в 2020 году.

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

В третьем квартале выручка TSMC на 27% зависела от 7-нм техпроцесса Чем дальше, тем этот показатель будет выше.

IT-компании объединили усилия для защиты промышленности Более 10 технологических компаний, включая Microsoft, BlackBerry Cylance, Fortinet и Splunk Tecnology, создали альянс для укрепления безопасности в промышленных инфраструктурах. Эксперты объединения, названного Operational Technology Cyber Security Alliance (OTCSA), займутся...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

Создан биоразлагаемый пластик, при производстве которого вообще нет отходов Пластиковые отходы являются очень важной проблемой для экологии нашей планеты. Взять хотя-бы Большое тихоокеанское мусорное пятно, проблему с которым так и не могут решить. Уже сейчас существует не мало проектов по производству биоразлагаемого пластика, но все они при огром...

Четвертая промышленная революция – переворот сознания и цифровое бессмертие Меня интересует один, как мне кажется, немаловажный вопрос: Как изменится наша с вами жизнь в тот момент, когда технологии четвертой промышленной революции заработают в полную силу?..

ТД «Ярмарка» внедрил «1С:ERP Управление предприятием» Специалисты ООО «ИТРП» автоматизировали управление производством в компании «Торговый дом „Ярмарка“». Система «1С:ERP» помогла ускорить расчет спецификаций и оптимизировать управление обеспечением производства сырьем и материалами ...

Sony называет 3D-сенсоры будущим мобильной фотографии Как заявил руководитель подразделения датчиков Sony Сатоши Йошихара, Sony с оптимизмом смотрит на продажи своих 3D-сенсоров и увеличила производство, несмотря на спады в общем объеме поставок мобильных устройств. Их датчики основаны на технологии LiDAR, которая гораздо чаще ...

Samsung начинает массовое производство первой флэш-памяти объемом 1 ТБ Компания Samsung объявила о начале массового производства первой флэш-памяти eUFS (embedded Universal Flash Storage) 2.1 емкостью 1 ТБ для мобильных устройств следующего поколения. ***

Стратегия для 5g — «Ростех» берется за сотовые сети Стали известны триумфаторы конкурса по созданию дорожных карт для ФП «Цифровая экономика РФ». Так, одним из них стало дочернее предприятие «Ростеха» НЦИ, которое займется разработкой дорожных карт по 5G, промышленному интернету и большим данным. Выбор в пользу НЦИ объясняетс...

Samsung может выпустить смартфон в стиле Mate X быстрее Huawei По сообщениям сетевых источников, компания Samsung Electronics планирует активно развивать линейку складных смартфонов. Вторым устройством этой категории может стать смартфон, складывающийся наружу, как Huawei Mate X. Источник сообщил, что хоть официальная дата запуска Galax...

Tesla разрешили начать производство электромобилей в Китае Компания Tesla добавлена ​​в китайский список одобренных правительством производителей электромобилей. Об этом заявило министерство промышленности Китая, предоставившее Tesla сертификат, необходимый для начала выпуска электромобилей в этой стране. Это означа...

Россия отказалась от французского оборудования в Т-72 В текущем году началась модернизация российских танков Т-72 до версии Т-72Б3, отличительной чертой которого является наличие тепловизионных каналов российского производства вместо французского.

Xiaomi строит свою фабрику по выпуску 5G-смартфонов Сегодня в Пекине стартовала конференция World 5G 2019, посвященная развитию сетей пятого поколения. Во время мероприятия выступил глава Xiaomi Лэй Цзюнь, который сообщил о том, что компания строит свою фабрику по производству 5G-смартфонов. Новый завод будет способен произво...

ИБП Eaton 9PHD для промышленного применения По оценкам экспертов, проблемы с некачественным электропитанием ежегодно обходятся промышленному и деловому сообществам Евросоюза в €10 млрд. Порой даже краткосрочные перебои электроэнергии способны нанести бизнесу серьёзный ...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

Цифровизация угольной отрасли позволила снизить смертельный травматизм в пять раз Об этом сообщил министр энергетики Александр Новак на встрече президента РФ Владимира Путина с главами угледобывающих регионов страны."За последние годы активно внедряются системы управления промышленной безопасностью и охраны труда. В том числе и современные цифровые т...

SK Hynix приступает к массовому производству 128-слойной 4D NAND Компания SK Hynix начала массовое производство первой в мире 128-слойной памяти типа 4D NAND.

МАИ и Технодинамика используют 3D-печать в разработке вертолетных топливных систем Специалисты Московского авиационного института и холдинга «Технодинамика» разрабатывают авариестойкую топливную систему для вертолетов Ка-226. Опытные образцы агрегатов печатаются из металлических порошков на промышленных лазерных 3D-принтерах.  Подробнее...

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

В картах памяти microSD Adata IUDD362 используется флеш-память SLC NAND Компания Adata Technology объявила о выпуске карт памяти IUDD362. Они предназначены для промышленного применения. В этих картах microSD, характеризующихся повышенной надежностью и увеличенным сроком службы, используется флеш-память SLC NAND. Серия IUDD362 включает моде...

Цифра дня: Сколько потратят на оборудование для сетей 5G c российским шифрованием? Минпромторг готов направить 28 млрд рублей на создание российского оборудования и программного обеспечения для сетей 5G и интернета вещей. Об этом говорится в проекте целевой программы «Развитие производства промышленной продукции сетей пятого поколения и интернета вещей в Р...

Борофен побеждает графен в борьбе «суперматериалов» Чиновники Евросоюза признали, что несколько поторопились с выделением 1 млрд. евро на запуск производства графена в промышленных масштабах. Ажиотаж вокруг него привел к открытию иных двумерных одноатомных материалов, самым перспективным среди которых сегодня называют борофен...

В России создан беспилотный тягач КамАЗ Российский производитель грузовых автомобилей КамАЗ объявил о завершении крупного международного проекта по созданию роботизированного тягача.  Беспилотный автомобиль был создан совместно с компанией «ВИСТ Групп» и казахского университета «Назарб...

Компания "Байкал электроникс" с большим опозданием представила свой второй процессор Процессор Baikal-M, выполненный на архитектуре ARM по технологии 28 нм, планировалось представить еще в 2016 году. Производство и поставки чипа должны начаться во второй половине 2020 года.

Apacer представляет первый модуль DRAM XR-DIMM Apacer, ведущий производитель памяти промышленного класса, объявляет о выпуске XR-DIMM. Этот прочный модуль памяти является первым на рынке, который соответствует строгим стандартам испытания RTCA DO-160G в США, сертификации авиационного оборудования, которая отмечает XR-DIM...

Первая прошивка для смартфона Honor View 20 улучшает 48-мегапиксельную камеру и добавляет новые темы Напомним, Honor V20 или Honor View 20 стал одним из первых смартфонов, оснащенных 48-мегапиксельной основной камерой и фронтальной камерой, которая врезана в активную область дисплея. Смартфон был представлен в конце прошлого года, а в России продажи устройства начались...

Уязвимости систем дистанционного радиоуправления промышленного оборудования Компания Trend Micro опубликовала результаты нового исследования A Security Analysis of Radio Remote Controllers for …

Ростех и РАН объединят усилия для создания инновационных продуктов Документ подписали глава Госкорпорации Ростех Сергей Чемезов и президент Российской академии наук Александр Сергеев. Основная цель взаимодействия - сократить дистанцию между наукой и промышленостью и способствовать внедрению в производственную практику современных научных до...

«Байкал Электроникс» представила новый российский процессор Baikal-M Новинка представляет собой выпускаемую по 28-нм техпроцессу систему на чипе. В её основе лежат восемь 64-битных ядер ARM Cortex-A57 (ARMv8-A) с поддержкой векторных расширений NEON и восьмиядерный графический процессор Mali-T628 (MP8) с аппаратным ускорением воспроизведения ...

Первый с Android 10. OnePlus 7T начнут продавать раньше запланированного Смартфон OnePlus 7T был представлен на прошлой неделе, однако официально продажи начнутся только 18 октября. Для тех, кто хочет приобрести новинку раньше, OnePlus проведет специальное мероприятие в Нью-Йорке. В пятницу, 11 октября, в Нью-Йорке состоится первая расп...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

HongMeng OS не для телефонов Huawei HongMeng или Oak OS в последние месяцы, китайский производитель утверждает, что внутренняя платформа не для смартфонов. По сообщению государственной торговой точки Xinhua, старший вице-президент Huawei Кэтрин Чен заявила, что ОС HongMeng предназначена для промышленн...

К 2021 году TSMC уже освоит второе поколение 5-нм техпроцесса Intel же будет всё ещё барахтаться в ранней стадии освоения 7-нм технологии.

В рамках 5-нм техпроцесса EUV-литография будет использоваться гораздо активнее Популярность технологии растёт.

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

Samsung готовит 8-нанометровую платформу Exynos 9710, ее характеристики уже известны Компания Samsung работает над новой однокристальной системой среднего уровня: новинка, названная Exynos 9710, придет на смену модели Exynos 9610. SoC будет производиться по техпроцессу 8 нм и получит восьмиядерный CPU. Как пишет источник, Exynos 9710 получит классическ...

Microsoft выпустила первое превью браузера Edge для компьютеров и ноутбуков Apple Компания Microsoft объявила о выходе первой превью-версии браузера Edge для операционной системы macOS. Браузер доступен в рамках канала обновления Canary на сайте бета-тестирования Microsoft Edge Insider.  Речь идёт о версии Edge на основе движка Chromium, тесты ...

«Ростелеком» ввел ЦОД «Удомля» в промышленную эксплуатацию «Ростелеком-ЦОД» (дочерняя компания «Ростелекома») ввел в промышленную эксплуатацию центр обработки данных (ЦОД) «Удомля», расположенный вблизи Калининской АЭС в Тверской области. Строительство дата-центра на площадке Калининской ...

Первый смартфон со Snapdragon 855. Начались предварительные продажи флагманского слайдера Lenovo Z5 Pro GT Вице-президент Lenovo Group Чанг Ченг (Chang Cheng) через социальную сеть Weibo объявил о начале предварительных продаж в Китае первого смартфона на основе однокристальной системы Snapdragon 855 — слайдера  Lenovo Z5 Pro GT.  Базовый вариант Lenovo Z5 P...

ЦИАМ получит 3D-принтер для печати металлами Группа компаний «Газпром Лизинг» профинансировала поставку промышленного 3D-принтера для нужд Центрального института авиационного моторостроения имени Баранова (ЦИАМ). Институт получит аддитивную установку ProX DMP 320 производства американской компании 3D Systems, работающу...

3D-принтер не видит STL? Вам в Могилев! Белорусско-Российский университет в Могилеве начнет подготовку специалистов по программе «Производство изделий на основе трехмерных технологий». Четырехлетние курсы обучения профессионалов в сфере 3D-моделирования и 3D-печати начнутся со следующего года.

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

О системе бережливого производства Lean TPM рассказали на заводе «Балтика-Санкт-Петербург» 25 января на «Балтике» состоялось мероприятие, посвященное бережливому производству Lean TPM, в рамках Всероссийской программы обмена лучшими практиками повышения производительности труда, организованной Общественной палатой Российской Федерации. Бережливое производство стан...

Начались продажи первого флагманского смартфона на Snapdragon 855, который раскупили за 32 секунды Сегодня в продажу поступил первый смартфон, оснащенный однокристальной системой Snapdragon 855, который получил название Lenovo Z5 Pro GT. Ранее мы сообщали о том, что за первые сутки на смартфон было оформлено более 100 тыс. предварительных заказов. К моменту начала пр...

Видеокарты семейства “Ampere” от NVIDIA могут появиться на рынке в первой половине 2020 года По сети уже гуляют слухи о том, что следующее поколение видеокарт от NVIDIA может быть анонсировано в первой половине 2020 года. Ожидается переход на более современный техпроцесс (с 12 нм на 7 нм), а значит и увеличение кол-ва транзисторов, а также показателя энергоэффектив...

Новый iPad mini и недорогой iPad можно ожидать до середины лета Тайваньский ресурс DigiTimes подтвердил слухи о том, что компания Apple готовит к выпуску пятое поколение планшета iPad mini и новый недорогой iPad в первой половине 2019 года.  Об этом говорят ожидаемые объёмы поставок сенсорных панелей от поставщиков компании Ap...

Представлено решение для создания сетей 5G в России Концерн «Автоматика» госкорпорации Ростех в ходе IV конференции «Цифровая индустрия промышленной России» представил комплексное решение для развития мобильных сетей пятого поколения (5G) в нашей стране. Отмечается, что создание общероссийской инфраструктуры 5G является задач...

Телевизоры 8K с платформой MediaTek не подходе Летом компания MediaTek представила S900 — первую 12-нанометровую однокристальную систему для телевизоров 8K. В конфигурацию платформы входит многоядерный процессор с ядрами Cortex-A73 и GPU Mali-G52. Решение поддерживает HDMI 2.1A и HDR10+ и способно выводить кар...

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Американские военные автоматизируют процесс посадки истребителей на корабли ВМС США завершили испытания перспективной корабельной системы точного сближения и посадки (Joint Precision Approach and Landing System, JPALS), предназначенной для установки на авианосцы и десантные корабли. Как сообщает Flightglobal, в мае 2019 года военные намерены заключи...

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

Промышленный принтер HP Stitch S1000 Компания HP анонсировала промышленный сублимационный принтер HP Stitch S1000. Он предназначен для быстрого получения различных цветов и оттенков для оформления декора или вывесок. 3,2 метровая новинка укрепляет позиции HP на рынке текстильной печати и также подходит...

[Из песочницы] Как я отказывался от db4o в промышленной системе Мы — отдел большой компании, развивающий важную систему на Java SE / MS SQL / db4o. За несколько лет проект перешел от опытного образца к промышленной эксплуатации и db4o превратилась в тормоз расчета, захотелось перейти с db4o на современную noSQL-технологию. Пробы и ошибк...

Премьерная серия 2-го сезона «Звёздный путь: Дискавери» опубликована в YouTube Когда в 2017 году дебютировал первый сезон фантастического сериала «Звёздный путь: Дискавери» компании CBS, пилотная серия транслировалась на американском телевидении, а дальнейший показ осуществлялся через платную потоковую службу CBS All Access. Мирово...

[Перевод] Вирусы, атакующие промышленные предприятия как угроза физической безопасности Всем привет! Сегодня делимся статьей переведенной специально для студентов курса «Реверс-инжиниринг». Поехали. Вирусы, атакующие промышленные предприятия как угроза физической безопасности Мы живем в мире, где все больше и больше производственных процессов контролируются ...

Смартфон Samsung Galaxy A51 засветился в России Сегодня на российском сайте Samsung был замечен смартфон Galaxy A51, который имеет каталожный номер SM-A515. Исходя из этого, можно предположить, что первые продажи начнутся именно в России. Напомним, что устройству прочат необычную квадрокамеру с модулями на 32, 12, ...

Компания Western Digital представила решения для хранения данных в промышленных приложениях AI, ML и IoT Компания Western Digital представила решения, призванные удовлетворить растущие потребности ее клиентов в высокопроизводительных средствах хранения данных в передовых производственных средах, включая широкий спектр устройств IoT. Это встраиваемые хранилища и сменные нос...

Сделано в России: возобновлён выпуск объективов «Рубинар» для астрономической фотографии Госкорпорация Ростех сообщает о том, что принадлежащий ей холдинг «Швабе» приступил к производству длиннофокусных фотообъективов «МС Рубинар 10/1000 Макро». В своё время объективы «Рубинар» создавались на базе фотографических объективов «МТО» и «ЗМ». Основное применение реше...

Завод «Агстрем – Т» теперь банкрот Предприятие, специализирующееся на производстве микроэлектроники, «Ангстрем – Т» официально считается банкротом. Решение принял Арбитражный суд. Процедура началась в январе 2019 года после заявления кредитора, госкорпорации «ВЭБ.РФ». Возможно, спустя какое-то время предприят...

Foxconn вынуждена искать покупателя для своего нового предприятия в Китае Китайский гигант Hon Hai Precision Industry, более известный под именем Foxconn, является подрядчиком не только компании Apple, но его коммерческие интересы сильно зависят от конъюнктуры американского рынка. В свете решения американского президента ввести повышенные пошлины ...

Qualcomm представила 8-нм чипсеты Snapdragon 730, 730G и 11-нм Snapdragon 665 Компания Qualcomm представила сразу три новые мобильные платформы - Snapdragon 665, Snapdragon 730 и Snapdragon 730G. Причём, если первый чипсет построен на 11-нм техпроцессе LPP, то два последних используют более современный 8-нм технологический процесс LPP. Подробнее об эт...

BMW и Great Wall строят завод по производству электромобилей BMW и Great Wall учредили новое совместное предприятие, основная цель которого – строительство в Китае завода по производству 160 000 электромобилей в год. На нем будет налажено производство разнообразных моделей BMW, в том числе и электрического Mini. Трехдверны...

Оборонно-промышленный комплекс «ММЗ Вперед» ускорил обработку заказов в части ремонтов авиационных деталей в 2,5 раза с помощью «1С:Документооборот» Казанский офис «1С-Рарус» внедрил систему «1С:Документооборот» в группе компаний АО «ММЗ Вперед». Система электронного документооборота охватила более 800 рабочих мест. В результате объем бумажного документооборота сокращен на 90% ...

Африканские военные показали бронемашину собственного производства Общемировая тенденция показывает, что бронированные автомобили пользуются все большей популярностью, в связи с чем получили достаточно широкое распространение по всему миру. Шутка ли — подобный тип вооружения производят даже те страны, в которых военно-промышленный комплекс ...

На оборонный сектор прольётся золотой дождь: Путин потребовал 76 истребителей Су-57 Российский президент сформулировал требования нового оборонного заказа, направленного на перевооружение российской авиации новейшими самолётами пятого поколения. По итогам поездки в Астраханскую область, совершённой с целью проведения совещания по военно-техническому сотруд...

[Из песочницы] Mes на производстве стальных радиаторов Опыт этого проекта показывает, что техническая реализация системы управления производством это лишь полдела. Знакомство с заводом Нас встречают завалы полуфабрикатов, неаккуратно разложенные в цеху. Планирование на заводе это когда коммерсанты верстают план из прогноза про...

Concept Laser и Arcam представили новые промышленные 3D-принтеры на Formnext-2019 GE Additive, аддитивное подразделение американской корпорации General Electric, представила два новых промышленных 3D-принтера по технологиям селективного лазерного и электронно-лучевого наплавления, разработанных дочерними компаниями Concept Laser GmbH и Arcam AB.

Toyota поможет создать ровер для пилотируемых поездок по Луне Компания Toyota опубликовала пресс-релиз, в котором сказано, что она подписала трёхлетнее соглашение о совместных исследованиях с Японским агентством аэрокосмических исследований (Japan Aerospace Exploration Agency, JAXA). В течение трёхлетнего периода, который ...

Новое в моделировании 3D-печати на форуме ANSYS 2019 R1 Апрельский форум ANSYS снова открывает свои двери в шести крупнейших промышленных центрах России. Эксперты форума расскажут об актуальных обновлениях ANSYS 2019 R1, охватывающих не только традиционные решения для численного моделирования и проектирования, но и для производст...

General Motors добавит функцию обновления «по воздуху» Сегодня General Motors представила свою новую систему электроники. По словам представителей компании, эта платформа позволит обновлять программное обеспечение по принципу «смартфона» по воздуху, и она должна появиться в большинстве автомобилей GM к 2023 году. Мы впервые увид...

Новейшая SoC Snapdragon 665 во всех тестах опережает SoC Kirin 710 Два дня назад компания Xiaomi представила смартфон Xiaomi CC9e — первый аппарат на рынке с SoC Snapdragon 665. Напомним, данная однокристальная система была представлена в апреле. Она производится по 11-нанометровому техпроцессу и является чуть улучшенной версией ...

Western Digital запускает свой первый накопитель для промышленного использования Western Digital представила свой первый накопитель для промышленного использования iNAND IX EM132.Подробнее об этом читайте на THG.ru.

Тайваньских производителей, перемещающих производство, призвали внедрять концепцию Industry 4.0 Тайваньские производители должны учитывать возможность развертывания автоматизированных производственных линий при перемещении своих заводов и внедрять концепцию Industry 4.0 для повышения долгосрочной конкурентоспособности. Такой призыв прозвучал на форуме, организован...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Названы возможные причины, по которым Intel не будет использовать свой 10-нм техпроцесс для выпуска графических процессоров Корейское – значит "лучшее"?

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

Huawei представила однокристальную систему HiSilicon Kirin 990 5G На IFA 2019 компания Huawei представила новую систему-на-кристалле, которая получила название Kirin 990. Она дебютирует в Huawei Mate 30. Будет две версии: 4G и 5G. Главная особенность этой системы — встроенный чип для поддержки связи нового поколения. Напомним, что недавно...

Торговая война Вашингтона и Пекина вынуждает сингапурских чипмейкеров сокращать персонал В связи с продолжающейся торговой войной между Китаем и США, а также ограничениями, введёнными США в отношении китайской телекоммуникационной компании Huawei, и снижением потребительского спроса, сингапурские чипмейкеры начали замедлять производство и увольнять сотни рабочих...

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

Отечественная ОС ASTRALINUX подтвердила свой экспортный потенциал Компания Astra Linux сообщает о том, что на Тяньваньской АЭС (Китай, провинция Цзянсу) введена в промышленную эксплуатацию система автоматизированной …

Смартфон Huawei NLE-AL00 с чипом Kirin 990 и 8 Гбайт ОЗУ замечен в базе Geekbench Китайская компания Huawei должна представить новые флагманские смартфоны серии Mate 19 сентября. В преддверии этого события в бенчмарке Geekbench был протестирован аппарат с кодовым именем NLE-AL00. На данный момент неизвестно, под каким названием это устройство выйдет на ры...

Samsung работает над новым чипом Exynos 9630 для смартфонов среднего уровня По сообщениям сетевых источников, следующей однокристальной системой среднего уровня южнокорейской компании Samsung станет Exynos 9630, которая в настоящее время находится на этапе разработки. Предположительно этот чип должен появиться в смартфонах Galaxy A51 и Galaxy A71. В...

HP представила сверхширокоформатный промышленный сублимационный принтер HP Stitch S1000 На выставке FESPA 2019 компания HP представила сверхширокоформатную модель промышленного сублимационного …

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

Компания YADRO стала первым производителем систем хранения данных с подтвержденным полным циклом производства продукции на территории Российской Федерации Компания YADRO (входит в «ИКС Холдинг») стала первым производителем систем хранения данных, прошедшим комплексную экспертизу МТПП (Московской …

Процессоры Intel Tiger Lake могут обеспечить технологический прорыв благодаря зрелому 10++ нм техпроцессу - wccftech Скоро Интел снова будет впереди планеты всей

Представлен первый в мире смартфон с новым восьмиядерным процессором Им стала модель Reno Z. В её основе лежит производимый по 12-нанометровому техпроцессу чип MediaTek Helio P90. Он включает два ядра Cortex-A75, шесть ядер Cortex-A55 и графический процессор PowerVR GM 9946.

В столице запустили инновационное производство препарата для лечения ВИЧ-инфекций В столице РФ подписано соглашение, согласно которому в ближайшие пять лет фармацевтическая компания Servier займется производством инновационного лекарственного препарата долутегравир, предназначенного для лечения ВИЧ-инфекций. Запуск первой в РФ производственной линии этого...

Volvo будет использовать блокчейн для отслеживания происхождения кобальта, предназначенного для производства аккумуляторов электромобилей компании Компания Volvo Cars сообщила, что станет первым автопроизводителем, который на международном уровне будет отслеживать с помощью технологии блокчейн происхождение кобальта для производства аккумуляторов электромобилей. Отслеживание происхождения сырья, в том числе кобальта, к...

Первый гибкий мобильник вышел ещё в 2011 году. Почему истерия по ним началась только сегодня? 6 мая 2011 года представлен прототип первого в мире смартфона с гибким экраном. Он был разработан в стенах лаборатории Human Media Lab канадского Queen’s University. Руководитель лаборатории по имени Роэл Вертегаал (Roel Vertegaal) заявлял, что именно так будут выглядеть тел...

Знакомьтесь, Mara X и Mara Z — первые африканские смартфоны Компания Mara Group решила стать пионером африканских смартфонов и открыла первую фабрику по производству мобильников в городе Кигали, столице Руанды. Штат сотрудников насчитывает всего 200 человек и именно они будут заняты в выпуске первых двух африканских смартфонов Mara X...

Производство iPhone SE 2 стартует уже в январе 2020 года Авторитетный аналитик Го Минхао из Tianfeng Securities заявил, что производство ожидаемого смартфона iPhone SE 2 стартует уже в январе 2020 года, а продажи начнутся уже в марте. Напомним, что iPhone SE 2 приписывают корпус от iPhone 8, чипсет Apple A13 Bionic, 4,7-дюймовый д...

Новый флагман Samsung под угрозой. Производство Galaxy Note10 пострадало из-за торговой войны Кореи с Японией Затянувшиеся торговые трения между Южной Кореей и Японией уже сказались на Samsung Electronics. Компания была вынуждена снизить темпы производства однокристальных систем для будущего флагманского смартфона Galaxy Note10. Об этом сообщило корейское издание The Inves...

Убытки TSMC после химического загрязнения на производстве оценены в $550 млн Январский инцидент на крупнейшей фабрике TSMC, когда из-за загрязнения одного из реактивов, применяемых для подготовки фоторезиста, компании пришлось отправить в утиль партию из нескольких тысяч полупроводниковых пластин, обойдется ей в 550 миллионов долларов убытков. Напомн...

ЦАТ: Промышленные 3D-печать и 3D-сканирование в России Продолжаем рассказывать о темах предстоящей конференции Top 3D Expo 2019. Из этого выпуска вы узнаете о Центре аддитивных технологий в Воронеже — как он появился, чем занимается и чем интересен, и о теме выступления его главы Алексея Мазалова. Небольшой спойлер: ЦАТ пре...

«Ростех» создал линейку промышленных компьютеров на базе отечественных процессоров Все модели промышленных компьютеров и контроллеров работают под управлением операционной системы реального времени (ОСРВ) «Эльбрус».

«Лаборатория Касперского» обнаружила семь уязвимостей в системе промышленного интернета вещей от компании Moxa «Лаборатория Касперского» обнаружила семь уязвимостей в ThingsPro Suite — IIoT-шлюзе и менеджере устройств компании Moxa. Этот продукт предназначен …

ОВК создала единую финансовую систему управления предприятием на базе SAP S4/HANA Научно-производственная корпорация «Объединенная Вагонная Компания» (НПК ОВК) запустила в промышленную эксплуатацию единую систему …

Обзор механической игровой клавиатуры SteelSeries Apex 7 Со времени, когда с производства окончательно были сняты легендарные механические клавиатуры SteelSeries 6G V2 и 7G, у компании начался длительный период «поисков себя» в этой сфере. Модельный ряд клавиатур сначала переименовывался, потом обновлялся,...

Intel наняла индийских специалистов для создания дискретного GPU Компания Intel без лишнего шума приобрела индийский стартап Ineda Systems, который занимается производством полупроводниковой продукции. В узких кругах говорят о том, что сделка, скорее всего, не похожа на поглощение американским гигантом индийской компании, Intel больше инт...

Huawei определилась: HongMeng OS не предназначена для смартфонов, компания будет продолжать использовать Android Всего неделю назад председатель Huawei Лян Хуа (Liang Hua) заявил, что компания пока не решила, стоит ли превращать Hongmeng в операционную систему для смартфонов и станет ли она заменой Android. Однако вчера Кэтрин Чен (Catherine Chen), старший вице-президент и член со...

iPhone SE 2 получит топовую платформу A13, производство смартфона стартует в декабре В Сети появились новые подробности о перспективном смартфоне iPhone SE 2. Как сообщил информированный китайский инсайдер, Apple начнет затоваривать склады новинкой уже в декабре. Это значит, что смартфон должен быть запущен в производство в самое ближайшее время. Хотя р...

Потом во всем обвинят Трампа. Huawei уже переходит со Snapdragon на Kirin Аналитики утверждают, что дочерняя компания Huawei по производству микросхем HiSilicon нарастила поставки процессоров в этом году. В результате по итогам года более 70% всех смартфонов Huawei будут оснащены однокристальными системами Kirin. Причем уменьшение доли однокр...

Первая серийная акустическая система из песка напечатана на 3D-принтере в Чехии Технологическая студия DEEPTIME из Чехии представила ограниченную серию акустических систем, изготовленных из песка при помощи метода 3D-печати. Устройство создано промышленными дизайнерами Мартином Хребеном и Онджеем Чотовинским. Создатели заявляют, что помимо эстетическ...

Куо: массовое производство iPhone SE 2 начнётся в январе 2020 года Сравнительно недавно в сети начали появляться слухи о бюджетном смартфоне Apple iPhone SE 2, и если верить этой информации, то его официальный анонс состоится в 1 квартале 2020 года. Известный аналитик Минг-Чи Куо (Ming-Chi Kuo) полагает, что массовое производство будущей но...

General Electric открыла новые аддитивные центры в Швеции и Германии Корпорация General Electric открыла два дополнительных центра аддитивных технологий, где будут сконцентрированы компетенции компаний Concept Laser и Arcam — ведущих производителей промышленных металлопорошковых 3D-принтеров, вошедших в состав GE осенью 2016 года. Первый цен...

Поставки однокристальных систем MediaTek Helio P60 и P70 превысили отметку в 50 миллионов Пускай однокристальные системы MediaTek уже не пользуются у известных производителей смартфонов былой популярностью, но они по-прежнему востребованы у местных китайских производителей. И вот очередное тому доказательство: поставки SoC MediaTek серий Helio P60 и P70 прев...

Нюансы раскрутки сайта в Google. Как поднять в выдаче медицинские сайты в 2019 году? Владельцы сайтов медицинской тематики в 2018 году "почесали голову". Первые проблемы у них возникли еще в конце 2015 года, когда Яндекс нанес первый удар по сайтам медицинской тематики, так как началась активная подготовка к внедрению Яндекс.Здоровья. Прошло три года - многи...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Legrand представляет новые промышленные шкафы Atlantic-E Группа Legrand расширяет линейку доступных российским заказчикам промышленных шкафов и представляет новую серию Atlantic-E с повышенной прочностью, надежностью и устойчивостью к внешним воздействиям. Новые шкафы полностью соответствуют самым высоким российским стандартам и о...

Первый взгляд на The Elder Scrolls: Blades, для тех кого не пустили Для некоторых уже начался ранний доступ The Elder Scrolls: Blades так что мы подготовили для вас видео с первыми шагами игрока в новой игры Bethesda. Несмотря на множество переносов в игре на данный момент не будет реализации Арены, где игроки могли бы сразиться друг с друго...

Долгожданный смартфон Xiaomi Mi 9T Pro уже можно заказать в Европе за 399 евро Сегодня в различных странах европейского региона начался прием предварительных заказов на смартфон Xiaomi Mi 9T Pro, который является международной версией ранее анонсированного Redmi K20 Pro. Согласно официальной информации, прием предварительных заказов на Xiaomi Mi 9...

Билл Гейтс нашел виновных в своих неудачах На организованной The New Yourk Times конференции DealBook, финансовый колумнист газеты Эндрю Росс Соркин в среду, 6 ноября, брал публичное интервью у бывшего руководителя Microsoft Билла Гейтса. Когда речь зашла о Windows Mobile, американский предприниматель и филантр...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)