Социальные сети Рунета
Четверг, 18 апреля 2024

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

Samsung Display потратит на модернизацию производства 11 миллиардов долларов Компания столкнулась с перепроизводством ЖК-панелей из-за снижения спроса на телевизоры и смартфоны и переходом крупнейших заказчиков на OLED-панели. Компания Samsung Display до 2025 года планирует вложить в разработки технологий изготовления дисплеев и модернизацию произ...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Pegatron инвестирует до 1 миллиарда долларов в индонезийскую фабрику по производству чипов для iPhone Поставщик Apple Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple, сообщил во вторник представитель министерства Индонезии (через Reuters). Тайваньский производитель дал обещание в подписанном письме пра...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

TSMC скоро начнет массовое производство 7-нм чипсета Snapdragon 855 Поскольку тайваньский производитель микросхем MediaTek уже вышел из рынка высокопроизводительных чипсетов, ожидается, что в этом сегменте будут доминировать флагманские телефоны, работающие на новейшем процессоре Snapdragon 855 компании Qualcomm. ***

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Нехватка процессоров Intel сохранится до 2020 года По данным тайваньского ODM-производителя Compal Electronics, нехватка процессоров Intel продолжится во втором полугодии этого года и сохранится до начала 2020 года. В конце прошлого года Intel заявила о дефиците производственных мощностей, что привело к нехватке 14-нанометро...

Foxconn подтвердила предстоящий запуск массового производства iPhone в Индии Foxconn вскоре запустит массовое производство смартфонов iPhone в Индии. Об этом объявил глава компании Терри Гоу (Terry Gou), развеяв опасения по поводу того, что Foxconn предпочтёт Индии Китай, где она ведёт строительство новых производственных линий.

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

Pegatron потратит до 1 миллиарда, чтобы перенести производство чипов для iPhone из Китая Поставщик Apple компания Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple iPhone, о чем сегодня сообщили официальные источники. Тайваньский производитель дал документальное обещание правительству ...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Samsung получила заказы на производство процессоров от Intel Компания Intel в очередной раз сообщила, что она испытывает проблемы с объёмами производства процессоров. Гонка с AMD за количество ядер привела к увеличению физического размера чипов, а значит, уменьшению их числа на одной пластине и дальнейшему росту дефицита.

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Процессоры A13 готовы к серийному производству TSMC, основной производитель чипов для Apple, готов запустить массовое производство процессоров следующего поколения A13 для нового модельного ряда iPhone.

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

Производители NAND внедряют 120/128-слойную память 3D NAND По информации DigiTimes многие производители стали ускорять переход своих производств на выпуск 120/128-слойной памяти 3D NAND, чтобы начать её массовое производство в 2020 году.

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

iPhone в 2020 году получат 5-нм процессоры Тайваньская компания по производству полупроводников (TSMC) объявила о создании инфраструктуры для 5-нм техпроцесса, которая уже находится в стадии предварительного производства. Компания планирует инвестировать $25 миллиардов в объём производства к 2020 году. Микросхемы, в…

В Samsung пока не приняли решение об инвестициях во вторую фабрику по производству памяти в китайском Сиане Южнокорейский технологический гигант Samsung Electronics еще не определился с дополнительными инвестициями во вторую линию по производству микросхем памяти в китайском городе Сиань. Об этом сообщила сама компания, опровергая сообщение китайского информагентства «С...

Умные часы Apple Watch с экраном microLED могут выйти в следующем году Источник сообщает, что компания Apple ведёт переговоры с тайваньским производителем дисплеев относительно поставок экранов microLED для будущих умных часов. Сейчас, напомним, Apple Watch используют экраны OLED производства LG. Массовое производство необходимого ...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

Audi ускоряет разработку электромобилей, выделив 12 млрд евро инвестиций Audi объявила о намерении ускорить реализацию своих планов по расширению ассортимента выпускаемых электромобилей. В связи с этим был обновлён график инвестиций, который теперь включает финансирование расширения электрической мобильности в размере 12 млрд евро. Всего компания...

Революция близко: Xiaomi уже занимается массовым производством 100-Ваттных зарядок Недавно компания Xiaomi опубликовала ролик, где продемонстрировала зарядное устройство мощностью 100 Ватт, с помощью которого аккуулятор емкостью 4000 мАч можно зарядть до 100% за 17 минут. На тот момент подробностей о том, предназначена ли эта технология для массового рынк...

Официально: Nintendo перенесет часть производства Switch из Китая По словам представителя Nintendo, компания планирует перенести часть производства консолей Switch из Китая во Вьетнам. Nintendo подтвердила эту информацию в комментарии для Reuters. Переезд запланирован на ближайшие месяцы, хотя точная дата пока не озвучена. В настоящее врем...

Наконец-то стартовало производство беспроводной зарядки Apple AirPower Apple анонсировала беспроводную зарядку AirPower в сентябре 2017 года, и с тех пор в Сети регулярно появлялись слухи о том, что выпуск зарядки откладывается. И тому называли массу причин. Но, судя по всему, все проблемы с AirPower уже решены, и зарядное устройство готов...

Возвращение Rockchip. SoC RK3588 предложит восьминанометровый техпроцесс и ядра Cortex-A76 и Cortex-A55 Компания Rockchip на данный момент редко мелькает в новостях тематических ресурсов. Даже китайские производители планшетов сейчас используют платформы Rockchip достаточно редко. Однако вскоре компания сможет предложить производителям устройств весьма современную SoC. Од...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Toyota инвестирует в развитие электромобилей в Индонезии 2 млрд долларов Компания Toyota Motor планирует в течение ближайших четырех лет инвестировать 2 миллиарда долларов в развитие электромобилей в Индонезии, начав с гибридных моделей. Об этом сообщил источник со ссылкой на президента Toyota. «С 2019 по 2023 год мы будем постепенно ...

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Samsung начала массовое производство оперативной памяти LPDDR4X на 12 Гбайт В прошлом месяце Samsung представила новые флагманы с объемом оперативной памяти 12 Гбайт. Сегодня компания объявила о старте массового производства оперативной памяти LPDDR4X объемом 12 Гбайт для премиальных смартфонов. Подробнее об этом читайте на THG.ru.

Массовое производство гибких экранов TCL для смартфонов начнется в этом году 30 мая компания TCL в сообщила, что в Ухане началась эксплуатация производственной линии по выпуску гибких панелей LTPS-AMOLED с запланированной производительностью 45 тыс. единиц в месяц. Компания также подписала соглашения с некоторыми производителями смартфонов, сред...

UMC отказывается от намерения выпускать DRAM совместно с китайским партнером После недавних обвинений в экономическом шпионаже со стороны США тайваньская компания United Microelectronics Corp (UMC) намерена свернуть проект, предусматривавший разработку и выпуск памяти DRAM совместно с китайским партнером. По сообщению источника, почти половина ...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Китайские учёные разработали 3-нм транзистор По сообщению китайского издания South China Morning Post, группа китайских исследователей из Института микроэлектроники китайской академии наук разработала транзистор, который можно будет выпускать в рамках 3-нм техпроцесса. В отличие от 3-нм структуры транзистора компании S...

Инсайдеры: беспроводная зарядка Apple AirPower уже запущена в производство По данным инсайдеров, Apple наконец начала производство беспроводной зарядки AirPower, которая была анонсирована ещё в сентябре 2017 года во время презентации iPhone X. Тогда компания обещала выпустить коврик в 2018 году, однако уже через несколько месяцев столкнулась с неко...

Xiaomi крупно вложилась в производителя электромобилей Китайская компания Xiaopeng Motors, неоднократной уличенная в копировании автомобилей и технологий Tesla, отчиталась о привлечении большого объема инвестиций – в размере 400 миллионов долларов. Как сообщается, эти деньги пойдут на запуск в производство новой модел...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

Foxconn передумала выпускать в США ЖК-дисплеи для телевизоров Крупнейший в мире контрактный производитель Foxconn Technology Group пересмотрел планы по производству современных жидкокристаллических панелей для телевизоров в кампусе в Висконсине (США) и теперь планирует вместо завода создать здесь «технологический центр», который будет ...

Китайские и тайваньские производители светодиодов столкнутся в этом году с большой проблемой По данным отраслевых источников, в 2018 году китайские и тайваньские светодиодные компании уже столкнулись с падением цен на их продукцию из-за слабого спроса и избыточного предложения, но в текущем году их ждет настоящая проблема — возможно, самая крупная за посл...

Intel опровергла слухи о возникших трудностях с производством 5G-модемов для Apple Несмотря на то, что в ряде стран коммерческие 5G-сети будут развёрнуты уже в этом году, Apple не спешит выпускать устройства, способные работать в сетях связи пятого поколения. Компания ждёт, когда соответствующие технологии получат широкое распространение. Аналогичную страт...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Официально: видеокарты AMD Radeon Navi выйдут в третьем квартале 2019 года Компания AMD официально подтвердила информацию о том, что первые видеокарты на базе новой графической архитектуры Navi выйдут в третьем квартале 2019 года. Чипы будут выполнены по 7-нм техпроцессу TSMC. Стоит отметить, что Navi — это семейство графических процессоров, поэто...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

Apple запускает массовое производство iPad 7, сборка 16-дюймового MacBook Pro начнется в конце года Тайваньская компания Radiant Opto-Electronics, поставщик модулей подсветки для устройств Apple, раскрыла данные, о которых в американской компании предпочли бы молчать. Теперь, благодаря азиатской фирме, мы знаем, что массовое производство iPad нового поколения стартует...

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

Убытки TSMC после химического загрязнения на производстве оценены в $550 млн Январский инцидент на крупнейшей фабрике TSMC, когда из-за загрязнения одного из реактивов, применяемых для подготовки фоторезиста, компании пришлось отправить в утиль партию из нескольких тысяч полупроводниковых пластин, обойдется ей в 550 миллионов долларов убытков. Напомн...

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

Samsung поможет Intel справиться с дефицитом 14-нм процессоров Не было бы у компании Samsung счастья, да несчастье Intel помогло. Южнокорейское информационное агентство Yonhap распространило новость, что Samsung Electronics согласилась начать выпуск центральных процессоров для Intel. Неделю назад Intel намекнула на возможность подобного...

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Oppo Reno Ace одним из первых получит Snapdragon 7 Series 5G и дисплей с частотой 90 Гц В начале месяца появилась информация о том, что еще до конца года на рынке появится смартфон Oppo, который будет оснащен дисплеем с частотой обновления экрана, составляющей 90 Гц. Теперь же компания Oppo подтвердила, что новый смартфон, который выйдет под названием Reno...

Intel анонсировала свои первые массовые 10-нанометровые процессоры Ice Lake – они предназначены для ноутбуков Сегодня на специальном мероприятии в рамках выставки CES 2019 компания Intel официально анонсировала свои первые массовые CPU, произведенные по техпроцессу 10 нм. Правда, новинки именно анонсированы – массовое производство их стартует позже в этом году (вероятно, ...

Высокий спрос на 7-нм и 5-нм чипы поможет росту TSMC в 2020 году TSMC планирует в 2019 году достичь ещё одного рекорда, но из-за разочаровывающих результатов в первой половине года чистая прибыль вряд ли побьёт результаты 2018 года. Однако, как считают источники, в 2020 году снова наметится рост общегодовой выручки и прибыли тайваньской п...

Представитель Xiaomi объяснил дефицит MI 9 на старте ... Недавно Xiaomi наконец смогли увеличить объёмы производства нового флагмана Xiaomi Mi 9 и избавились от досадной необходимости принимать предзаказы на новинку и вынуждать покупателей ждать по три недели. К концу марта поставки аппаратов наконец превысили 1.5 миллиона единиц....

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

Hyundai получит российские моторы Как сообщает «Автостат» со ссылкой на министра промышленности и торговли Российской Федерации Дениса Мантурова, специальный инвестиционный контракт заключен сроком на 10 лет. Совокупный объём инвестиций составит 16,6 миллиардов рублей, а с учётом вложений других компаний, вх...

Apple купила подразделение мобильных модемов Intel Компания Apple официально подтвердила информацию о приобретении подразделения компании Intel, занимающегося производством мобильных модемов. Сумма сделки составила 1 миллиард долларов США. В результа...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

SK Hynix в третьем квартале провалила всё что можно Южнокорейская компания SK Hynix опубликовала информацию о работе в третьем квартале 2019 календарного года, который закончился для неё 30 сентября. Квартальная выручка этого производителя памяти DRAM и NAND показала годовое снижение на 40 %, снижение чистой прибыли составил...

TSMC верит в 7 нанометров Представители компании TSMC считают, что во второй половине 2019 года загрузка 7-нанометровой технологии возрастет. Дело в том, что некоторые крупные производители уже разрабатывают свои решения на данном технопроцессе и совсем скоро эти проекты запустят в производство. Учит...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

Samsung передумала по поводу Android 10 для Samsung Galaxy S8, официально Когда компания Samsung выпустила список устройств, которые планируется обновить до свежей версии операционной системы Android 10, в нём не оказалось флагманского Samsung Galaxy S8, вышедшего в 2017 году. Это, разумеется, несказанно расстроило пользователей данных смартф...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

Intel подтвердила подготовку Comet Lake: десятку ядер в массовом сегменте быть! Уже довольно давно циркулируют слухи, что компания Intel до 10-нм процессоров Ice Lake выпустит ещё одно семейство 14-нм процессоров, которое будет называться Comet Lake. И теперь эти слухи косвенно подтвердила сама Intel: упоминание о Comet Lake обнаружилось в новых графиче...

Запуск неизбежен. Samsung начала производство Galaxy Fold в Индии В сети появились новые подробности о давно ожидаемом запуске складного смартфона Samsung Galaxy Fold. Информацией поделился известный сетевой информатор Ишан Агарвал ( Ishan Agarwal) с индийским ресурсом MySmartPrice.  По данным источника, корейская компания Samsu...

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

TSMC не справляется с огромным числом заказов на 7-нм продукты: сроки выполнения выросли в 3 раза 7-нм техпроцесс пользуется огромным спросом среди клиентов TSMC. Конечно, это не может не радовать тайваньского контрактного производителя, но у этой медали есть и оборотная сторона. Подробнее об этом читайте на THG.ru.

Apple не торопит Japan Display с возвратом долга Компания Japan Display заявила, что до 14 июня китайско-тайваньский консорциум официально оформит свое решение инвестировать в нее. Договоренность стала возможна после того, как компания Apple, которой Japan Display должна внушительную сумму, согласилась подождать, а по...

Поставщики Apple готовятся к производству новых iPad и AirPods По данным DigiTimes, производители гибких печатных плат Flexium, Zhen Ding Technology, Compeq и Unitech наращивают объемы поставок деталей для будущих новинок Apple. В частности, Flexium и Zhen Ding Technology займутся производством печатных плат для для моделей планшетов iP...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Трения между Кореей и Японией отражаются на производстве смартфонов Samsung По данным инсайдеров, Samsung пришлось на 10% снизить запланированный объем производства мобильных процессоров Exynos, которыми будут укомплектованы новые смартфоны Note 10.

MediaTek опровергла слухи о разрыве отношений с Xiaomi Недавно появились слухи о том, что компания MediaTek разорвала какие-либо отношения Xiaomi, однако теперь представители MediaTek выступили с официальным заявлением по этому поводу. В ходе свежего интервью официальные лица компании MediaTek заявили, что информация о том,...

Japan Display удалось собрать почти всю сумму необходимых инвестиций Поставщик дисплеев для смартфонов Japan Display подтвердил в минувшую пятницу информацию о том, что действительно получит инвестиции в размере $100 млн от неназванного клиента, которым, по словам источников газеты Asahi Shimbun, является Apple. Эта сумма будет получена в рам...

Apple уже начала производство процессоров A13 Уже начато производство процессоров для нового семейства iPhone, которые выйдут осенью этого года. Это однокристальная платформа Apple A13, выполненная по 7-нанометровому техпроцессу. Производством занимается компания TSMC (Taiwan Semiconductor Manufacturing). Пока нача...

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

Samsung Display приписывают намерение инвестировать 11 млрд долларов в производство жидкокристаллических дисплеев Компания Samsung Display планирует выделить 11 млрд долларов на модернизацию южнокорейского предприятия, выпускающего жидкокристаллические дисплеи. Об этом сообщило информационное агентство Yonhap, уточнив, что производитель обнародует свои планы в октябре. По словам пр...

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

Redmi K30 и Redmi K30 Pro выйдут только в 2020 году На прошлой неделе еще до анонса Redmi Note 8 и Redmi Note 8 Pro появилась информация о том, что смартфоны Redmi K30 и Redmi K30 Pro уже запущены в производство. Чуть позже вице-президент Xiaomi и глава бренда Redmi Лу Вейбинг (Lu Weibing) официально подтвердил эту инфор...

Сотрудники Intel подтверждают переход компьютеров Apple на ARM-процессоры Несколько анонимных сотрудников компании Intel подтвердили журналистам Axios переход Apple на процессоры с архитектурой ARM собственного производства уже в 2020 году для использования в компьютерах Mac. Данное решение вызывает некоторые опасения касательно уровня…

У 76% производителей нет опыта внедрения аддитива — почему это хорошо По оценкам экспертов, ежегодно отечественный рынок аддитивных технологий растет на 20% и достигнет 14 млрд рублей в 2023 г. И так во всём мире — исследование EY показало, что 76% промышленных компаний не имеют опыта внедрения аддитивных технологий (АТ) в свое производство....

Samsung начинает массовое производство памяти LPDDR4X uMCP емкостью 12 ГБ Компания Samsung Electronics, объявила о начале массового производства первого в отрасли многочипового пакета на базе UFS (uMCP) с 12-гигабайтной (ГБ) и двойной скоростью передачи данных 4X (LPDDR4X) с низким энергопотреблением. Samsung представляет решение uMCP-модулей на...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

Huawei отложила выход Mate X на рынок и назвала время, ... На IFA 2019 компания Huawei отметилась анонсом процессора Kirin 990. Это новая флагманская платформа от китайцев, которая стала первым чипом со встроенным 5G‑модемом. Представители Huawei подтвердили информацию, что складной Huawei Mate X получит Kirin 990 5G.    ...

«Почта России» существенно сократит контрольные сроки доставки посылок Как сообщает источник, «Почта России» намерена в ближайшее время сократить контрольные сроки доставки посылок на 7800 направлениях. Для большинства жителей России это будет означать доставку в срок не более двух дней. Кроме того, это будет крупнейшее массов...

Samsung может начать производство GPU для дискретных видеокарт Intel На этой неделе Раджа Кодури (Raja Koduri), курирующий производство GPU в Intel, побывал на заводе Samsung в Южной Корее. Учитывая недавнее объявление Samsung о начале производства 5-нм чипов с использованием EUV, некоторые аналитики посчитали, что этот визит может быть неслу...

Новый iPad mini и недорогой iPad можно ожидать до середины лета Тайваньский ресурс DigiTimes подтвердил слухи о том, что компания Apple готовит к выпуску пятое поколение планшета iPad mini и новый недорогой iPad в первой половине 2019 года.  Об этом говорят ожидаемые объёмы поставок сенсорных панелей от поставщиков компании Ap...

Ядер — больше, частота — ниже: базовая частота 64-ядерного процессора AMD EPYC нового поколения составила всего 1,4 ГГц Компания AMD собирается представить серверные процессоры EPYC нового поколения Rome в середине текущего года. Одной из топовых моделей серии станет 64-ядерная, и она уже засветилась в базе данных SiSoftware Sandra, благодаря чему стали известны и характеристики CPU, и п...

Тайваньские компании выводят производство модулей памяти из Китая Некоторые тайваньские производители модулей памяти срочно перемещают производственные линии с континентального Китая, чтобы защититься от новых тарифов на импорт китайской продукции в США. Как известно, повышение тарифов с 10% до 25%, то есть в два с половиной раза всту...

Слухи приписывают AMD намерения выпустить в этом году 7-нм гибридные процессоры Представительница AMD на технологической конференции Bank of America Merrill Lynch сообщила, что компания намеревается перевести на 7-нм техпроцесс изготовления и мобильные процессоры марки Ryzen, но когда это случится, она уточнять не стала. В конце концов, настольным 7-нм ...

Переходя к выпуску электромобилей, Ford сократит в Европе 12 000 рабочих мест Компания Ford сообщила о намерении реорганизовать европейское отделение. Теперь в нем будет три подразделения: коммерческие транспортные средства (CV), пассажирские транспортные средства (PV) и импорт. Каждое из них получит отдельную управленческую структуру, включая ру...

LG закрывает производство смартфонов в Южной Корее Корейский производитель электроники LG уже несколько лет испытывает проблемы с мобильным подразделением. Из-за этого компании сейчас пришлось пойти на серьёзный шаг. Как сообщает Reuters, ссылаясь на информационное агентство Yonhap News, LG останавливает производство сма...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

LG Display дополнительно инвестирует 3 трлн. вон в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея. Благодаря этим инвестициям компания у...

Volkswagen выделит на цифровизацию до 4 млрд евро Компания Volkswagen AG намерена до 2023 года инвестировать до 4 млрд евро в проекты по цифровизации — в основном в области управления, но и в области производства тоже. Эти проекты включают внедрение таких решений для управления ресурсами предприятия, как платфор...

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

У TSMC возникли проблемы с производством чипов Kirin TSMC — крупнейший производитель мобильных процессоров в мире и единственный поставщик чипов для Apple. Из-за  недостаточного спроса на iPhone,  корпорация из Купертино уменьшила заказ на однокристальные системы у тайваньского чипмейкера, и он уже заявил о том...

Теперь официально: не ждите настольных 10-нанометровых CPU Intel минимум до 2021 года Пару дней назад мы смогли ознакомиться с дорожными картами Intel, которые вызвали множество вопросов. В частности, там вообще не было настольных 10-нанометровых процессоров. Сегодня Intel отчиталась за очередной финансовый квартал, заодно подтвердив своё намерение выпу...

Sharp перемещает производство из Китая вслед за другими поставщиками Apple Sharp стала одним из последних поставщиков Apple, который объявил о планах вывести часть производства продукции из Китая в связи с продолжающейся торговой войной между Вашингтоном и Пекином. REUTERS/Toru Hanai Генеральный директор Apple Тим Кук (Tim Cook) в ходе недавнего кв...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Гуд бай, Токио: Samsung нашла источник фоторезиста в Бельгии Сайт Nikkei Asian Review поделился информацией о новом источнике фоторезиста для компании Samsung Electronics. Этот ключевой материал для производства чипов южнокорейская компания получала от партнёров в Японии, но с 4 июля поставки оказались под угрозой срыва из-за санкций ...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Процессор Qualcomm Snapdragon 865 анонсируют в начале декабря Новый флагманский процессор Qualcomm Snapdragon 865 получит восемь ядер и будет построен по 7 либо по 5 нанометровому техпроцессу Samsung вместо TSMC. Процессор выйдет в двух версиях: со встроенным модемом Snapdragon X55 и без него. Также новый процессор будет поддерживать ...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

Производством Snapdragon 865 займется Samsung, а Snapdragon 875 — TSMC Недавно стало известно, что в случае с Snapdragon 865, анонс которого состоится в конце нынешнего года, Qualcomm сменит партнера по его производству. Выбор вновь пал на Samsung — одного из крупнейших производителей электроники в мире.   С конвейера южнокорейског...

GMO Internet отчиталась о стабильном росте добычи биткоина Японский IT-гигант GMO Internet Group опубликовал отчет, в котором сообщается об увеличении количества намайненных компанией BTC. Также компания подтвердила информацию о прекращении производства собственного оборудования из-за значительных финансовых потерь. Кроме того, в GM...

Nokia 8.2 5G первый смартфон с процессором Snapdragon 735 Nokia 8.2 5G станет первым смартфонов на рынке, который оснастят процессором Snapdragon 735, хотя еще этот процессор официально не представлен. Nokia 8.2 приписывают основную камеру с несколькими модулями и главным сенсором на 64 Мп, а также выезжающую фронтальную. Смартфон...

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

Boston Dynamics раскрыла дату начала массового производства роботов-собак Представители Boston Dynamics рассказали о планах компании на конференции TechCrunch Sessions: Robotics + AI. Выяснилось, что начало производства четвероногих роботов SpotMini для массового потребителя запланировано на лето.

Назван первый смартфон с новой операционной системой, которая составит конкуренцию MIUI и EMUI Компания Oppo представляет сегодня оболочку ColorOS 7, которая должна стать интереснее и удобнее, чем MIUI и EMUI. Новая версия фирменной оболочки получила ряд новый функций, она ориентирована на более комфортное и простое использование. Новая версия ColorOS будет досту...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Japan Display получит от Apple 100 млн долларов Вчера издание Asahi сообщило, что компания Japan Display получит инвестиции в размере 100 млн долларов от Apple, своего крупнейшего заказчика. Новость об инвестициях вызвала рост акций Japan Display на токийской бирже на 32%. Компания Japan Display столкнулась с финанс...

Amazon заказала 100 тысяч электрофургонов Rivian Amazon, Rivian Как передает Reuters, глава Amazon Джефф Безос (Jeff Bezos) объявил, что в рамках плана компании по достижению нулевого баланса выброса парниковых газов к 2040 году Amazon заказала у стартап-компании Rivian целых 100 000 электрических фургонов, поставки к...

ECS подтвердила скорый выход наборов логики Intel 400-й серии Не так давно гонконгский веб-ресурс XFastest поделился конфиденциальными слайдами Intel, рассказывающими о сроках дебюта и главных особенностях настольных процессоров Comet Lake-S и наборов логики 400-й серии. Данную информацию ненароком подтвердила тайваньская фирма Elitegr...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

ASML сообщает о превосходном спросе на EUV-сканеры Нидерландская компания ASML ― глобальный лидер по выпуску производственного литографического оборудования для изготовления полупроводников ― сообщила о рекордном по сумме заявок квартале. Не в последнюю очередь в этом проявился чрезвычайно высокий спрос на сканеры диапазона ...

Cerebras Wafer Scale Engine — гигантская микросхема размером с iPad Pro, с более чем 1 трлн транзисторов и TDP в... 15 кВт Вчера мы рассказывали о процессоре Intel NNP-T, который ориентирован на задачи машинного обучения и выделяется наличием 27 млрд транзисторов. Для сравнения, GPU Nvidia TU102, лежащий в основе топовых видеокарт поколения Turing, содержит 18,6 млрд транзисторов, а GV100 &...

Мобильные семинанометровые процессоры AMD Ryzen появятся на рынке к концу года или в начале следующего Недавно компания AMD поверхностно представила семинанометровые настольные CPU Ryzen третьего поколения. Они выйдут на рынок когда-то позже в этом году, но слухи говорят примерно о втором квартале. Чуть ранее AMD представила и новые мобильные процессоры Ryzen, только вот...

General Motors подтвердила отказ от гибридов в пользу электромобилей Компания General Motors (GM) подтвердила принятое решение отказаться от разработки и выпуска гибридов, чтобы полностью сосредоточиться на производстве электромобилей. В рамках своей новой инициативы по переходу на электрическую тягу, объявленной в прошлом месяце, GM...

Президент Xiaomi заверил, что компания усердно работает над массовым производством продуктов со 100-ваттной зарядкой В марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт. Компания заявила, что данная технология позволит заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут, как показано...

AMD раскрыла характеристики новых процессоров Ryzen 3000 Вчера на выставке Computex глава AMD Lisa Su раскрыла характеристики нового поколения настольных процессоров Ryzen. Благодаря переходу на новый 7-нм техпроцесс удалось увеличить частоты в режиме boost до 4.6Ghz. Читать дальше →

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

Yangtze Memory наладила массовый выпуск 64-слойных микросхем 3D NAND Китайский чипмейкер Yangtze Memory Technologies Company (YMTC) приступил к массовому производству 64-слойных микросхем памяти 3D NAND TLC вместимостью 256 Гбит. Об этом сегодня сообщило тайваньское веб-издание DigiTimes, ссылаясь на отраслевые источники. В новых...

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Yangtze Memory начинает массовое производство 64-слойной памяти 3D NAND Китайская государственная полупроводниковая компания Yangtze Memory Technologies (YMTC), основанная в 2016 году, заявила о начале массового производства 64-слойной флэш-памяти.

Появились первые упоминания о настольных процессорах Intel Ice Lake Ходило много слухов о 10-нм процессорах Intel, и большинство из них указывали на то, что компания не планирует выпускать настольные потребительские процессоры, поскольку 10-нм техпроцесс находится не на нужной стадии. Впоследствии эти слухи были опровергнуты Intel. Подробнее...

Сети 5G запустят в Китае гораздо раньше, чем ожидалось. ZTE уже готова Флагман ZTE Axon 10 Pro с поддержкой 5G и 20-кратным гибридным зумом вышел в Европе Китайские производители значительно опередили своих коллег в развитии оборудования и сетей 5G, однако в Китае до сих пор не запущены коммерческие сети пятого поколения. Министерство про...

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

Samsung начинает массовое производство накопителя eUFS 3.0 емкостью 512 Гб Компания Samsung Electronics объявила о начале массового производства встраиваемого накопителя Universal Flash …

Samsung начинает массовое производство первой флэш-памяти объемом 1 ТБ Компания Samsung объявила о начале массового производства первой флэш-памяти eUFS (embedded Universal Flash Storage) 2.1 емкостью 1 ТБ для мобильных устройств следующего поколения. ***

Официально представлен новый процессор для флагманского смартфона Samsung Galaxy Note10 Чип Exynos 9825 стал улучшенной версией процессора Exynos 9820, который лежит в основе Galaxy S10. Благодаря применению 7-нанометрового техпроцесса EUV чипсет получил улучшенные показатели энергопотребления и производительности.

Volkswagen запустил тестовую линию по производству батарей для электромобилей в Зальцгиттере (Германия), уже в 2024 году там будет гигафабрика с 1000 рабочих и 1 млрд евро инвестиций Немецкий автомобильный концерн Volkswagen Group запустил пилотную линию по мелкосерийному производству батарей для электромобилей в исследовательском центре в Зальцгиттере (Германия). Уже в следующем году на базе этого центра заработает тестовая линия по переработке отслужив...

Intel выпускает мало процессоров В прошлом году появилась информация о том, что компания Intel испытывает серьезные проблемы с переходом на 10 нм процесс, что вызвало настоящий дефицит процессоров на рынке. Однако, одно дело геймеры, которые получили более высокую цену на тот же процессор, а совсем другое —...

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

Samsung запускает в массовое производство мобильного чипа памяти на 12 ГБ Компания Samsung объявила о начале массового производства чипа LPDDR4X DRAM емкостью 12 ГБ для смартфонов, который дает возможность предлагать смартфонам больше оперативной памяти, чем многие ультратонкие ноутбуки. Чип емкостью 12 ГБ имеет толщину 1,1 мм и позволяет про...

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

SK Hynix приступает к массовому производству 128-слойной 4D NAND Компания SK Hynix начала массовое производство первой в мире 128-слойной памяти типа 4D NAND.

Процессор Samsung Exynos 7 Series 7904 рассчитан на смартфоны среднего уровня Компания Samsung Electronics анонсировала новый мобильный процессор — изделие Exynos 7 Series 7904, изготавливающееся по 14-нанометровой технологии. Чип рассчитан на смартфоны среднего уровня. Основу решения составляют восемь вычислительных ядер: это дуэт ARM Cortex-A73 с та...

Foxconn уже строит крупную фабрику по производству телевизионных панелей 8K На сегодняшний день телевизоры 8K являются невероятно дорогой и в целом бесполезной диковинкой. Однако аналитики указывают на то, что определённую популярность такие модели наберут уже по итогам текущего года, а уж спустя несколько лет и вовсе начнёт переход с 4K на 8K....

GeForce RTX 3080 могут представить уже в июне На днях мы сообщали о том, что слухи указывают на задержку выхода видеокарт Nvidia следующего поколения, из-за чего модель GeForce RTX 2080 Ti Super всё же должна увидеть свет. Новые данные ничего не говорят о таком адаптере, зато проливают свет на следующее поколение в...

Европейский рынок блокчейн-решений за 2018 год оценили в $400 млн. Об этом свидетельствуют данные IDC, обнародованные в феврале 2019 года.Банки, страховые, лизинговые и инвестиционные компании в Европе потратили на разработку и внедрение блокчейн-продуктов более $172 млн, что соответствует 43% в общем объеме рынка. В тройку отраслей с наибо...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Samsung инвестирует $11 млрд в производство дисплеев на ... Сегодня корпорация Samsung сделала официальное объявление о своих планах инвестировать $11 млрд (13,1 трлн вон) в разработку и производство дисплеев следующего поколения с квантовыми точками. Такое решение принято в попытке справиться с падением спроса на LCD-матрицы, растущ...

Xiaomi может отказаться от Mi MIX 4 Официально эта информация подтверждена или опровергнута не была.

OneWeb привлекла $1,25 млрд на массовое производство спутников для покрытия Земли интернетом Компания запустила свои первые спутники в космос в феврале 2019 года.

Официально: Японцы представят серийный электромобиль Honda Urban EV на Женевском автосалоне, производство и продажи стартуют до конца текущего года Японский автопроизводитель Honda впервые показал публике концепт электромобиля Honda Urban EV еще в 2017 году. В прошлом году на Женевском автосалоне компания заявила, что собирается запустить его в серийное производство до конца 2019 года. А сегодня представители Honda офиц...

Huawei подтвердила название SoC Kirin 990 и факт ее использования в Huawei Mate X. Honor 5G может получить SoC MediaTek В начале недели появилась информация о том, что в грядущем смартфоне с гибким экраном Huawei Mate X будет представлена новейшая однокристальная система Kirin 990. Сегодня Янминг Ван (Yanming Wang), президент Huawei в европейском регионе и Канаде, подтвердил Techradar, ч...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

Расходы на рекламу и разработки компании Intel удалось сократить Инвестиции в перспективные виды бизнеса и техпроцессы не пострадали.

Core i9-10980XE — 18-ядерный флагман новой линейки процессоров Intel HEDT поколения Cascade Lake-X (+ результат теста Geekbench для 10-ядерного Core i9-10900X) В следующем месяце Intel должна представить новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000 (Cascade Lake-X). От нынешних Skylake-X новые Cascade Lake-X будут отличаться весьма незначительно: ни архитектура, ни техпроцесс не изменятся, ли...

Оболочка EMUI 9.0 на базе Android Pie станет доступна 150 миллионам пользователей в 2019 году Глава смартфонного подразделения Huawei Хэ Ган (He Gang) сообщил, что компания продолжит массовое распространение оболочки EMUI 9.0 на базе Android Pie в 2019 году.  В прошлом году EMUI 9.0 вышла для 23 моделей смартфонов Huawei. Ориентировочно это означает, что о...

Samsung за десять лет инвестирует в производство мобильных SoC около $115 млрд В 2017 году Samsung Electronics стал новым лидером мирового рынка полупроводниковой продукции, сместив с трона Intel, который удерживал этот титул 24 года. Само собой, в Samsung Electronics отлично понимают, что в условиях столь высокой конкуренции без инвестиций в расширени...

Запущена блокчейн-система для контроля поставок косметики Многие из товаров Cult Beauty относятся к нишевым брендам, поэтому неудивительно, что покупатели требуют от компании доказательства их подлинности. Теперь ритейлер сможет это сделать с помощью блокчейн-платформы, где будет храниться вся история производства и поставок товаро...

В Ford подтвердили планы по использованию платформы MEB для проектирования электрокаров Руководство Ford официально подтвердило планы компании по использованию платформы MEB производства Volkswagen для проектирования автомобилей на электрической тяге.

Samsung будет делать дроны Некоторое время назад в сети появилась новость о том, что компания Samsung вроде как планирует запустить производство собственных дронов. Эта информация быстро растворилась в сети и никто как-то особо не обратил внимание на то, что крупный производитель электроники, если не ...

Volkswagen инвестирует $800 млн в завод в США по выпуску электромобилей Немецкий автопроизводитель подтвердил планы инвестировать $800 млн в модернизацию своего завода в Чаттануге (штат Теннесси, США) для его подготовки к производству электромобилей на новой модульной платформе электрического привода (MEB). «США являются одним из ...

Intel готова к массовому производству энергонезависимой памяти MRAM Согласно отчету издания EETimes, компания Intel готова начать массовое производство магниторезистивной оперативной памяти SST-MRAM. Благодаря высокой стойкости и высокой прочности, STT-MRAM может стать будущей универсальной альтернативой традиционным DRAM и NAND. MRAM…

Инвестиции в производство NAND будут снижены, но цены на память продолжат падение В конце декабря ряд аналитиков уже высказались на тему сокращения инвестиций в производство твердотельной памяти NAND. Потребители NAND в виде производителей смартфонов теряют темп развития, что ведёт к снижению спроса и перепроизводству продукции. У производителей ...

TSMC начала производство процессоров Apple A13 для iPhone 2019 Тайваньский производитель микросхем TSMC открыл производство новых процессоров для грядущих iPhone 2019 года, которые будут традиционно представленных осенью, – сообщает издание Bloomberg, ссылаясь на компетентных инсайдеров. Тестовое производство Apple A13 было начато TSMC…

Цены на флэш-память NAND скоро начнут расти По данным тайваньских представителей отрасли, цены на флэш-память NAND скоро перестанут падать и, скорее всего, уже в следующем квартале начнут расти. Этому способствует увеличение спроса со стороны производителей устройств, пополняющих складские запасы. В свою очередь...

В процессорах Intel снова нашли проблемы Месяц назад в процессорах Intel Apollo Lake сначала обнаружили проблемы, а затем оказалось, что никаких проблем нет. Но теперь вот источники сообщают, что проблемы всё же есть. Только с другими «атомными» CPU Intel — Gemini Lake. Эти процессоры были пр...

Не ждите смартфона Xiaomi Mi 9 с поддержкой 5G Вчера компания Xiaomi представила в Европе смартфон Xiaomi Mi Mix 3 с поддержкой 5G. Аппарат перевели на новую платформу, увеличили аккумулятор и цену. В остальном же это всё тот же слайдер, который нам уже хорошо знаком. На фоне такого шага возник вопрос касательно по...

Adidas закрывает роботизированные фабрики в Германии и США Компания Adidas объявила сегодня о намерении закрыть свои высокотехнологичные «роботизированные» заводы, расположенные на территории США и Германии. Эти фабрики создавались с целью приблизить производство к клиентам. Однако теперь представители компании объявили о том, что в...

Отставить панику: настольные процессоры Intel c десятью ядрами выйдут в начале следующего года Презентация Dell, которой известный голландский сайт руководствовался при описании ближайших планов Intel по анонсу новых процессоров, первоначально концентрировала внимание на сегменте мобильных и коммерческих продуктов. Как справедливо отметили независимые эксперты, в потр...

Samsung начинает массовое производство памяти MRAM Вслед за компанией Intel о начале массового внедрения магниторезистивной памяти MRAM объявил еще один гигант индустрии — Samsung Electronics. Речь идет о микросхемах eMRAM для различных встраиваемых систем, микроконтроллеров, устройств «интернета вещей» и.....

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

Sony называет 3D-сенсоры будущим мобильной фотографии Как заявил руководитель подразделения датчиков Sony Сатоши Йошихара, Sony с оптимизмом смотрит на продажи своих 3D-сенсоров и увеличила производство, несмотря на спады в общем объеме поставок мобильных устройств. Их датчики основаны на технологии LiDAR, которая гораздо чаще ...

Стартовало массовое производство экранов для смартфона Samsung Galaxy Fold Компания Samsung Display приступила к массовому производству экранов для гибкого смартфона Galaxy Fold. Генеральный директор компании 9 апреля провёл мероприятие с целью отметить старт производства. На мероприятии присутствовало около 100 руководителей Samsung различны...

Производители систем охлаждения ожидают роста выучки от смартфонов «5G» Похоже, надежда на смартфоны с длительным временем автономной работы снова уходит куда-то вдаль. Ни новые техпроцессы, ни оптимизация SoC, ни повышение ёмкости аккумуляторов, ни многие другие «фишки» не могут приблизить появление мобильных аппаратов, которые при интенсивном...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

Официально: проблемы смартфона Samsung Galaxy Fold почти решены, и аппарат готов выйти на рынок За последний месяц мы уже несколько раз говорили о смартфоне Samsung Galaxy Fold, причём ряд источников твердил, что производитель уже всё исправил и аппарат выйдет на рынок в самое ближайшее время, а другие утверждали, что проблемы ещё не решены. Новые данные можно счи...

Foxconn начала подготовку к массовому производству новых iPhone Если верить недавним слухам, то официальный анонс нового поколения iPhone состоится 10 сентября. Во второй половине месяца Apple должна начать продавать свои новинки. Сейчас же партнеры яблочной компании готовятся к старту производства новых моделей. Например, компания Foxco...

Samsung представила процессор Exynos 990 и модем 5G Exynos Modem 5123 В сентябре в модельном ряду чипов Samsung появилась новинка Exynos 980, ставшая первым процессором производителя со встроенным 5G-модемом. А сегодня состоялся анонс его продолжения — Exynos 990. Но здесь решено отказаться от интеграции модуля связи для сетей пятого пок...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Kirin 990 в Huawei Mate X подтвержден Несколько дней назад пришла информация, что компания модернизировала складной Huawei Mate X, сменив Kirin 980 на Kirin 990. Сегодня руководитель подразделения Huawei в Канаде Янминг Ван подтвердил изданию Techradar, что коммерческий вариант гибкого мобильника Huawei действит...

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

Официально: Vodafone Украина открыла дочернюю компанию «IT Смартфлекс», которая будет заниматься R&D и системной интеграцией На прошлой неделе мы рассказали вам о том, что оператор Vodafone Украина основал дочернюю компанию «IT Смартфлекс», которая будет заниматься разработкой программного обеспечения и готовых IT-решений для материнской компании, а также для внешнего рынка. Теперь компания подтве...

Представлена линейка процессоров Intel Xeon W 3000 (Cascade Lake): до 28 ядер, до 64 линий PCIe и цена до $7453 Линейка серверных процессоров Intel разом пополнилась девятью новыми моделями — все они являются представителями серии Xeon W 3000 (семейство Cascade Lake). Ну а самый главный представитель линейки, Xeon W-3275M, вошел в перечень опционального оснащения новейшего ...

Наконец-то. Коммерческая печать панелей OLED начнется 2020 году Производители плоских панелей в Японии и в других странах разрабатывают технологию струйной печати OLED, причем японская компания JOLED, вероятно, будет первой, кто начнет продажи печатных панелей OLED в 2020 году. Такое мнение высказывают отраслевые наблюдатели. ...

При производстве SoC Kirin для смартфонов Huawei на заводе TSMC возникли проблемы Компания Taiwan Semiconductor Manufacturing Co. (TSMC) является крупным производителем полупроводниковой продукции, в частности, однокристальных систем для Huawei, Apple, Qualcomm, MediaTek и других компаний. Вот уже второй раз за последние несколько месяцев на произво...

Foxconn начнет производство премиальных Apple iPhone в Индии Ходили слухи, что Apple и Foxconn переносят часть производства iPhone 2019 из Китая в Индию. Сегодня Reuters подтвердила, что тайваньский поставщик и подрядчик будет использовать свой завод в Сриперумбудуре, городе Тамилнад. Министр промышленности штата MC Sampath сказал...

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

Sony ушла с китайского рынка производителей смартфонов вслед за Samsung Авторитетное издание Reuters подтвердило предыдущую информацию о том, что последний китайский завод Samsung по производству смартфонов, который был запущен в 1992 году, будет закрыт самое ближайшее время. Главной причиной ухода Samsung с китайского рынка производителей ...

Samsung начинает массовое производство 12 ГБ оперативной памяти LPDDR4X для смартфонов Компания Samsung уже представила смартфоны Galaxy S10+ и Galaxy Fold с 12 ГБ оперативной памяти в прошлом месяце. Сегодня она объявила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ.  ***

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

[Перевод] Очередной шаг к концу закона Мура Samsung и TSMC переходят на технологический процесс 5 нм Два крупнейших производителя — Taiwan Semiconductor Manufacturing Co. (TSMC) и Samsung в апреле анонсировали о восходе на следующую ступеньку лестницы закона Мура. Сначала выступила TSMC, объявив о переходе техпроцесса...

Intel готовится к массовому производству 5G-модемов Корпорация Intel начнёт работать над инженерными проектами в рамках организации массового производства 5G-модемов уже в следующем квартале. По крайней мере, об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Изображения Intel В конце прошлого года, напомним...

Faraday Future нашла деньги, но все равно не выпустит «убийцу Tesla». Почему? Китайский производитель автомобилей Faraday Future, которого до недавнего времени считали главным конкурентом Tesla Илона Маска, все же смог найти нового партнера для производства электромобилей. Компания создаст совместное предприятие с игровой корпорацией The9, которая и ...

Apple нарастит производство iPhone 11 из-за высокого спроса Компания попросила партнеров увеличить запланированные объемы выпуска смартфонов iPhone 11 на 10%. Этот шаг свидетельствует об успешности новой ценовой стратегии, выбранной главой Apple Тимом Куком.

Все проблемы позади. Электромобиль Faraday Future FF91 готов к массовому производству Изначально компания Faraday Future планировала выпустить свой первый полностью электрический автомобиль в 2017 году, а позже расширить модельный ряд еще несколькими впечатляющими автомобилями. Однако в итоге компании пришлось столкнуться с проблемами, с отсутствием фина...

Настольные процессоры AMD Ryzen 4000-й серии выйдут только к концу 2020 года Компания AMD сейчас работает над настольными процессорами нового поколения, которые будут представлены в серии Ryzen 4000. Согласно свежим данным ресурса MyDrivers, полученным из собственных источников, новые процессоры, а также новая платформа для них, дебютируют лишь к кон...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Тайваньских производителей, перемещающих производство, призвали внедрять концепцию Industry 4.0 Тайваньские производители должны учитывать возможность развертывания автоматизированных производственных линий при перемещении своих заводов и внедрять концепцию Industry 4.0 для повышения долгосрочной конкурентоспособности. Такой призыв прозвучал на форуме, организован...

По стопам Galaxy Fold: У Samsung возникли проблемы с Galaxy Note 10 Для Samsung давно стало привычным делом отзывать свои смартфоны уже после релиза. Эту недобрую традицию начал Galaxy Note 7, аккумуляторы которого самопроизвольно загорались из-за утечки лития, подхватил Galaxy Fold, чей дисплей выходил из строя уже на вторые сутки использо...

Официально: видеокарты AMD Radeon Navi выйдут в третьем квартале и будут стоить дешевле Radeon VII Компания AMD опубликовала финансовый отчет за первый квартал текущего года и подтвердила свои планы на ближайшую перспективу. В них, как и прежде, значатся видеокарты Navi и серверные процессоры Rome на архитектуре Zen 2. Все эти продукты будут выпущены в третьем кварта...

Подразделение GM, разрабатывающее автопилот, скоро получит $2,25 млрд инвестиций Это заняло больше года, но принадлежащая General Motors (GM) компания, занимающаяся созданием автопилота для машин, близка к получению гигантского денежного вливания. Cruise Automation подтвердила изданию Reuters, что Комитет по иностранным инвестициям в США (CFIUS) одобрил ...

Intel готова начать массовое производство память MRAM Компания Intel показала, что она может вывести на рынок совершенно новый продукт. Накопители Optane уже достаточно распространены, хотя речи о замене обычных SSD, конечно, не идёт. Как сообщает источник, Intel уже готова к массовому производству ещё одного нового проду...

TSMC инвестирует $19,5 млрд для выпуска 3-нм процессоров c 2023 года В следующем году TSMC планирует начать производство чипов по 5-нм техпроцессу. Первым 5-нм чипом, скорее всего, станет Snapdragon 875, который выйдет в 2021 году. Возможно, что и Apple A14 также будет разрабатываться пр 5-нм техпроцессу. 3-нм техпроцессТеме не менее…

Утечка дает представление о процессорах Intel Ice Lake-SP и Cooper Lake-SP, включая сроки выхода В сети появились сведения о новейших серверных процессорах Intel. Выход процессоров Cooper Lake-SP, которые станут первыми представителями новой платформы Whitley, планируется во втором квартале 2020 года. Для Cooper Lake-SP будет характерно значение TDP 300 Вт. Ядер бу...

«Мираторг» запланировал открыть производство молока под своим брендом осенью 2019 года Впервые компания заговорила об этом в 2017 году, тогда инвестиции в проект оценивались в $400 млн.

OneWeb привлекла $1,25 млрд инвестиций для массового производства спутников В раунде финансирования, о котором было объявлено 18 марта 2019 года, участвовали SoftBank, Qualcomm, правительство Руанды, а также мексиканская группа компаний Grupo Salinas. В число других инвесторов также вошли Intelsat, Bharti Enterprises и Virgin Group."Этот раунд ...

NVIDIA пока не считает целесообразным переход на 7-нм техпроцесс Всё дело в экономике.

Tesla ищет кредит на 2 миллиарда долларов Согласно последним данным аналитической компании JL Warren Capital, специализирующейся на китайском финансовом рынке, компания Tesla в настоящий момент пытается привлечь 2 миллиарда долларов (более 13 миллиардов юаней) частных инвестиций на строительство в Шанхае огромной ф...

AMD развеяла миф о четырёх потоках на ядро в процессорах с архитектурой Zen 3 Самым настойчивым слухом последних месяцев, имеющим отношение к будущим процессорам AMD, можно считать переход в рамках архитектуры Zen 3 от двух потоков на ядро к четырём. Предполагалось, что подобная метаморфоза принесёт пользу в серверном сегменте, где производительность ...

Открылся завод стиральных машин Haier в Набережных Челнах 28 августа 2019 года корпорация Haier открыла в Набережных Челнах еще одно свое производство стиральных машин. В будущем количество стиральных машин достигнет 1 млн в год. На заводе будут трудиться более 380 человек, на данный момент — 150 сотрудников. ООО «Хайер Апплаенси...

Это ложь. Huawei опровергла слухи о прекращении производства смартфонов Китайский производитель телекоммуникационного оборудования и смартфонов компания Huawei опровергла недавние слухи о том, что Foxconn остановила производственные линии, на которых собирались смартфоны Huawei. Данный слух пустило китайское издание South China Morning Post...

Samsung признала проблемы с дисплеем Galaxy Fold и откладывает продажи смартфона Samsung официально объявила, что откладывает выпуск гибкого смартфона Galaxy Fold на неопределенный срок из-за проблем с дисплеем. Компания признает, что Galaxy Fold «нуждается в дальнейших улучшениях» и поэтому запланированный выпуск откладывается. Никакой новой даты…

Meizu работает над Note 9 с процессором Snapdragon 6150 и 48 Мп камерой  Представитель компании Meizu официально подтвердил работу над новым устройством в одной из самых популярных линеек производителя — Meizu Note 9. Работать он будет на еще неанонсированном чипе — Snapdragon 6150. Из других особенностей ожидается 48 Мп камера, которая уже стои...

Samsung Galaxy A70S будет пионером с 64 Мп камерой В этом месяце в портфолио датчиков изображения Samsung появился новичок — ISOCELL Bright GW1 разрешением 64 Мп. Были предположения, что он может дебютировать в планшетофоне Samsung Galaxy Note 10, который должен выйти в августе нынешнего года. Но впоследствии авторитет...

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

Запаситесь терпением: 10-нм процессоров Intel для десктопов не будет до 2022 года Как следует из просочившихся в прессу документов о ближайших планах компании Intel на процессорном рынке, будущее компании вырисовывается далеко не в радужных тонах. Если документы верны, то увеличение числа ядер в массовых процессорах до десяти штук произойдёт не ранее 2020...

Индийский завод Foxconn выпускает только 1 млн iPhone в год Производство iPhone в Индии на заводе Foxconn было официально запущено в августе. Теперь же стало известно о том, что из-за проблем Apple с экспортом из Индии производственная линия завода Foxconn будет обслуживать только местный рынок.

Michelin и General Motors показали безвоздушную шину Uptis Prototype, которая появится на серийных авто уже через пять лет Концепты безвоздушных автомобильных шин мы видели уже не раз. Прототипы нам показывают на различных выставках, но о серийном производстве зачастую молчат. И вот теперь компании Michelin и General Motors заявили, что их решение может стать коммерческим продуктом уже в 20...

Появились первые тесты производительности MediaTek Dimensity 1000 В просторах интернета появились первые тесты нового топового процессора MediaTek Dimensity 1000. Процессор MediaTek Dimensity 1000 уже успел побывать во всех популярных бенчмарк-тестах. В тесте Geekbench процессор набирает 3811 баллов в одноядерном режиме и 13136 баллов в ...

Разработчик 3D-печатных протезов Open Bionics намеревается выйти на международный рынок Британская компания Open Bionics, занимающаяся разработкой и производством бионических протезов с использованием технологий 3D-печати, получила инвестиции в размере четырех с половиной миллионов фунтов стерлингов и планирует выйти на международный рынок.  Подробнее...

Кому процессор Intel за $15 500? Компания Intel сегодня без лишнего шума добавила в свой ассортимент новый процессор Intel Xeon Platinum 8284, который является самым производительным в линейке Xeon Platinum 8200 (Cascade Lake-SP). Новинка предназначена для серверов, включая многопроцессорные конфигурации. К...

Tesla сократит 3000 человек и сосредоточится на производстве Model 3 Tesla сокращает 7% своей рабочей силы. Компания сообщила об уменьшении численности персонала в письме всем сотрудникам, а также разместила информацию на своем веб-сайте. В электронном послании генеральный директор компании Илон Маск говорит, что основное внимание должно быт...

Новейшая технология позволит заряжать смартфоны от сидений автомобилей В сети появилась информация о том, что американский производитель автомобилей Ford планирует заняться внедрением технологии 3D Knitting при производстве чехлов для сидений авто. В числе прочих преимуществ новинки стоит отметить возможность внедрения беспроводной зарядки прям...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Oppo покажет смартфон с 10-кратным зумом через неделю Вице-президент компании Oppo Шен Йирен (Shen Yiren) оставил в социальной сети Weibo сообщение о том, что смартфон с 10-кратным оптическим зумом будет представлен на выставке Mobile World Congress 2019. Также представители компании заявили, что смартфоны с подобной техно...

AMD представила первую в мире 7-нм потребительскую видеокарту Radeon VII Компания AMD на выставке CES 2019 сделала сразу несколько анонсов, в том числе представила первую в мире потребительскую видеокарту, построенную по 7-нм техпроцессу — Radeon VII. В её основе лежит графический процессор на архитектуре Vega второго поколения, который включает...

Лучшее предложение по остеклению квартир Качественные пластиковые окна способны создать необходимый климат и атмосферу в доме. Выбор данного товара очень велик и порой потребителям очень сложно сделать вой выбор, но теперь с появлением окон от компании «ОКНА ДОМ» данная проблема решена. На сайте этой компании https...

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

AMD откладывает выпуск Ryzen 9 3950X, но обещает новый Ryzen Threadripper уже в этом году Многие энтузиасты с нетерпением ожидают пополнения семейства массовых процессоров с микроархитектурой Zen 2 флагманской моделью — 16-ядерным процессором Ryzen 9 3950X. Во время представления семейства Ryzen 3000 в июле его выход был обещан на сентябрь. Однако сегодня AMD раз...

TSMC: закон Мура живет и здравствует Филип Вонг, руководитель исследований тайваньской компании, убежден: прогресс в технологиях производства микросхем возможен, по крайней мере, до 2050 года.

LG Display начала массовое производство OLED-панелей в Китае В пятницу руководство компании LG Display торжественно ввело в строй завод по выпуску панелей OLED в Китае в городе Гуанчжоу. Первоначально планировалось, что этот завод будет обрабатывать подложки для выпуска LCD-панелей. Однако год назад перед началом установки производств...

Google покупает Fitbit за $2,1 миллиарда Google приобрела американскую корпорацию Fitbit, специализирующуюся на производстве носимых устройств. Это официально подтвердили обе компании. Общая сумма сделки составляет приблизительно $2,1 миллиарда ($7,35 за акцию). В конечном итоге сделка станет полезной для обеих…

Конец эпохи: Sony прекращает производство PlayStation Vita, не планируя больше выпускать портативные консоли Компания Sony на своем японском сайте опубликовала официальное заявление, в котором говорится о том, что в ближайшее время будут прекращены поставки портативных консолей PlayStation Vita в торговые сети. Также известная японская компания подтвердила предыдущую информац...

Руководитель производства электромобилей Tesla во Фримонте покинул компанию Питер Хоххолдингер (Peter Hochholdinger), вице-президент Tesla по производству на заводе во Фримонте, покинул компанию после трех лет работы в ней. Об этом сообщает Reuters со ссылкой на собственный источник. Хоххолдингеру было поручено улучшить производство седана Tesl...

Intel планирует снижение цен, чтобы конкурировать с AMD Не так давно компания AMD показал рынку свои процессоры Ryzen 3000, которые задерут планку производительности ещё выше, что было очень позитивно воспринято энтузиастами и любителями компьютерного железа. Позитива сюда добавляет также то, что это усилит конкуренцию между 2 ...

Nokia готова заменить Huawei Как известно, США объявили настоящую экономическую войну китайской компании Huawei (крупнейшему в мире производителю телекоммуникационного оборудования), включив ее в черный список. Это решение вызвало цепную реакцию, в результате которой несколько крупных технологических ко...

Официально: Apple покупает бизнес Intel по производству модемов за $1 млрд Компания Apple официально подтвердила информацию о покупке бизнеса Intel по производству модемов для смартфонов за $1 млрд. Ожидается, что сделка будет закрыта в четвёртом квартале 2019 года. В общей сложности к компании из Купертино присоединится 2200 сотрудников Intel. По...

TSMC ускоряет переход на 5 нм Компания TSMC приступила к рисковому производству чипов по 5 нм нормам. К массовому производству эта технология будет доступна в первой половине 2020 года.

Производство российской военной спецтехники перенесут в Чечню Глава Чечни Рамзан Кадыров опубликовал на своей странице во "ВКонтакте" информацию о том, что на предприятии "Болат", находящемся на территории Чеченской Республики, запланирован запуск производства специальной автомобильной техники.

Архитектура AMD Zen — это надолго. Компания уже работает над Zen 5 Как известно, в следующем году компания AMD выпустит процессоры Ryzen и Epyc, основанные на архитектуре Zen 3. Такие CPU будут производиться по улучшенному семинанометровому техпроцессу и сохранят имеющееся сейчас количество ядер. За Zen 3 выйдет Zen 4, а затем и Zen 5....

Fujitsu опубликовала полный список процессоров Coffee Lake Refresh На данный момент компания Intel официально представила не так уж много процессоров девятого поколения, также известных под кодовым названием Coffee Lake Refresh. О других процессорах пока что появлялись лишь слухи и утечки, однако компания Fujitsu «слила» информацию сразу о ...

Мобильные процессоры Tiger Lake-U будут поддерживать память LPDDR5 В таможенной базе данных Евразийской экономической комиссии (ЕЭК) были зарегистрированы наборы для разработчиков с ещё не вышедшими процессорами Tiger Lake-U. И благодаря этому выяснилось, что данные мобильные процессоры будут поддерживать работу с памятью типов LPDDR4X и LP...

Panasonic прекращает производство LCD к 2021 году Panasonic объявляет о том, что к 2021 году выйдет из производства ЖК-дисплеев. Компания была ведущим производителем телевизоров, но из-за острой конкуренции со стороны китайских и южнокорейских производителей, ранее прекратила производство ЖК-телевизоров в 2016 году. Вместо...

Huawei Mate 30: характеристики и время анонса Huawei Mate 30 станет очередной попыткой компании на соперничество с флагманами Samsung или Apple. Ожидалось, что новое поколение имиджевого флагмана представят в октябре нынешнего года. Но теперь есть предсказания, что компания ускорилась, и анонс линейки Mate 30 должен сос...

GlobalFoundries и ARM спроектировали тестовый чип с объёмной упаковкой Компания GlobalFoundries отказалась от гонки за техпроцессами и замерла на отметке 12 нм, но это не означает, что она не будет внедрять передовые технологии объёмной упаковки чипов. За счёт 3D-компоновок даже старый техпроцесс можно использовать таким образом, что результиру...

Маск пообещал инвесторам начать массовое производство Model Y в следующем году Массовое производство электрического кроссовера Tesla Model Y, о котором глава американской компании Илон Маск впервые рассказал еще в 2015 году, начнется к концу 2020 года, говорится в корпоративном письме, разосланном акционерам компании на этой неделе в среду, сообщает п...

Дорогие процессоры AMD оказались востребованнее дешевых 12-ядерный процессор Ryzen 9 3900X производства AMD уже много месяцев как официально представлен и поступил в продажу, но несмотря на это, устройство все еще находится в заметном дефиците, в первую очередь из-за своего соотношения цена/мощность.

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

Airbus пережила серию кибератак и лишилась коммерческих секретов Как сообщает новостное агентство Agence France Presse (AFP) со ссылкой на неназванные источники в силовых структурах, хакеры добрались до данных Airbus через поставщиков компании - производителя самолётных двигателей Rolls-Royce Holdings, инженерную фирму Expleo Group и др. ...

Начато производство новой Skoda Octavia Skoda Компания Skoda сообщила о старте производства Octavia нового поколения в городе Млада-Болеслава, Чехия. На данный момент собирается лишь универсал, однако уже в следующем месяце компания запустит сборку и лифтбека. Skoda рассчитывает, что предприятие в Млада-Болес...

Huawei P Smart 2019 и его функции Компания Huawei выпустила современный смартфон. Сзади расположена стеклянная крышка, доступная в нескольких вариантах расцветки, включая градиентный с переходом от синего к бирюзовому. Смартфон оснащён классическим разъёмом micro-USB и 3,5-м аудиовыходом. Также он оборудова...

Смартфон Energizer с аккумулятором на 18 000 мАч не выйдет Впервые смартфон Energizer Power Max P18K Pop с огромной батареей ёмкостью 18 000 мАч показали на MWC 2019. Тогда компания Avenir Telecom, лицензирующая торговую марку Energizer, планировала запустить устройство в массовое производство до конца лета текущего года. После…

Полупроводниковое производство Panasonic достанется тайваньской компании Nuvoton Technology Компания Panasonic объявила, что продаст свое производство полупроводниковой продукции тайваньской компании Nuvoton Technology за 250 миллионов долларов. Японский электронный гигант изо всех сил пытается увеличить прибыль в условиях отсутствия двигателей роста, а указан...

Japan Display спасут от банкротства китайские и тайваньские инвесторы Японская компания Japan Display, поставляющая Apple жидкокристаллические панели и четвертый год терпящая убытки, получит до 80 млрд иен в качестве помощи от группы китайских и тайваньских инвесторов. Об этом сообщил источник со ссылкой на информационное агентство Kyodo....

Опубликованы характеристики процессоров AMD Ryzen 3000: от 6 ядер у Ryzen 3 3300 до 16 ядер у Ryzen 9 3800X AMD запланировала специальное мероприятие на 9 января, оно пройдет в рамках выставки CES 2019. В этот день компания представит новое поколение процессоров Ryzen – Ryzen 3000, но уже сейчас источник рассекретил их характеристики. Случайно это получилось или нет, но...

TSMC начнёт массовое производство 5-нм чипов в ближайшие месяцы Контрактный производитель полупроводников Taiwan Semiconductor Manufacturing Company (TSMC) близок к запуску 5-нанометрового конвейера, сообщает веб-издание China Times. Серийный выпуск микросхем по новой технологии он планирует наладить уже в первом квартале 2020 года. В...

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

Apple увеличит производство iPhone, пока Huawei страдает о санкций Как известно, на данный момент Huawei испытывает ряд трудностей, и этой ситуацией решила воспользоваться Apple и несколько увеличить производство смартфонов iPhone. Данная информация поступила от аналитической компании Cowen. Подробнее об этом читайте на THG.ru.

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

Оцениваем планы AMD на ближайшие полтора года У компании AMD нет каких-то технических проблем с освоением новых техпроцессов и архитектур, как у […]

Yangtze Memory начала массовое производство 64-слойных микросхем 3D NAND Китай стремится к технологической независимости, вкладывая значительные средства в полупроводниковую промышленность, что позволит уменьшить зависимость от иностранного импорта и технологий. Для достижения этой цели в 2016 году была создана компания Yangtze Memory Technologie...

Ошибки Asus привели к очень слабым продажам Asus ROG Phone II Тайваньская компания Asus является одним из первых производителей телефонов, выпустивших игровой смартфон Asus ROG Phone, который летом этого года получил преемника в лице Asus ROG Phone II. Новые сведения из Китая указывают на то, что компания Asus рассчитывает до конц...

Почти половина штата Huawei занимается исследованиями и разработками 4 ноября в Париже прошел уже седьмой ежегодный день инноваций Huawei Innovation Day. Сюй Вэньвэй (Xu Wenwei), директор Института стратегических исследований Huawei, заявил на пресс-конференции, что число сотрудников отдела исследований и разработок Huawei достигает 80 0...

Anker также представила кабели с разъемами USB-C и Lightning Напомни, перед Consumer Electronic Show 2019 был представлен первый кабель с разъемами USB-C и Lightning, который будет выпущен не компанией Apple. Речь идет об аксессуарах производства Belkin ценой от 25 до 35 долларов. Как мы уже сообщали, появление новых подобных ка...

Революционное стекло для будущих смартфонов Samsung Galaxy Fold уже производится Месяц назад в Сети появилась информация о том, что у Samsung уже якобы имеется поставщик революционного стекла для гибких смартфонов. Напомним, сейчас все подобные устройства для защиты экрана используют пластик, а компания Corning весной и вовсе заявила, что подходящие...

Samsung начала массовое производство чипсетов 5G Samsung Electronics объявил, что его коммуникационные решения 5G находятся в массовом производстве для новейших мобильных устройств премиум-класса. Коммуникационные решения 5G включают в себя Exynos Modem 5100, Exynos RF 5500 (новый однокристальный радиочастотный прием...

Foxconn не прекращал производство смартфонов Huawei Одним из последствий развернувшейся войны против Huawei, стала остановка ряда конвейеров завода Foxconn, на которых осуществлялась сборка ряда моделей компании. Информацию о сокращении производства распространило издание South China Morning Post заявив, что на этот шаг сборщ...

Представлен процессор Intel NNP-T: 24 тензорных ядра, 32 ГБ памяти HBM2 и 27 млрд транзисторов Пару месяцев назад компания Intel представила новый продукт семейства Nervana — процессор NNP-I. Это решение основано на обычном процессоре Ice Lake, из которого «убрали всё лишнее» и добавили блоки DSP. Сегодня на мероприятии Hot Chips 31 компания Int...

Volkswagen подталкивает партнеров по выпуску аккумуляторов к строительству «гигафабрик» Компания Volkswagen подталкивает своих партнеров по совместному предприятию, в том числе SK Innovation (SKI), к созданию «гигафабрик» — заводов по производству аккумуляторных батарей для электромобилей, способных за год выпустить аккумуляторы суммарной...

Воз и ныне там. Samsung признала, что проблемы Galaxy Fold так и не решены Операторы и магазины отменяют предзаказы на Samsung Galaxy Fold Пока все ждут анонса даты выхода Samsung Galaxy Fold, оператор AT&T отменил предварительные заказы на смартфон, оснащенный сгибающимся экраном. Ранее аналогичное действие совершила крупная сеть магазин...

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

Боб Сван: Мы подводим клиентов, они вправе ожидать от нас большего Неожиданный и продолжительный дефицит процессоров Intel смазал результаты рекордного квартала. Доходы Intel в третьем квартале, наверное, оказались рекордными, но руководству компании на подведении итогов большую часть времени пришлось извиняться. Да-да, именно извинят...

TSMC начнет производство 5-нм чипов в марте 2020 года Ранее в 2019 году компания приступила к массовому производству микросхем по технологическому процессу 7 нм+ второго поколения.

Apple сняла с производства iPhone 6 Apple официально прекратила производство старых моделей до серии iPhone 6S. Стартовыми моделями теперь будут iPhone 7 и iPhone 8

Intel готовится к переходу на 7 нм техпроцесс и не верит в конкуренцию со стороны AMD Самое главное не паниковать, глядишь и пройдёт всё само

Процессоры Comet Lake: партнёр Intel намекнул на сроки появления настольных моделей Опубликованная в середине лета ресурсом XFastest новость о сроках анонса процессоров Comet Lake-S в исполнении LGA 1200 уже стала каноническим источником информации подобного рода, хотя за прошедшее с июля время много могло поменяться. Напомним, согласно выдержкам из летних ...

iPhone SE 2 получит топовую платформу A13, производство смартфона стартует в декабре В Сети появились новые подробности о перспективном смартфоне iPhone SE 2. Как сообщил информированный китайский инсайдер, Apple начнет затоваривать склады новинкой уже в декабре. Это значит, что смартфон должен быть запущен в производство в самое ближайшее время. Хотя р...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

В следующем квартале LG Display удвоит выпуск прозрачных дисплеев OLED Южнокорейская компания LG Display намерена использовать интерактивное сенсорное управление и другие передовые функции в своих коммерческих дисплеях, чтобы увеличить технологический отрыв от конкурентов на этом рынке. Об этом сообщил источник со ссылкой на слова представ...

Mercedes-Benz построит завод по производству аккумуляторных батарей для электромобилей в Польше, вложив 200 млн евро инвестиций Немецкий автопроизводитель Mercedes-Benz будет производить аккумуляторные батареи для своих электромобилей в Польше, заявил премьер-министр этой страны Матеуш Моравецкий. Размер инвестиций составит 200 млн евро, новое производство создаст порядка 300 новых рабочих мест. ...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

AIDA64 получила поддержку процессоров Ryzen 4000-й серии В новую версию популярной утилиты AIDA64, предназначенной для диагностики, тестирования и получения информации о системе, была добавлена поддержка ряда готовящихся процессоров AMD. Это будущие гибридные процессоры AMD Renoir и настольные процессоры Ryzen четвёртого поколения...

Apple вдвое уменьшила объем производства iPhone XS Max Осенью источники из Китая сообщали, что Apple заметно снизила объемы заказов на iPhone XS и XS Max, а производство XR вообще было приостановлено почти вполовину от изначального. Кроме того, компания предоставляет некоторым операторам субсидии, за счет которых они снижают ст...

PlayStation 5: название и сроки выхода новой игровой приставки Sony подтверждены официально [+ подробности о новом контроллере] Sony только что положила конец многочисленным предположениям, слухам и домыслам касательно названия и сроков выхода игровой консоли Sony PlayStation нового поколения. Японский производитель подтвердил, что новая консоль будет называться PlayStation 5 (да ладно!) и выйдет на ...

Преемник eFlash готов. Samsung Electronics начинает коммерческую поставку eMRAM Компания Samsung Electronics объявила о начале массового производства своего первого коммерческого продукта со встроенной магнитной памятью с произвольной выборкой (eMRAM) на основе 28-нанометрового технологического процесса 28FDS, построенного на использовании полность...

Intel инвестирует почти 11 миллиардов долларов в новую фабрику в Израиле Компания Intel инвестирует 10,9 млрд долларов в новую полупроводниковую фабрику, которая будет построена в Израиле. Об этом сообщил министр финансов страны. «Глобальный менеджмент Intel сообщил нам о своем решении инвестировать еще 40 миллиардов шекелей в...

Tesla обвиняет своих бывших сотрудников в краже коммерческой тайны Tesla подала два судебных иска против своих бывших сотрудников и стартапа по разработке беспилотных автомобилей Zoox за якобы кражу коммерческой тайны. Представители компании утверждают, что четверо экс-сотрудников похитили конфиденциальную информацию и коммерческие секреты ...

Смартфон TCL Plex с 6,53-дюймовым FHD дисплеем и Snapdragon 675 представлен официально Китайский компания TCL входит в число ведущих мировых производителей телевизоров, а также имеет опыт производства телефонов для брендов BlackBerry и Alcatel. Теперь он наконец-то готов выпустить смартфон под собственной торговой маркой. ***

Двигатель РД-191 для ракеты «Ангара» подешевеет в полтора раза Пермское предприятие «Протон-ПМ» (входит в НПО «Энергомаш») рассчитывает снизить стоимость двигателя РД-191 в полтора раза после начала серийного производства. Об этом, как сообщает ТАСС, рассказал исполнительный директор «Протон-ПМ» Дмитрий Щенятский. Изображения НПО «Энерг...

Tesla резко наращивает объемы производства электромобилей Компания Tesla, похоже, собирается не только выполнить обещания по производству тысяч электромобилей в неделю, она вполне способна и перевыполнить план. Недавно представители компании заявили о расширении производства на фабрике во Фремонте, Калифорния. К концу квартала ко...

Из-за неготовности метрополитена запуск системы е-билета перенесли на апрель 2020 года Как сообщалось ранее, на 1 ноября был запланирован запуск системы единого электронного билета в Киеве. Но реализовать данный проект к указанному сроку не удалось. Потому его внедрение перенесли на более поздний срок. Как сообщил в недавнем интервью новый советник мэра Киева ...

Intel прекращает выпуск практически всех настольных процессоров Core 7-го поколения Компания Intel опубликовала очередное «Уведомление об изменении продукта», получившее номер 117180-00. В нем производитель сообщает о прекращении выпуска практически всей серии процессоров Core 7-го поколения для настольных ПК (Kaby Lake). Это относится к пр...

Xiaomi скоро представит смартфон CC9 Pro с камерой на 108 Мп. Китайский производитель Xiaomi готовит еще один новый смартфон под названием CC9 Pro. Главной особенностью новинки станет камера на 108 МП. К смартфону также еще приписывают игровой процессор Snapdragon 730G, который разработан по 8-нанометровому техпроцессу и имеет два выс...

Samsung инвестирует $116 млрд в разработку и производство микропроцессоров в ближайшие 10 лет В 2018 году подразделение по производству чипов принесло компании 75% всего операционного дохода, а новые инвестиции, по мнению Samsung, помогут обогнать Qualcomm и TSMC.

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

SK Hynix планирует потратить 107 млрд долларов на строительство четырех фабрик по выпуску микросхем памяти Компания SK Hynix сообщила о намерении потратить 107 млрд долларов на строительство четырех фабрик по выпуску микросхем памяти. Так южнокорейский производитель этой продукции намерен сохранить свою конкурентоспособность перед лицом усилий Китая по превращению страны в л...

Беспроводная зарядная станция Apple выйдет в этом году В последние несколько недель в сети снова стали активно появляться разнообразные слухи, касающиеся беспроводной зарядкой станции Apple. Сразу несколько сетевых источников сообщило о том, что купертиновцы решили не забрасывать AirPower, и сейчас компания активно подгоняет сво...

Наконец-то. Струйная технология OLED находится на пороге серийного производства Технология производства дисплеев из органических светоизлучающих диодов (OLED) методом струйной печати должна войти в массовое производство в следующем году, а в период 2020 по 2024 год ожидается расширение соответствующих мощностей в 12 раз. Такими данными располагают ...

[Перевод] AMD Ryzen Matisse третьего поколения: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК AMD Ryzen Matisse третьего поколения выйдет в середине 2019 года: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК Моргните, и вы уже рискуете пропустить это событие: основной доклад AMD в этом году стал вихрем анонсов прайм-тайма для компании. Идея ясна: AMD пообещала и...

Обзор процессора AMD Ryzen 5 3600X Компания AMD недавно начала продажи десктопных процессоров Ryzen 3-го поколения. Новые CPU имеют целый ряд структурных и функциональных улучшений. Это и архитектура Zen 2, и 7-нанометровый техпроцесс изготовления кристаллов, и радикально увеличенный объем кеш-памяти, и улучш...

Huawei Mate X появится на рынке в срок На волне негатива в адрес прочности Samsung Galaxy Fold в сети появились слухи, что Huawei приняла решение перенести старт продаж своего складного смартфона Mate X, чтобы довести до ума новинку и провести ряд тестов, чтобы быть уверенными в том, что она самодостаточна и дост...

Видеокарты Nvidia Ampere будут основаны на семинанометровых GPU и выйдут в следующем году Ещё до выхода актуальных видеокарт Nvidia поколения Turing в Сети нередко появлялись данные о том, что поколение будет называться Ampere. В итоге мы получили иное название, но карты Ampere нас всё же ждут. Согласно новым слухам и данным, это будет новое поколение, главн...

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

8000 человек помогут TSMC перейти к 3 нм Компания TSMC работает не только над 5-нанометровой технологией, но уже думает о технологии следующего поколения. Марк Лю (Mark Liu), исполнительный президент TSMC, объявил, что компания наймет 8000 сотрудников для нового центра исследований и разработок, который должен...

Foxconn пытается продать линию 10.5G, предназначенную для выпуска ЖК-панелей Ссылаясь на представителей цепочки поставок, источник утверждает, что компания Foxconn Group провела переговоры с несколькими китайскими производителями плоских панелей, включая Chongqing HKC Optoelectronics и China Star Optoelectronics Technology (CSOT), о возможной пр...

Xiaomi сказала прощай MediaTek? Чипмейкер утверждает, что нет Qualcomm стала ключевым поставщиков чипов для смартфонов Xiaomi. Но периодически модели с процессорами MediaTek у компании случались. Одна из последних — Xiaomi Mi Play с Helio P35. Выход этого смартфона выглядит попыткой Xiaomi реабилитировать тайваньского чипмей...

Intel на CES 2019: новая серия Ice Lake и обновления в Coffee Lake-S Refresh Как один из крупнейших производителей процессоров в мире, корпорация Intel попросту не могла пропустить всемирную выставку потребительской электроники Consumer Electronics Show (CES) 2019. В рамках этого мероприятия американская компания презентовала новые процессоры серии C...

Стало известно, куда ушел бывший руководитель производства Tesla На прошлой неделе стало известно, что Питер Хоххолдингер (Peter Hochholdinger), вице-президент Tesla по производству на заводе во Фримонте, покинул компанию после трех лет работы в ней. Вчера компания Lucid Motors сообщила, что Хоххолдингер займет в ее штате пост вице-п...

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

Следующим электромобилем Jaguar станет седан XJ По сообщениям сетевых источников, вторым полностью электрическим автомобилем компании Jaguar Land Rover станет обновлённая версия седана XJ. Компания намерена инвестировать порядка $1,25 млрд на переоснащение производственного завода в Великобритании. Столь значительные влож...

Новый флагман Samsung под угрозой. Производство Galaxy Note10 пострадало из-за торговой войны Кореи с Японией Затянувшиеся торговые трения между Южной Кореей и Японией уже сказались на Samsung Electronics. Компания была вынуждена снизить темпы производства однокристальных систем для будущего флагманского смартфона Galaxy Note10. Об этом сообщило корейское издание The Inves...

Apple подтвердила покупку бизнеса Intel по производству модемов для смартфонов за $1 млрд Компания получит интеллектуальную собственность, оборудование и 2200 сотрудников.

Таиланд запустил тестовый стенд Huawei 5G, несмотря на предупреждения США Таиланд запустил в пятницу тестовый стенд Huawei Technologies 5G, несмотря на то, что Соединённые Штаты призывают своих союзников запретить китайскому телекоммуникационному гиганту строить у себя мобильные сети следующего поколения. REUTERS/Athit Perawongmetha Тестовый стенд...

В этом году AUO планирует построить линию 6G по выпуску панелей OLED методом струйной печати По данным отраслевых источников, компания AU Optronics (AUO) планирует до конца 2019 года построить линию по выпуску панелей OLED методом струйной печати, рассчитанную на положки 6G. В текущем полугодии тайваньский производитель установит тестовую линию 3,5G. AUO разра...

У 76% производителей нет опыта внедрения аддитива - почему это хорошо По оценкам экспертов, ежегодно отечественный рынок аддитивных технологий растет на 20% и достигнет 14 млрд рублей в 2023 г. И так во всём мире — исследование EY показало, что 76% промышленных компаний не имеют опыта внедрения аддитивных технологий (АТ) в свое производство...

Еще больше Xiaomi Mi 9. Компании Foxconn в производстве нового флагмана помогает BYD Контракт на сборку флагманских смартфонов Xiaomi Mi 9 получил крупнейший китайский производитель компания Foxconn, на заводах которой выпускается огромное количество моделей популярных смартфонов. Однако спрос на Xiaomi Mi 9 оказался настолько большим, что Fox...

Стартапы в зоне .TECH получили 2 миллиарда долларов Как сообщила компания Radix Registry, стартапы, размещающие свои сайты в зоне .TECH, за два года получили инвестиции общей суммой в два миллиарда долларов.На ресурсе CrunchBase (база данных по стартап-рынку) Radix нашла 650 компаний, сайты которых размещены в зоне .TECH. 170...

Эксперт: Китай опережает США по инвестициям в инфраструктуру 5G Китай опережает Соединённые Штаты по инвестициям в инфраструктуру 5G, отметила эксперт в сфере инноваций и венчурных трендов Ребекка Фаннин (Rebecca Fannin) в ходе проходящей в Гуанчжоу (Китай) под эгидой CNBC конференции East Tech West. Zhong Zhi/Getty Images для CNBC Inter...

Мобильные процессоры Intel 10 поколения обгоняют последние чипы AMD Процессоры под кодовым именем Ice Lake появлялись на свет долго и мучительно. Intel несколько лет подряд испытывает трудности с переходом на более современный техпроцесс 10 нанометров и менее. В тоже время главный конкурент — AMD, — в 2019 году успешно анонсировал и затем вы...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

Samsung заключили сделку с производителями гибкого стекла. Его используют в Galaxy Fold 2? Galaxy Fold имеет все шансы стать лучше предшественника Первое складное устройство от Samsung в лице аппарата Samsung Galaxy Fold сложно назвать удачным. Ведь даже сам технологический гигант признал, что смартфон имеет ряд существенных недостатков. Однако южнокорейский новос...

Quanta начинает поставку x86-совместимых серверов для сетей 5G Тайваньский производитель оборудования Quanta Computer, как сообщается, начал поставки серверов на x86-совместимых процессорах производства Intel новому японскому оператору связи Rakuten Mobile Network (RMN), который будет использовать их в сетях 5G. В этом проекте Quan...

Volkswagen и JAC построят в Китае завод по производству электромобилей Совместное предприятие немецкого автопроизводителя Volkswagen AG и китайской автомобильной компании Anhui Jianghuai Automobile Co (JAC) планирует инвестировать 5,06 млрд юаней ($750,8 млн) в строительство нового завода по производству электромобилей в восточной части Хэфэя. ...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

Sony официально прекратила производство PlayStation Vita Эпоха PlayStation Vita подошла к концу. Компания Sony сообщила о завершении производства двух последних моделей своей портативной консоли, передает портал Polygon. Vita была выпущена в конце 2011 года. Приставка так и не смогла получить по‑настоящему большой популярност. Все...

Intel считает, что в утрате позиций на процессорном рынке виноват дефицит, а не конкурент Представлявшему интересы Intel на технологической конференции Citi для инвесторов Джейсону Грибу (Jason Grebe) пришлось ответить на ряд вопросов, имевших отношение как к натиску конкурента, так и к проблемам с доступностью собственных 14-нм процессоров. Дефицит последних, на...

Samsung представила мобильный процессор Exynos 980 с интегрированным 5G-модемом Сложно сказать наверняка, когда 5G превратится из технологии будущего в нечто, доступное каждому. Но производители смартфонов активно представляют новинки с поддержкой связи нового поколения. Большая часть компаний используют Snapdragon X50 5G — чип компании Qualcomm. У Huaw...

Samsung начинает массовое производство 12 Гб памяти LPDDR5 Переход на мобильную связь пятого поколения требует от устройств всё больших объёмов памяти.

В 2019 году выйдут новые Apple Watch и AirPods На сайте DigiTimes появилась информация, что система-на-кристалле Apple A13 будет производиться только TSMC по 7-нм техпроцессу и должна лечь в основу смартфонов нового поколения. Также, подтвердился факт, что в 2019 году выйдет новое поколение наушников...

Apple начнёт тестовое производство наушников AirPods во Вьетнаме В прошлом месяце мы сообщали о том, что компания Apple собралась постепенно переносить производственные мощности за пределы Китая и для этих целей обратилась к своим поставщикам с просьбой просчитать последствия такого шага при условии переноса 15-30% мощностей. Если в...

Процессоры Intel Comet Lake выйдут только через год Процессоры AMD Ryzen выходят летом текущего года, и Intel неплохо бы на это чем-то ответить, особенно учитывая ситуацию затягивающегося дефицита CPU. Но, увы, отвечать, похоже, будет совсем нечем: свежие процессоры Comet Lake, согласно только-только появившейся в Сети д...

Huawei объявила о коммерческом внедрении платформы ИИ-вычислений Atlas В ходе мероприятия под названием Intelligent Computing Tour for China (Китайский тур по технологиям учных вычислений), состоявшегося в Шэньчжэне, компания Huawei сообщила об официальном выпуске платформы ИИ-вычислений Atlas на рынок. Это событие открывает новую главу в истор...

Meizu 16s выйдет в апреле и окажется чуть дороже Xiaomi Mi 9 Исполнительный директор компании Meizu Джек Вонг (J. Wong) подытожил имеющуюся на данный момент информацию о новом флагманском смартфоне Meizu 16s, а также обозначил сроки его появления в продаже. Meizu 16s оснащен безрамочным экраном Super AMOLED диагональю 6,2 дюйма с...

Intel анонсировала Stratix 10 GX 10M на 14-нм техпроцессе Сегодня Intel анонсировали Stratix 10 GX 10M - программируемую логическую интегральную схему (ПЛИС), основанную на 14-нм техпроцессе. Новинка может предложить 43,3 миллиарда транзисторов, что делает ее самой большой матрицей данного типа в мире. Ранее самой большой интеграль...

LG Chem обвиняет SK Innovation в краже коммерческих секретов Компания LG Chem и ее дочернее производственное предприятие LGCMI, расположенное в США, подали два иска против южнокорейской компании SK Innovation. Называя себя мировым лидером в производстве литий-ионных аккумуляторных батарей, чьи уникальные технологии используются в...

Intel может снова столкнуться с дефицитом 14 нм процессоров Все помнят дефицит процессоров Intel, возникший прошлой зимой. Он был вызван переходом производства всех микросхем компании на 14 нм нормы, в результате ей просто не хватало мощностей, для обеспечения спроса. И теперь эта ситуация может повториться.

AMD подтвердила 3-е поколение Threadripper В ходе собрания акционеров компания AMD выпустила новую дорожную карту для процессоров Ryzen 3-го поколения, которые теперь запланированы на середину года. Также объявлено о выпуске 3-го поколения Ryzen Threadripper.

Глава Samsung Display подтвердил намерение начать производство панелей QD-OLED В то время как компания Samsung Display, являющаяся дочерним предприятием Samsung Electronics, намеревается сокращать производство жидкокристаллических дисплеев, прилагаются немалые усилия для запуска производства органических светодиодных панелей с квантовыми точками. В одн...

Один эпизод сериала See обходится Apple в $15 миллионов Уже через несколько месяцев компания Apple должна запустить сервис Apple TV+, который предоставит пользователям доступ к большому количеству видеоконтента. При этом производством сериалов и фильмов Apple занимается сама. Более того, компания выделяет на это внушительные сред...

Huawei запускает многорежимный чип для 5G сетей и модем 5G CPE Pro Huawei официально запустила производство многорежимного 5G чипсета Balong 5000 и первого в мире коммерческого 5G модема Huawei 5G CPE Pro. Вместе эти два продукта обеспечат самую высокую скорость беспроводной связи для мобильных устройств, домов, автомобилей и офисов. Подроб...

Samsung выпустила шестое поколение флэш-памяти 3D V-NAND со 136 слоями Корпорация Samsung Electronics отчиталась об успешном запуске в производство 136-слойных микросхем флэш-памяти TLC 3D V-NAND шестого поколения. Говорится о массовом производстве 256-гигабитных (32 ГБ) чипов. Также до конца этого года с конвейера начнут...

В России создается венчурный фонд для высокотехнологичных медицинских проектов Участие в подписании соглашения принял первый заместитель Министра промышленности и торговли Российской Федерации Сергей Цыб и генеральный директор РВК Александр Повалко. Новый фонд создается для увеличения доли высокотехнологической и наукоемкой продукции в общем объеме про...

Samsung закрывает центр по производству процессорных ядер. Что будет с чипом Exynos? Что будет с процессорами Samsung? Южнокорейский технологический гигант Samsung закрывает научно-исследовательский центр по разработке модифицированных процессорных ядер, расположенный в штате Техас. Занимался центр, как нетрудно догадаться, совершенствованием линейки процесс...

Стали известны характеристики еще неанонсированного чипа Snapdragon 865 Каким будет новый процессор Snapdragon? Технологический гигант в лице Qualcomm еще даже официально не объявил о том, что разрабатывает процессорный чип следующего поколения. Однако данные о том, что подобная разработка существует появлялись и ранее. Ну а совсем недавно, благ...

Компьютеры Mac перейдут на процессоры ARM в 2020 году Как известно, в будущем Apple откажется от использования процессоров х86 в компьютерах собственного производства. Но примечательно то, что переход на архитектуру ARM не заставит себя ждать — Apple намерена перейти на новые чипы уже в следующем году. Об этом сообщает и...

iPhone XR теперь производится в Индии Авторитетное издание The Wall Street Journal подтвердило слухи о том, что доступный смартфон Apple открыла производства iPhone XR в Индии. На фото упаковки видна надпись «Designed by Apple in California, Assembled in India», которая гласит, что смартфон «разработан Apple в К...

AMD Ryzen 3000 спустя два месяца после выпуска: Ryzen 7 3700X и Ryzen 9 3900X в дефиците, остальные модели можно купить свободно Процессоры AMD Ryzen 3000 вышли 7 июля, и сейчас, когда подходит к концу двухмесячный срок их пребывания на полках магазинов (реальных и онлайновых), источник взялся подвести первые итоги. И не все они со знаком плюс. Увы, не все модели нового семейство свободно доступ...

В России показали новую разработку для контроля движения автотранспорта Презентация прибора "Атлант-КА" состоялась на конференции "Цифровая индустрия промышленной России" (ЦИПР), которая проходила с 22 по 24 мая в Иннополисе, Республика Татарстан.Тахограф - это контрольный регистрирующий прибор, предназначенный для установки ...

Видеокарты семейства “Ampere” от NVIDIA могут появиться на рынке в первой половине 2020 года По сети уже гуляют слухи о том, что следующее поколение видеокарт от NVIDIA может быть анонсировано в первой половине 2020 года. Ожидается переход на более современный техпроцесс (с 12 нм на 7 нм), а значит и увеличение кол-ва транзисторов, а также показателя энергоэффектив...

Фотоэкскурсия по новому зданию на кампусе ЦОД Apple в Рино Генеральный директор компании Apple Тим Кук (на фото ниже в центре) посетил город Рино, штат Невада (США), чтобы принять участие в официальных торжествах по поводу открытия нового здания «яблочный компании» на ее кампусе ЦОД в данном городе. Как сообщает местная ...

Спрос на LCD-экраны падает, но Samsung вложит в модернизацию $11 млрд Компания Samsung Display планирует вложить $11 млрд в завод по производству LCD-экранов в Южной Корее. При этом LCD-производство компании страдает от все более активной конкуренции со стороны китайских производителей и растущего спроса на OLED-экраны.

64-ядерный CPU Epyc 7742 оценён менее чем в 8000 долларов Процессоры AMD Epyc второго поколения должный выйти в третьем квартале. Напомним, эти серверные CPU перешли на семинанометровый техпроцесс и архитектуру Zen 2. Кроме того, количество ядер выросло вдвое, так что флагманы теперь 64-ядерные. И вот теперь в Сети появились ...

Новый процессор Snapdragon 712 Qualcomm анонсировала новое дополнение к своему ассортименту чипсетов - Snapdragon 712. Он построен на 10-нм техпроцессе и представляет собой скромное обновление популярного Snapdragon 710. Он имеет более быстрый восьмиядерный процессор, который повышает производительнос...

Natura Siberica решила заняться производство бутилированной воды Компания Natura Siberica анонсировала строительство на Курильских островах завода по производству бутилированной воды. В реализацию данного проекта производитель косметики планирует вложить более 200 млн рублей.

Уже в этом году MediaTek выпустит флагманскую семинанометровую однокристальную систему с поддержкой 5G У компании MediaTek на данный момент самой производительной однокристальной системой является Helio P70, причём она очень мало отличается от Helio P60. Но ещё в декабре производитель анонсировал также Helio P90, которая должна появиться в смартфонах уже в нынешнем кварт...

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

Realme в топ-10 производителей смартфонов За второй квартал 2019 года, Realme вошла в топ-10 производителей смартфонов. Представители Realme сообщили, что за 14 месяцев они продали более 10 миллионов смартфонов по всему миру. В ближайшие время компания планирует ускорить процесс производства в Индии и Китае и анонс...

Видеокарты Radeon 625, Radeon 620 и Radeon 610, похоже, основаны на GPU родом из 2013 года Несколько дней назад мы писали о видеокартах Radeon RX 640, Radeon 630, Radeon 625, Radeon 620 и Radeon 610, нацеленных на OEM-производителей. Тогда мы говорили, что все адаптеры основаны на GPU Polaris, но, похоже, это не так. Такой графический процессор лежит лишь в о...

Падение цен на флеш-память NAND замедляется По данным отраслевых источников, цены на флеш-память NAND в текущем квартале снизятся менее чем на 10%, что свидетельствует о замедлении падения цен. Более того, ожидается, что к концу года падение полностью прекратится. Цены снижались в 2018 году, но еще заметнее сниж...

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

Google и Huawei готовы заплатить владельцам Nexus 6P за сбои в ... Высокие стандарты качества, соблюдение которых декларирует Huawei, иногда дают сбой. Это проявляется в тех проблемах, которые владельцы смартфонов компании испытывают на себе после покупки. Но одно дело, когда эти случаи являются единичными, и совершенно другое, когда они ст...

Анонс 12 Гб оперативной памяти от Samsung Компания Samsung Electronics официально приступила к массовому производству первого в мире мультичипового пакета UFS с двойным объемом данных 12 ГБ. UMCP (Multi-Chip Package) сочетает в себе хранилище UFS 3.0 с 12 ГБ оперативной памяти LPDDRX4 и будет работать на смарт...

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

Foxconn и другие поставщики обязуются использовать 100% возобновляемую энергию для производства Apple Apple сегодня объявила, что почти удвоила число поставщиков, которые взяли на себя обязательство запустить свое специфичное для Apple производство на 100-процентной возобновляемой энергии, доведя общее число до 44. В список новых поставщиков входят, в частности, производител...

Не оптоволокном единым ФАС России возбудила дело в отношении американского производителя оптоволокна Corning Inc и четырех российских заводов по производству волоконно-оптических кабелей связи - ООО "Алтай-Кабель", ООО "ОКС 01", ООО "Сарансккабель-Оптика" и ООО "...

SK Hynix начала массовое производство 128-слойной памяти 3D NAND TLC SK Hynix в официальном пресс-релизе сообщила о запуске масштабного производства 128-слойных микросхем флэш-памяти типа 3D NAND TLC ёмкостью 1 Тбит (128 ГБ). В маркетинговых материалах данная память называется 4D NAND, что на самом...

Китайцы стали акционерами Japan Display История о выкупе китайцами части акций японской компании Japan Display подошла к своему логическому концу. Сегодня один из крупнейших производителей LCD-дисплеев из Японии сообщил о том, что пакет акций в размере 49,8% уставного фонда переходит к китайско-тайваньскому концер...

Swisscom запустил "первую в Европе" коммерческую сеть 5G В мае 2018 года Swisscom начнет продажи смартфонов Oppo Reno 5G и LG V50 ThinQ 5G, стоимость которых составит около $1000 и $1100 соответственно.Старший вице-президент Qualcomm и руководитель европейского подразделения компании Энрико Сальватори (Enrico Salvatori) отметил, ч...

Все проблемы решены: за месяц Xiaomi произвела свыше 1,5 миллиона смартфонов Mi 9 и Mi 9 SE С самого начала Xiaomi испытывала большие проблемы с производством моделей серии Mi 9: спрос настолько превышал предложение, что компании пришлось приложить немало усилия для организации бесперебойных поставок. Тут было все: и экстренный запуск сборочного производства н...

Выпуск электрических мотоциклов Harley-Davidson LiveWire возобновлен Компания Harley-Davidson сообщила, что после краткого перерыва производство электрических мотоциклов LiveWire было возобновлено. Напомним, недавно компания остановила производство и отгрузку электрических мотоциклов, обнаружив проблему с оборудованием для зарядки. По но...

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

Japan Display близка к привлечению крупных инвестиций По данным СМИ, в компанию инвестируют государственный фонд Silk Road Fund из Поднебесной и тайваньский производитель панелей TPK Holding.

Intel пытается продать свой бизнес по производству 5G ... Как сообщает «The Wall Street Journal», Intel намерена продать свой бизнес, связанный с производством 5G модемов для смартфонов, поскольку с недавних пор компания официально вышла из гонки. Еще начиная с лета прошлого года компания Apple планировала закупить весо...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Самый дешевый iPad получит новый дизайн и экран 10,2 дюйма, а iPhone действительно лишится 3D Touch Согласно новым сообщениям, поступившим от источников из цепочки поставок, компания Apple планирует выпустить существенно обновленную модель планшета iPad начального уровня. Новый самый доступный планшет в линейке Apple iPad получит новый дизайн и большой экран диагональ...

В этом году нехватка процессоров Intel устранена не будет Как известно, Соединенные Штаты отложили введение 10-процентного тарифа на некоторые китайские товары, относящиеся к категории потребительской электроники, включая ноутбуки и смартфоны. Однако неопределенность, связанная с торговой войной между США и Китаем, продолжает ...

Квартальный отчёт Intel: выручка не изменилась, а все остальные основные финансовые показатели упали Компания Intel опубликовала отчёт по итогам первого квартала 2019 финансового года. И квартал этот был для компании далеко не самым успешным. Выручка компании осталась неизменной в годовом выражении — 16,1 млрд долларов. А вот все остальные основные финансовые по...

Samsung начала массовое производство модемов 5G, а Apple собрала команду из более 1000 инженеров для разработки собственного 5G-модема Компания Samsung заявила о начале массового производства собственных чипов для обеспечения поддержки связи 5G. Речь идёт о многорежимном чипсете Exynos Modem 5100, который используется в смартфоне Galaxy S10 5G. Чип Samsung Exynos Modem 5100 был впервые анонсирован в августе...

Intel не собирается прекращать производство и продажи процессоров Core F с отключенным графическим ядром Судя по данным, которые приводит источник, Intel вполне довольна процессорами Core F, особенностью которых является отключенное графическое ядро. По словам представителя компании Джейсона Кимри (Jason Kimrey), эти процессоры не исчезнут из производственной гаммы Intel в...

LG Display нарастит производство дисплеев премиального уровня По сообщениям сетевых источников, компания LG Display намерена в ближайшее время интегрировать передовые технологии, в том числе интерактивное сенсорное управление, в выпускаемые дисплеи. За счёт этого южнокорейская компания намеревается нарастить отрыв от конкурентов, закре...

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Ricoh опровергает слухи, что она потеряет право на использование торговой марки Pentax Компания Ricoh опровергла слухи о том, что в 2020 году она потеряет право использовать торговую марку Pentax. В своем заявлении она подтвердила, что бренд Pentax принадлежит компании Hoya, но пояснила, что не ограничена в его использовании в сфере производства...

Huawei начала пробное производство 5-нм SoC Kirin 1000 Компания Huawei уже начала пробный этап производства новых флагманских чипов. По предварительным данным, данные SoC получат название Kirin 1000 и будут построены по 5-нм техпроцессу. Ожидается, что первыми смартфонами на базе этих процессоров окажутся флагманские Huawei Mate...

AMD прекратила производство видеокарт Radeon VII, их жизненный цикл завершился через месяц после выпуска История с ограниченным объемом выпуска 3D-карт Radeon VII получила совершенно неожиданное продолжение. Как оказалось, жизненный цикл этой модели завершился… через месяц после выпуска или даже чуть раньше. По этой причине в Европе было предложено ограниченное коли...

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

Телевизоры 8K с платформой MediaTek не подходе Летом компания MediaTek представила S900 — первую 12-нанометровую однокристальную систему для телевизоров 8K. В конфигурацию платформы входит многоядерный процессор с ядрами Cortex-A73 и GPU Mali-G52. Решение поддерживает HDMI 2.1A и HDR10+ и способно выводить кар...

Росреестр не уложился в сроки при внедрении информационной системы ЕГРН Работы по созданию ФГИС ЕГРН Росреестр ведет с 2014 года в рамках ФЦП "Развитие единой государственной системы регистрации прав и кадастрового учета недвижимости (2014-2020 годы)", напоминают в Счетной палате. Система должна заменить 8 программных комплексов, кото...

В РФ начали производство аналога винтовки AR-15 Полуавтоматическая винтовка AR-15 является одним из самых популярных образцов американского стрелкового оружия. Как сообщает РИА Новости со ссылкой на официального представителя ORSIS, компания запустила серийный выпуск полуавтоматических винтовок ORSIS-AR15J. Ранее их собир...

Дефицит процессоров Intel Intel признают, что у них проблемы с поставками. В решение проблемы вложены огромные средства. Они увеличили объем производства 14 нм процессоров и одновременно увеличили темп создания 10 нм процессоров. Это позволило Intel увеличить объемы поставок процессоров для ПК во вто...

Модули тройной камеры Sony Xperia 1 производит компания Zeiss Появились новые сведения о камере флагманского смартфона Sony Xperia 1. Источники утверждают, что Sony нашла нового партнера по производству модулей камер для своих смартфонов. Это при том, что Sony поставляет датчики изображения для большинства известных смартфонов, ср...

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

Samsung будет выпускать для Intel процессоры Rocket Lake Не секрет, что компания Intel до сих пор полностью не справилась с дефицитом своих процессоров. В конце прошлого года Intel пришлось в связи с этой ситуацией отдать на аутсорсинг производство чипсетов — этим занялась TSMC. Также ходили слухи, что TSMC может начать...

AMD представила семейство графических карт Radeon RX 5000 на базе Navi Сегодня, на открытии выставки Computex 2019, компания AMD предварительно представила долгожданные игровые видеокарты семейства Navi. Серия новинок получила маркетинговое название Radeon RX 5000. Стоит напомнить, что вопрос брендирования был одной из самых главных интриг при ...

SK Hynix хочет производить 3D-NAND с 800 слоями На саммите по флэш-памяти в Санта-Кларе, корейский производитель SK Hynix представил новые продукты и объявил о планах на будущее. В настоящее время SK Hynix работает над 128-слойным 3D-nand, и его массовое производство должно начаться в четвертом квартале этого года. Компан...

Tesla уволит 7% сотрудников, пытаясь повысить прибыльность Tesla долго находилась в положении «сжигателя денег» (cash burn), пытаясь решить проблему с массовым производством седана Model 3. Теперь, когда основные технические проблемы решены, компания обратила внимание на свое финансовое положение.

Впервые за два года консоль Xbox 360 получила обновление системы В 2016 году Microsoft сняла с производства Xbox 360 и после никаких обновлений для консоли не было. Но спустя 2 года компания неожиданно для всех выпустила апдейт 2.0.17526.0 для устаревшей консоли. Никто особо не знает, что именно приносит данное обновление, кроме незначите...

Samsung инвестирует 11 млрд долларов в разработку и производство QD-OLED С помощью инвестиций Samsung рассчитывает сохранить технологическое преимущество над китайскими вендорами, которые уже догоняют своих южнокорейских конкурентов.

Как опередить конкурентов: «СИБУР» осваивает аддитивное производство Инженер «СИБУРа» Роман Тихонов (справа) и руководитель направления 3D в компании iQB Technologies Иван Папуша. При поддержке нашей компании были разработаны программы для обучения специалистов аддитивных центров «СИБУРа». Таких специалистов, как Роман Тихонов, в России по...

Серийное производство электроники в России. Как мы делали телеметрию для вендинга Часто встречаемся с мнением, что производить в России электронику – занятие бессмысленное. Дорого, долго, плохо и вообще лучше делать в Китае. В этой статье мы бы хотели поделиться опытом разработки и серийного производства коммерческой электроники – модулей МТУТА. Мы ра...

Netflix лишила пользователей своего приложения для iOS поддержки функции AirPlay Противостояние Netflix и Apple, похоже, усиливается. Как известно, в представленном недавно сервисе Apple TV+ будет доступен как контент собственного производства компании, так и сторонний. В частности, в Apple TV+ будут HBO и Showtime. А вот Netflix в этом сервисе не б...

Сборка старших моделей Apple iPhone начнется в Индии уже в будущем году По сообщению источника, в 2019 году местное подразделение Foxconn в Индии начнет выпуск своей первой продукции Apple — это будут старшие модели смартфонов iPhone. Компания Foxconn уже собирает в Индии смартфоны Xiaomi. В расширение предприятия, где будут изготавл...

Samsung хочет производить процессоры для iPhone, iPad и Mac Корейская компания планирует крупные инвестиции в свое производство чипов для конкуренции с Intel, Qualcomm и TSMC. Samsung хочет изготавливать процессоры для широкого спектра устройств.

Подразделение Uber ATG получило очередной миллиард долларов инвестиций Компания Uber, о беспилотных авто которой практически ничего не было слышно после злополучного смертельного ДТП, сегодня объявила о том, что получила крупные инвестиции в размере 1 млрд долларов. Инвесторами выступили Toyota, Denso и SoftBank. Если точнее, то инвестици...

Кажется, дефицит процессоров Intel подходит к концу Дефицит процессоров Intel, который мучает рынок уже на протяжении нескольких месяцев, судя по всему, в скором времени начнёт ослабевать. В прошлом году Intel инвестировала дополнительные 1,5 млрд долларов в расширение своих 14-нм технологических мощностей, и похоже, что эти ...

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

Intel анонсировала процессоры Coffee Lake серий U и Y Чипы на техпроцессе 14 нм предназначаются для ультрабуков и ноутбуков.

Huawei увеличит портфолио чипов сразу двумя ... До конца нынешнего года Huawei планирует представить две фирменные однокристальные системы. По инсайдерской информации, одна из них — Kirin 985 и дебютирует она в семействе Huawei Mate 30. Это будет первый чип, произведенный с использованием 7-нанометрового техпроцесс...

Intel забуксовала со своими дискретными видеокартами, главная проблема – в их невысокой эффективности Только ленивый не упрекает Intel в том, что она засиделась на 14-нанометровом техпроцессе в своих CPU, но выбор его же для 3D-карт сыграет с последними злую шутку еще до их выпуска: по эффективности они не смогут тягаться 12-нанометровыми решениями Nvidia и 7-нанометров...

Tesla договаривается с китайской компанией Lishen о поставке аккумуляторов Компания Tesla подтвердила, что получила от китайской компании Lishen предложение на поставку аккумуляторных батарей для новой шанхайской фабрики Tesla по производству электромобилей. Ранее агентство Reuters сообщило, ссылаясь на два источника, непосредственно знакомых ...

Qualcomm представила 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665 Однокристальную платформу Qualcomm со странным обозначением SM7150 приписывали самым разным смартфонам еще с прошлого года. И вот эта однокристальная платформа сегодня представлена официально – под названием Snapdragon 730 и… сразу в двух версиях. Если суди...

Xiaomi Mi A3 чипом Snapdragon 665, тройной камерой и ценником в 250 евро Компания Xiaomi официально представила свой новый смартфон в рамках программы Android One — Mi A3. Новинка стала не полной копией Xiaomi CC9, а его младшей версии CC9e. Смартфон получил стеклянный корпус с несколькими расцветками, а также плоский AMOLED-дисплей на 6 дюймов ...

Xiaomi и Samsung представили 108-мегапиксельную матрицу Не так давно компания Xiaomi объявила о партнерстве с Samsung и разработала первый датчик изображения, который достигнет трехзначной отметки в мегапикселях. 108-мегапиксельная матрица ISOCELL Bright HMX была представлена ​​Samsung чуть более чем через три месяца после того, ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)