Социальные сети Рунета
Суббота, 20 апреля 2024

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

NVIDIA пока не считает целесообразным переход на 7-нм техпроцесс Всё дело в экономике.

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

«Балтика» развернула систему мобильного электронного документооборота в облаке Microsoft Azure Пивоваренная компания «Балтика», часть Carlsberg Group, завершила уникальный проект по переходу на инновационную …

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Samsung готовится к переходу на новую технологию производства DRAM-памяти Переход на 16-нанометровые нормы ожидается в 2020 году, говорят аналитики Digitimes Research.

Intel готовится к переходу на 7 нм техпроцесс и не верит в конкуренцию со стороны AMD Самое главное не паниковать, глядишь и пройдёт всё само

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

«Ростех» решил возглавить переход на российское оборудование 5G. В Минкомсвязи считают, что предложения госкорпорации требуют существенной доработки «Ростех» разработал концепцию перехода на отечественное оборудование для мобильной связи 5G и готов возглавить этот процесс. Корпорация признает, что для запуска производства придется кооперироваться с Huawei, Nokia и Cisco.

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

Вторая волна отключения аналога прошла без проблем Переход на цифровое эфирное телевидение в 20 регионах второй волны прошел без проблем и потрясений. Об этом заявил заместитель главы Минкомсвязи РФ Алексей Волин. По его словам, на сегодняшний день в России около 50 млн человек уже живут без аналогового вещания. Второй этап ...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

TSMC развернёт массовое производство 5-нм продуктов в марте 2020 года Второе поколение 7-нм продуктов уже попало на конвейер.

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

Intel перечислила 14-нм процессоры, которые будет поставлять ещё 15 лет Ради этого даже запланирован переход на новый степпинг.

Трения между Кореей и Японией отражаются на производстве смартфонов Samsung По данным инсайдеров, Samsung пришлось на 10% снизить запланированный объем производства мобильных процессоров Exynos, которыми будут укомплектованы новые смартфоны Note 10.

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Серийное производство жёстких дисков с двумя актуаторами Seagate развернёт в этом году Это важно делать параллельно с увеличением объёмов накопителей.

Производители NAND внедряют 120/128-слойную память 3D NAND По информации DigiTimes многие производители стали ускорять переход своих производств на выпуск 120/128-слойной памяти 3D NAND, чтобы начать её массовое производство в 2020 году.

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

AMD раскрыла характеристики новых процессоров Ryzen 3000 Вчера на выставке Computex глава AMD Lisa Su раскрыла характеристики нового поколения настольных процессоров Ryzen. Благодаря переходу на новый 7-нм техпроцесс удалось увеличить частоты в режиме boost до 4.6Ghz. Читать дальше →

Официально: Nintendo перенесет часть производства Switch из Китая По словам представителя Nintendo, компания планирует перенести часть производства консолей Switch из Китая во Вьетнам. Nintendo подтвердила эту информацию в комментарии для Reuters. Переезд запланирован на ближайшие месяцы, хотя точная дата пока не озвучена. В настоящее врем...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Производство российской военной спецтехники перенесут в Чечню Глава Чечни Рамзан Кадыров опубликовал на своей странице во "ВКонтакте" информацию о том, что на предприятии "Болат", находящемся на территории Чеченской Республики, запланирован запуск производства специальной автомобильной техники.

Nokia, NTT DOCOMO и OMRON развернут технологию 5G на производстве для испытаний концепции Industry 4.0 Для этих испытаний Nokia предоставит решения 5G, OMRON – средства промышленной автоматизации, а NTT DOCOMO будет отвечать за непосредственное проведение испытаний.

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Тред: что Tesla придётся поменять в электропикапе Cybertruck, чтобы получить разрешение на серийное производство в США У компании есть два года до запланированного выпуска машины.

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

На зависть США. Россия «развернула красную дорожку» для Huawei Как известно, США активно настаивают на том, чтобы как можно больше стран отказалось от телекоммуникационного оборудования компании Huawei. Часть стран поддержало США, а часть заявили, что будут использовать продукцию китайского гиганта, несмотря на позицию штатов. Как...

«Ростелеком» в течение двух месяцев подготовит план перехода на преимущественно отечественное ПО План мероприятий по переходу на отечественное ПО будет внесен в долгосрочную программу развития «Ростелекома». Переход также предусмотрен для дочерних компаний «Ростелекома», в которых его доля составляет более 50%.

TSMC ускоряет переход на 5 нм Компания TSMC приступила к рисковому производству чипов по 5 нм нормам. К массовому производству эта технология будет доступна в первой половине 2020 года.

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

«Мираторг» запланировал открыть производство молока под своим брендом осенью 2019 года Впервые компания заговорила об этом в 2017 году, тогда инвестиции в проект оценивались в $400 млн.

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

TSMC тестирует 5-нм производство Серийное производство запланировано на первую половину 2020 года

GeForce RTX 3080 могут представить уже в июне На днях мы сообщали о том, что слухи указывают на задержку выхода видеокарт Nvidia следующего поколения, из-за чего модель GeForce RTX 2080 Ti Super всё же должна увидеть свет. Новые данные ничего не говорят о таком адаптере, зато проливают свет на следующее поколение в...

Intel планирует снижение цен, чтобы конкурировать с AMD Не так давно компания AMD показал рынку свои процессоры Ryzen 3000, которые задерут планку производительности ещё выше, что было очень позитивно воспринято энтузиастами и любителями компьютерного железа. Позитива сюда добавляет также то, что это усилит конкуренцию между 2 ...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Samsung Display потратит на модернизацию производства 11 миллиардов долларов Компания столкнулась с перепроизводством ЖК-панелей из-за снижения спроса на телевизоры и смартфоны и переходом крупнейших заказчиков на OLED-панели. Компания Samsung Display до 2025 года планирует вложить в разработки технологий изготовления дисплеев и модернизацию произ...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

GlobalFoundries и ARM спроектировали тестовый чип с объёмной упаковкой Компания GlobalFoundries отказалась от гонки за техпроцессами и замерла на отметке 12 нм, но это не означает, что она не будет внедрять передовые технологии объёмной упаковки чипов. За счёт 3D-компоновок даже старый техпроцесс можно использовать таким образом, что результиру...

Компании «Цифра» и ITGLOBAL.COM развернут AI решения для промышленности в облаке Alibaba Cloud Компания ITGLOBAL.COM развернет AI-решения ГК «Цифра» для промышленности в облаке Alibaba Cloud. «Партнёрство позволит нам использовать продвинутые сервисы Alibaba Cloud для улучшения своих продуктов. Теперь AI продукты „Цифры“ ...

Samsung поможет Intel справиться с дефицитом 14-нм процессоров Не было бы у компании Samsung счастья, да несчастье Intel помогло. Южнокорейское информационное агентство Yonhap распространило новость, что Samsung Electronics согласилась начать выпуск центральных процессоров для Intel. Неделю назад Intel намекнула на возможность подобного...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

TSMC видит возможности в производстве по нормам 2 нм и 1 нм Филип Вон, корпоративный вице-президент компании TSMC, сообщил, что сейчас его компания практически достигла 3 нм технологии, и теперь она уже видит способы перехода к 2 нм и даже к 1 нм процессу. Только эти числа ничего уже не значат.

Huawei анонсировала переход в «боевой режим»: компания сократит штат и начнёт больше инвестировать в производство Huawei рассчитывает обеспечить непрерывность поставок после окончания лицензии США.

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Apple нарастит производство iPhone 11 из-за высокого спроса Компания попросила партнеров увеличить запланированные объемы выпуска смартфонов iPhone 11 на 10%. Этот шаг свидетельствует об успешности новой ценовой стратегии, выбранной главой Apple Тимом Куком.

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Новый электрический кроссовер Tesla Model Y будет представлен 14 марта Компания Tesla представит новый электрический кроссовер Model Y 14 марта в своей штаб-квартире в Лос-Анджелесе. Об этом через свою страницу в «Твиттере» сообщил исполнительный директор компании Илон Маск. Новый электромобиль станет шестым в модельном ряду компании с момента...

Обсуждается переход смартфонов Huawei на Российскую ОС "Аврора" Возможен перенос в Россию части производств Huawei

Boston Dynamics раскрыла дату начала массового производства роботов-собак Представители Boston Dynamics рассказали о планах компании на конференции TechCrunch Sessions: Robotics + AI. Выяснилось, что начало производства четвероногих роботов SpotMini для массового потребителя запланировано на лето.

Toyota инвестирует в развитие электромобилей в Индонезии 2 млрд долларов Компания Toyota Motor планирует в течение ближайших четырех лет инвестировать 2 миллиарда долларов в развитие электромобилей в Индонезии, начав с гибридных моделей. Об этом сообщил источник со ссылкой на президента Toyota. «С 2019 по 2023 год мы будем постепенно ...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Volkswagen не ждёт падения прибыли из-за перехода на выпуск электромобилей Volkswagen уверен, что переход на производство электромобилей не может повлиять на его прибыльность, заявил гендиректор автоконцерна Герберт Дисс (Herbert Diess). REUTERS/Wolfgang Rattay «Мы не ожидаем ухудшения маржи. Наше преимущество заключается в том, что все наши бренды...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Переходя к выпуску электромобилей, Ford сократит в Европе 12 000 рабочих мест Компания Ford сообщила о намерении реорганизовать европейское отделение. Теперь в нем будет три подразделения: коммерческие транспортные средства (CV), пассажирские транспортные средства (PV) и импорт. Каждое из них получит отдельную управленческую структуру, включая ру...

США отменили тариф 10% на импортный алюминий для Tesla Министерство торговли США удовлетворило просьбу компании Tesla отменить 10-процентный тариф на импортируемый из Японии алюминий. Компания Tesla обратилась к правительству в апреле, запросив освобождение от уплаты пошлины на 10 000 тонн алюминия в год, используем...

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

В «Ростехе» представили концепцию перехода на отечественное оборудование 5G В госкорпорации признают, что для запуска производства придется кооперироваться с мировыми лидерами - Huawei, Nokia и Cisco.

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

Volkswagen установит 36,000 зарядок для электромобилей в Европе к 2025 году, предзаказы на модель VW ID.3 уже превысили 20 тыс. штук Немецкий автопроизводитель Volkswagen более чем серьезно относится к грядущему переходу на электромобили, запланировав установить 36,000 зарядных точек по всей Европе к 2025 году. Интерес к первому серийному электромобилю бренда VW ID.3 замедлил темп, но все равно остается д...

Samsung начинает массовое производство 12 Гб памяти LPDDR5 Переход на мобильную связь пятого поколения требует от устройств всё больших объёмов памяти.

Видеокарты семейства “Ampere” от NVIDIA могут появиться на рынке в первой половине 2020 года По сети уже гуляют слухи о том, что следующее поколение видеокарт от NVIDIA может быть анонсировано в первой половине 2020 года. Ожидается переход на более современный техпроцесс (с 12 нм на 7 нм), а значит и увеличение кол-ва транзисторов, а также показателя энергоэффектив...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

Intel опровергла слухи о возникших трудностях с производством 5G-модемов для Apple Несмотря на то, что в ряде стран коммерческие 5G-сети будут развёрнуты уже в этом году, Apple не спешит выпускать устройства, способные работать в сетях связи пятого поколения. Компания ждёт, когда соответствующие технологии получат широкое распространение. Аналогичную страт...

Intel может снова столкнуться с дефицитом 14 нм процессоров Все помнят дефицит процессоров Intel, возникший прошлой зимой. Он был вызван переходом производства всех микросхем компании на 14 нм нормы, в результате ей просто не хватало мощностей, для обеспечения спроса. И теперь эта ситуация может повториться.

[Перевод] Очередной шаг к концу закона Мура Samsung и TSMC переходят на технологический процесс 5 нм Два крупнейших производителя — Taiwan Semiconductor Manufacturing Co. (TSMC) и Samsung в апреле анонсировали о восходе на следующую ступеньку лестницы закона Мура. Сначала выступила TSMC, объявив о переходе техпроцесса...

Переход россиян на четырёхдневную рабочую неделю вызовет уменьшение зарплат Дмитрий Медведев предупреждает о возможности перехода россиян на четырёхдневную рабочую неделю, а в Минтруде обсуждают введение оной для некоторых предприятий. Что же, это значит, мы скоро станем больше отдыхать? Сказать пока трудно, к тому же эксперты РАНХиГС призывают с пе...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

Volkswagen запустит производство мобильных зарядных станций для электромобилей Автопроизводитель Volkswagen (VW) намерен начать выпуск аккумуляторов для электромобилей, а также зарядных станций у себя на родине в Германии, поскольку он готовится к старту массового производства электромобилей. Также будет проведена реорганизация подразделения по произво...

Huawei P Smart 2019 и его функции Компания Huawei выпустила современный смартфон. Сзади расположена стеклянная крышка, доступная в нескольких вариантах расцветки, включая градиентный с переходом от синего к бирюзовому. Смартфон оснащён классическим разъёмом micro-USB и 3,5-м аудиовыходом. Также он оборудова...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Власти разрешили не переходить на российское ПО множеству организаций Соответствующий приказ Минкомсвязи размещен на сайте министерства. Он вносит изменения в другой приказ - об утверждении методических рекомендаций по переходу госкомпаний на преимущественно отечественное ПО, в том числе офисное, изданный в сентябре 2018 г.Как сообщил CNews пр...

Intel ожидает переход на 7 нм через два года 10-нанометровая технология производства пала несмываемым пятном на репутацию Intel. В то время, как AMD производит свои процессоры на заводах TSMC по 7 нм нормам, Intel по-прежнему выпускает продукцию по 14 нм нормам.

«Как мы развернули пиар-кампанию в условиях рекламного геноцида» Как развернуть пиар-кампанию в условиях рекламного геноцида, с толком пошуметь на Product Hunt и получить первых пользователей в России и США. Рассказывают Марина Елина и Роман Искандиров.

AMD представила первую в мире 7-нм потребительскую видеокарту Radeon VII Компания AMD на выставке CES 2019 сделала сразу несколько анонсов, в том числе представила первую в мире потребительскую видеокарту, построенную по 7-нм техпроцессу — Radeon VII. В её основе лежит графический процессор на архитектуре Vega второго поколения, который включает...

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Серийное производство электроники в России. Как мы делали телеметрию для вендинга Часто встречаемся с мнением, что производить в России электронику – занятие бессмысленное. Дорого, долго, плохо и вообще лучше делать в Китае. В этой статье мы бы хотели поделиться опытом разработки и серийного производства коммерческой электроники – модулей МТУТА. Мы ра...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

[Перевод] Energyra работает над возобновлением работы фабрики солнечных панелей в Нидерландах Обанкротившийся производитель солнечных модулей всё ещё ведёт переговоры с потенциальными инвесторами и говорит им, что сможет в кратчайшие сроки развернуть производство на закрытой фабрике в нидерландском Занстаде (Zaanstad) в самые короткие сроки. Под катом - как такое п...

Ivideon Bridge: как выгодно подключить устаревшие системы видеонаблюдения к облаку Развернув однажды систему видеонаблюдения и затем масштабируя её, пользователи часто становятся «заложниками» установленного оборудования. Переход от одного поставщика железа и сервисов к другому обходится дорого. На рынке много производителей, которые создают собственны...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Виталик Бутерин рассказал, как произойдет переход к Ethereum 2.0 Основатель Ethereum Виталик Бутерин попытался рассеять сомнения сообщества относительно долгосрочного будущего платформы, пролив в частности свет на некоторые детали предстоящего перехода с алгоритма Proof-of-Work (PoW) на Proof-of-Stake (PoS) и итоговому переходу к Ethereum...

«Швабе» совершенствует линейку энергоэффективных светильников Новая уличная светотехника Холдинга «Швабе» Госкорпорации Ростех позволит городам снизить энергопотребление на четверть. Серийное производство изделий и выход на рынок запланированы в течение года.

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

General Motors подтвердила отказ от гибридов в пользу электромобилей Компания General Motors (GM) подтвердила принятое решение отказаться от разработки и выпуска гибридов, чтобы полностью сосредоточиться на производстве электромобилей. В рамках своей новой инициативы по переходу на электрическую тягу, объявленной в прошлом месяце, GM...

Сотрудники Intel подтверждают переход компьютеров Apple на ARM-процессоры Несколько анонимных сотрудников компании Intel подтвердили журналистам Axios переход Apple на процессоры с архитектурой ARM собственного производства уже в 2020 году для использования в компьютерах Mac. Данное решение вызывает некоторые опасения касательно уровня…

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

Путин обсуждал с Си Цзиньпином. Huawei тестирует российскую «Аврору» в качестве альтернативы Android Китайская компания Huawei рассматривает российскую операционную систему «Аврору» в качестве возможной альтернативы Android. Об этом сообщило издание The Bell со ссылкой на два источника, осведомлённых о переговорах. По данным федерального чиновника, главный...

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

Производители ускоряют переход на 96-слойную флеш-память 3D NAND Производители микросхем флеш-памяти уверенно повышают процент выхода годной продукции при выпуске 96-слойной флеш-памяти 3D NAND. Ожидается, что эта технология станет основной в производстве флеш-памяти в 2020 году. Переход на 96-слойную технологию 3D NAND поможет поста...

Названа дата релиза крупного обновления Windows 10 В сети появились новые подробности о следующем крупном обновлении операционной системы Windows 10. Ранее Microsoft сообщила, что Windows 10 версии 1909 с кодовым наименованием 19H2 получила название  Windows 10 November 2019 Update. Точную дату релиза компания...

Бутерин предложил идеи по улучшению Ethereum 2.0 Основатель Ethereum Виталик Бутерин выдвинул ряд идей по решению некоторых препятствий для развития экосистемы Ethereum 2.0, запуск которой запланирован на первый квартал 2020 года. Основные отличия новой цепи Ethereum — переход на алгоритм Proof-Of-Stake, поддержка стейкинг...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Первый в мире город оснастят огромным аккумулятором вместо газовых электростанций В последние годы международное сообщество все больше обеспокоено вопросом вреда, которое производство наносит окружающей среде. В связи с этим становятся популярными такие разработки как электрокары, солнечные панели на крыши домов и тому подобное. Сейчас же стало известно, ...

Apple запускает производство флагманских iPhone в Индии Apple уже производит в Индии «бюджетные» смартфоны iPhone 6S, SE и iPhone 7, а в скором времени к ним присоединяться и флагманские модели iPhone X и XS. Как пишет источник, партнер Apple, компания Foxconn, запланировала приступить к опытному производству эти...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

Шотландский космический стартап Orbex продемонстрировал 3D-печатный ракетный двигатель Шотландская частная космическая компания Orbex продемонстрировала прототип якобы самого большого в мире цельного ракетного двигателя, выполненного из порошковых материалов на промышленном 3D-принтере производства немецкой компании SLM Solutions. Первые орбитальные запуски со...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Видеокарты Nvidia Ampere будут основаны на семинанометровых GPU и выйдут в следующем году Ещё до выхода актуальных видеокарт Nvidia поколения Turing в Сети нередко появлялись данные о том, что поколение будет называться Ampere. В итоге мы получили иное название, но карты Ampere нас всё же ждут. Согласно новым слухам и данным, это будет новое поколение, главн...

Запуск спутников «Гонец» состоится на ракете «Союз-2» в 2021 г. В соответствии с производственными планами Госкорпорации "Роскосмос" на Производственном объединении "Полёт" (г. Омск) разворачивается и в 2023 году будет запущено серийное производство ракет семейства "Ангара". В настоящее время готовится возоб...

Еще больше Xiaomi Mi 9. Компании Foxconn в производстве нового флагмана помогает BYD Контракт на сборку флагманских смартфонов Xiaomi Mi 9 получил крупнейший китайский производитель компания Foxconn, на заводах которой выпускается огромное количество моделей популярных смартфонов. Однако спрос на Xiaomi Mi 9 оказался настолько большим, что Fox...

Foxconn уже строит крупную фабрику по производству телевизионных панелей 8K На сегодняшний день телевизоры 8K являются невероятно дорогой и в целом бесполезной диковинкой. Однако аналитики указывают на то, что определённую популярность такие модели наберут уже по итогам текущего года, а уж спустя несколько лет и вовсе начнёт переход с 4K на 8K....

Leica встречает «вторую цифровую революцию» сокращением 100 рабочих мест в штаб-квартире По сообщению источника, компания Leica в рамках реструктуризации уволит до 100 сотрудников из более чем 800, работающих в штаб-квартире. Всего в компании занято около 1200 человек. Генеральный директор Leica Матиас Харш (Matthias Harsch) охарактеризовал текущий ...

GMO Internet отчиталась о стабильном росте добычи биткоина Японский IT-гигант GMO Internet Group опубликовал отчет, в котором сообщается об увеличении количества намайненных компанией BTC. Также компания подтвердила информацию о прекращении производства собственного оборудования из-за значительных финансовых потерь. Кроме того, в GM...

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

Финансовые компании и облака: трудности перехода Ведущие технологи нескольких финансовых компаний обсудили на недавнем New York Enterprise Tech Meetup вопросы, связанные с модернизацией ИТ-инфраструктуры. Помимо этого они рассказали о проблемах, которые препятствуют переходу ...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Google внедрил быстрый переход на сайт из поиска по картинкам Обновление касается перехода на AMP-страницы.

Госкомпании запустили процесс перехода на отечественный софт Российские государственные компании начали обсуждать грядущий переход на отечественное программное обеспечение, сообщают «Ведомости». Обсуждение связано с соответствующей директивой, подписанной первым вице-премьером Антоном Силуановым. Директива обязала госкомпании провес...

Google Search Console добавил новые данные по переходу на mobile-first Версию основного Googlebot, сканирующего сайт, и точную дату перехода

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

назвала крайний срок перехода на 64-разрядные Android-приложения Окончательный переход произойдёт после августа 2021 года.

Исследование: количество поисковых запросов в Google без переходов на сайты впервые превысило 50% Переходы на другие сайты составляют 45,3%, а 4,4% — клики по рекламным ссылкам.

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Глава Xiaomi лично сядет за конвейер по сборке Mi 9, чтобы помочь компании Исполнительный директор китайской Xiaomi Лей Цзунь заявил в своем аккаунте Weibo, что если в течение первого месяца поставки флагманского Mi 9 не дотянут до запланированного ранее 1 млн экземпляров, он лично поедет на производство и займется сборкой смартфона. Так он планир...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Новые микросхемы Samsung позволят снизить стоимость оперативной памяти DDR4 Как сообщает источник, компания Samsung вывела на рынок модули оперативной памяти DDR4, основанные на новых микросхема (A-Die вместо B-Die). Эти микросхемы производятся по 10-нанометровому техпроцессу вместо старого 20-нанометрового, который использовался все эти годы ...

Компания TSMC приступила к освоению 2 нм техпроцесса Технологии не стоят на месте, и это прекрасно!

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

Китайская компания BOE начала серийное производство micro-OLED панелей По сообщениям сетевых источников, китайская компания BOE в октябре этого года приступила к серийному производству панелей по технологии micro-OLED. Производство развёрнуто в городе Куньмин, который находится на территории провинции Юньнань. В сообщении говорится, что в насто...

Wildcat Discovery и InoBat построят в Словакии фабрику по производству батарей для электромобилей Словацкая группа InoBat и американская энерготехнологическая компания Wildcat Discovery Technologies построят в Словакии фабрику по выпуску аккумуляторов для электромобилей. Стоимость фабрики составляет €100 млн. Данное производственное предприятие призвано удовлетворить буд...

[Из песочницы] CSS-переход свойства height от 0px до auto Здравствуй, Хабр! Хочу поделиться ещё одним способом создания css-перехода (transition) свойства height от 0px до auto. Столкнулся с данной проблемой при разработке веб-компонентов TreeView и DataGrid. В TreeView решил сделать плавное развёртывание/свёртывание узлов, а в ...

Глава Samsung Display подтвердил намерение начать производство панелей QD-OLED В то время как компания Samsung Display, являющаяся дочерним предприятием Samsung Electronics, намеревается сокращать производство жидкокристаллических дисплеев, прилагаются немалые усилия для запуска производства органических светодиодных панелей с квантовыми точками. В одн...

Вторая волна отключения аналога на подходе В регионах второй волны перехода на цифровое вещание федеральных общедоступных каналов уже продали 200 тыс. приставок и 92 тыс. телевизоров. Об этом заявил заместитель министра цифрового развития, связи и массовых коммуникаций РФ Алексей Волин. Второй этап перехода на "...

Первый серийный Ил-96-400М построят в 2023 году Как сообщает РИА Новости со ссылкой на генерального директора компании Юрия Грудинина, в настоящее время продолжается проектирование первого опытного самолёта параллельно с запуском его производства. Ведётся агрегатная сборка первого опытного образца, лётные испытания которо...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Компьютеры Mac перейдут на процессоры ARM в 2020 году Как известно, в будущем Apple откажется от использования процессоров х86 в компьютерах собственного производства. Но примечательно то, что переход на архитектуру ARM не заставит себя ждать — Apple намерена перейти на новые чипы уже в следующем году. Об этом сообщает и...

[Из песочницы] Настройка связки php-fpm + nginx под WSL Я — виндузятник и всячески увиливаю от окончательного перехода на Linux на своём PC не смотря на все его преимущества. Поэтому последние пару лет для создания локальных площадок под проекты использовал Docker for Windows. Не сказать что штука сверхстабильная, но существенных...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

CEO Дайон Уайзлер (HP Inc.) о переходе к услугам: «этому просто нет альтернативы» Сегодняшние глобальные тренды в ИТ — переход клиентов к покупке услуг, пристальное внимание к безопасности и всё большая мобильность персонала — …

Сообщество рекламодателей Google переезжает на новую платформу В скором времени сообщество рекламодателей Google перейдёт на новую платформу, интегрированную со Справочным центром Google Ads. Об этом сообщила администратор паблика Valentina B. Переход запланирован на апрель, а текущая платформа (Lithium) будет закрыта к июлю 2019 года. ...

Российский Aliexpress станет «автосалоном» Помимо модельного ряда Chery Tiggo, на страничке продавца будут размещены комплектующие и фирменные аксессуары. Открытие новой локальной категории на Aliexpress приурочено к крупной распродаже в конце марта. За оставшееся до нее время аналитики площадки планируют оценить спр...

В России установили «умный» пешеходный переход для снижения количества аварий Российские компании представили в Санкт-Петербурге пилотный проект инновационного пешеходного перехода. В зоне перехода пешеход освещается светодиодами. Это должно снизить риск дорожно-транспортных происшествий в ночное время.

VPN в каждый дом или как приручить Дракона Ниже я расскажу о том, как заменить ваш VPN провайдер собственным сервером, развернутым на DigitalOcean с использованием WireGuard. В чем главная проблема VPN провайдеров? Вы не знаете что они делают с вашими данными. Очень мало VPN провайдеров прошли сторонний аудит и почти...

Samsung освоила 5-нм техпроцесс Компания готова разослать клиентам образцы новых чипов.

Израильский стартап Seedo адаптирует робофермы для выращивания конопли На автоматизированных фермах можно выращивать не только овощи и зелень. Стартап Seedo намерен использовать современные технологии, чтобы создать робоферму для выращивания конопли. Спрос на это растение растет по мере того, как западные страны легализуют употребление каннабис...

Слухи приписывают AMD намерения выпустить в этом году 7-нм гибридные процессоры Представительница AMD на технологической конференции Bank of America Merrill Lynch сообщила, что компания намеревается перевести на 7-нм техпроцесс изготовления и мобильные процессоры марки Ryzen, но когда это случится, она уточнять не стала. В конце концов, настольным 7-нм ...

Самообучающийся софт поможет в борьбе с пиратством Участники Меморандума о сотрудничестве по борьбе с интернет-пиратством приступили к тестированию beta-версии автоматизированного программного решения антипиратского Реестра. Переход правообладателей на программное обеспечение запланирован до конца июля. Следующим шагом стане...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

Что нужно знать о Red Hat OpenShift Service Mesh Переход на Kubernetes и Linux-инфраструктуры при цифровой трансформации организаций приводит к тому, что приложения все чаще начинают строиться на основе микросервисной архитектуры и, как следствие, очень часто обрастают сложными схемами маршрутизации запросов между сервисам...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

Стало известно, чем отличаются смартфоны Huawei Nova 5 Pro, Nova 5 и Nova 5i Премьера этих смартфонов запланирована на послезавтра, но уже сейчас инсайдер Мукул Шарма (Mukul Sharma) рассказал, чем отличаются эти модели. Интересно, что различий не так уж и много, а если опираться на характеристики экранов и, соответственно, габариты, то можно ска...

Вторжение роботов: ретейлер Walmart развернёт тысячи автоматизированных помощников Крупнейшая в мире сеть оптовой и розничной торговли Walmart, уже внедрившая небольшое количество роботов в своих магазинах по всей территории США, на этой неделе объявила о планах активно развивать автоматизированные технологии, для чего на её объектах будут развёрнуты ещё т...

Michelin и General Motors показали безвоздушную шину Uptis Prototype, которая появится на серийных авто уже через пять лет Концепты безвоздушных автомобильных шин мы видели уже не раз. Прототипы нам показывают на различных выставках, но о серийном производстве зачастую молчат. И вот теперь компании Michelin и General Motors заявили, что их решение может стать коммерческим продуктом уже в 20...

Опубликованы характеристики процессоров AMD Ryzen 3000: от 6 ядер у Ryzen 3 3300 до 16 ядер у Ryzen 9 3800X AMD запланировала специальное мероприятие на 9 января, оно пройдет в рамках выставки CES 2019. В этот день компания представит новое поколение процессоров Ryzen – Ryzen 3000, но уже сейчас источник рассекретил их характеристики. Случайно это получилось или нет, но...

В сети Bitcoin Cash возникли проблемы после запланированного хардфорка Сегодня, 15 мая, в сети Bitcoin Cash состоялся запланированный хардфорк. Однако, по данным исследователей BitMEX, вскоре после обновления сеть столкнулась с техническими проблемами. Сперва, как и ожидалось, клиент Bitcoin ABC 0.18.2 признал цепь некорректной с блока 582680. ...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

Как развернуть SAP HANA: разбираем разные методы SAP HANA — популярная in-memory СУБД, включающая сервисы хранилищ (Data Warehouse) и аналитики, встроенное промежуточное ПО, сервер приложений, платформу для настройки или разработки новых утилит. За счет устранения задержек традиционных СУБД с SAP HANA можно сильно увеличит...

Все средства хороши. Глава Xiaomi обещает лично собирать смартфоны Xiaomi Mi 9, если потребуется Исполнительный директор компании Xiaomi Лей Цзунь (Lei Jun) продолжает активно общаться с пользователями через свою страничку в социальной сети Weibo. Один из его последних постов вызвал восхищение у многих поклонников продукции Xiaomi. Он заявил, что если в течение пе...

Расходы на рекламу и разработки компании Intel удалось сократить Инвестиции в перспективные виды бизнеса и техпроцессы не пострадали.

Оцениваем планы AMD на ближайшие полтора года У компании AMD нет каких-то технических проблем с освоением новых техпроцессов и архитектур, как у […]

Panasonic прекращает производство LCD к 2021 году Panasonic объявляет о том, что к 2021 году выйдет из производства ЖК-дисплеев. Компания была ведущим производителем телевизоров, но из-за острой конкуренции со стороны китайских и южнокорейских производителей, ранее прекратила производство ЖК-телевизоров в 2016 году. Вместо...

Названа самая быстрая сеть 5G в Южной Корее Аналитическая компания IHS Markit в лице ее подразделения RootMetrics, занимающегося тестированием производительности мобильных устройств, выпустила первый отчет 5G First Look, в котором сравниваются характеристики сетей 5G, развернутых южнокорейскими операторами KT, LG...

Мобильные семинанометровые процессоры AMD Ryzen появятся на рынке к концу года или в начале следующего Недавно компания AMD поверхностно представила семинанометровые настольные CPU Ryzen третьего поколения. Они выйдут на рынок когда-то позже в этом году, но слухи говорят примерно о втором квартале. Чуть ранее AMD представила и новые мобильные процессоры Ryzen, только вот...

Выявлен вред перехода на зимнее время Издание “РИА Новости” провело опрос врачей, которые рассказали причины, по которым не стоит возобновлять практику перевода стрелок. Так, в качестве аргумента приводят тот факт, что при переходе на зимнее время организм нуждается в адаптации, которая занимает от одной до трех...

ТПУ проведет эксперименты по 3D-печати в космосе Ученые Томского политехнического университета и Института физики прочности и материаловедения совместно с ракетно-космической корпорацией «Энергия» готовят эксперимент по 3D-печати композиционными полимерами в условиях космоса с расчетом на переход к орбитальному аддитивному...

Руководитель производства электромобилей Tesla во Фримонте покинул компанию Питер Хоххолдингер (Peter Hochholdinger), вице-президент Tesla по производству на заводе во Фримонте, покинул компанию после трех лет работы в ней. Об этом сообщает Reuters со ссылкой на собственный источник. Хоххолдингеру было поручено улучшить производство седана Tesl...

ГК ФОРС развернула Центр управления облачными сервисами Oracle Компания «ФОРС — Центр разработки» (входит в ГК ФОРС), Oracle Cloud Managed Service Provider (MSP …

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Акции ноября в iGo3D Russia! Хотим порадовать Вас сразу 6 новыми акциями, которые стартуют в iGo3D Russia c 11 ноября!Ultimaker S3 — новинка, сочетающая в себе только лучшие черты современного FDM 3D-принтера: безотказность, точность, поддержка всех типов пластика, распространенных на рынке. Ultimaker S...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

Переход на цифровое вещание продлен до 14 октября План по переходу аналогового вещания на цифру не сможет быть осуществлен в срок. Об этом сказал глава Минкомсвязи Константин Носков.

EasyReveal: красивые переходы между экранами EasyReveal – библиотека переходов для экранов в Android-приложении. Есть готовые переходы и можно делать свои. Исходники: https://github.com/Chrisvin/EasyReveal

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

По словам генерального директора Intel, задержки с освоением 10-нм техпроцесса были связаны с излишними амбициями компании Но больше такого не повторится.

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Переход к 5G: культурный сдвиг в аналитике По мере подготовки операторов связи и других компаний к переходу на 5G онлайн-аналитика становится все более очевидным преимуществом этой технологии. Сегодня провайдеры телекоммуникационных услуг главным образом решают задачу ...

Производство легендарных тамагочи возобновится Японская компания Bandai объявила о возобновлении производства тамагочи

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

В число аксессуаров флагманских смартфонов Samsung Galaxy S10 войдет мобильный аккумулятор с поддержкой беспроводной зарядки Компания Samsung уже начала производство флагманских смартфонов Galaxy S10, но во время большого анонса, запланированного на 20 февраля, будут представлены не только они, но еще и ряд аксессуаров. В числе последних может оказаться мобильный аккумулятор с поддержкой бесп...

Samsung Electronics инвестирует 133 трлн вон в производство логических микросхем к 2030 году Компания Samsung Electronics объявила, что к 2030 году инвестирует 133 трлн вон для укрепления своей конкурентоспособности в области производства …

Samsung сертифицировала инструменты для 5-нм производства Компания готовится к производству 5-нм процессоров

Онлайн-магазины утверждают, что у процессора Ryzen 9 3800X будет 16 ядер при частотах 3,9-4,7 ГГц До анонса процессоров AMD Ryzen третьего поколения осталось совсем немного. Напомним, это должно произойти на выставке Computex в конце месяца. Несмотря на это, достоверных данных о процессорах до сих пор нет, причём это касается и частот, и ядер. И вот сегодня в Сети п...

Счетная палата выявила срыв сроков перехода на информсистему ЕГРН по вине Росреестра Росреестр не уложился в сроки с переходом на федеральную государственную информационную систему ведения Единого государственного реестра недвижимости (ФГИС ЕГРН). Сроки выполнения проекта не соблюдались на протяжении всех этапов реализации. С августа 2018 года Росреестр и во...

TSMC начнет производство 5-нм чипов в марте 2020 года Ранее в 2019 году компания приступила к массовому производству микросхем по технологическому процессу 7 нм+ второго поколения.

LG закроет завод по производству смартфонов Компания перебросит производство во Вьетнам, чтобы избавить мобильный бизнес от затяжных убытков.

Возвращение Rockchip. SoC RK3588 предложит восьминанометровый техпроцесс и ядра Cortex-A76 и Cortex-A55 Компания Rockchip на данный момент редко мелькает в новостях тематических ресурсов. Даже китайские производители планшетов сейчас используют платформы Rockchip достаточно редко. Однако вскоре компания сможет предложить производителям устройств весьма современную SoC. Од...

Продвинутая альтернатива DDR-памяти Компания IBM ожидает появление в будущем контроллеров, позволяющих использовать интерфейс OMI с графической памятью DRAM в качестве альтернативы набирающей популярности, но все еще дорогой и энергозатратной HBM-памяти. Производитель намерен использовать новый интерфейс в про...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

Google начал переход на аукцион первой цены в Ad Manager Google объявил о начале перехода на аукцион первой цены в Менеджере рекламы (Ad Manager). Это изменение было анонсировано в марте, теперь компания приступила к его запуску. Обновление призвано упростить платформу и создать честный и прозрачный аукцион для всех участников. В ...

Расходы на освоение 10-нм техпроцесса сильнее всего влияют на прибыль Intel в серверном сегменте Потому что в мобильном сегменте 10-нм процессоры уже приносят компании прибыль.

«Очки н-нада?» или «чем вредны готовые китайские очки»? У многих людей (особенно старшего возраста, особенно учитывая нетерпеливость россиян) возникает соблазн купить готовые очки (как правило, производства – Китай) в переходе или мини-оптике в супермаркете. Чем это может грозить я попросила рассказать читателям Хабра эксперта ...

LG анонсировала первый в мире скручивающийся OLED-телевизор Компания LG сделала несколько анонсов до старта выставки CES 2019, однако особо внимание привлёк представленный сегодня телевизор LG Signature OLED TV R (65R9). Его главная особенность заключается в возможности экрана скручиваться внутрь подставки. Проще говоря, развернуть ...

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

Процессоры AMD EPYC Genoa на микроархитектуре Zen 4 будут поддерживать память DDR5 и шину PCIe 5.0 Рассказывая о процессорах EPYC Milan, компания AMD затронула и процессоры EPYC следующего за ними поколения, носящие условное наименование Genoa. Эти процессоры основаны на микроархитектуре Zen 4 и в настоящее время находятся в разработке. Их выпуск запланирован на 2021...

TSMC готовит 7 нм EUV производство на этот квартал Сайт DigiTimes сообщает, что компания TSMC близка к началу производства продукции по 7 нм EUV технологии. Источником информации выступил китайский ресурс Commercial Times.

Essential прекращает производство Essential Phone Последний год был не самым удачным для Essential. На данный момент, компания подтвердила, что прекращает производство смартфона Essential Phone и сконцентрируется на производстве нового продукта. Подробнее об этом читайте на THG.ru.

Наигрались: Razer сворачивает производство Razer Phone 3 и закрывает мобильное подразделение Компания уволила 30 сотрудников, которые занимались производством и продвижением смартфонов, а также созданием программного обеспечения для них.

Банк ЗЕНИТ и «Диасофт»: проект перехода на МСФО (IFRS) 9 Совместная команда Банка ЗЕНИТ и компании «Диасофт» реализовала проект по переходу банка на МСФО (IFRS) 9. Проект был успешно завершен параллельно с внедрением в банке системы Diasoft FA# Beans для автоматизации работы ...

Xiaomi строит свою фабрику по выпуску 5G-смартфонов Сегодня в Пекине стартовала конференция World 5G 2019, посвященная развитию сетей пятого поколения. Во время мероприятия выступил глава Xiaomi Лэй Цзюнь, который сообщил о том, что компания строит свою фабрику по производству 5G-смартфонов. Новый завод будет способен произво...

Rolls-Royce опробует 3D-принтеры от SLM Solutions в производстве авиационных двигателей Компания Rolls-Royce, один из ведущих производителей авиационных двигателей, возьмет на вооружение 3D-принтеры производства немецкой компании SLM Solutions, работающие по технологии селективного лазерного наплавления металлопорошковых композиций (SLM).Подробнее...

LG Display инвестирует 2,6 млрд долларов в производство панелей OLED в Южной Корее Компания LG Display сообщила, что инвестирует 2,6 млрд долларов в свою линию по производству панелей OLED, расположенную в Южной Корее. Ранее поставщик панелей для устройств Apple прогнозировал, что 2019 год будет тяжелым, поскольку значительные средства в выпус...

Гуд бай, Токио: Samsung нашла источник фоторезиста в Бельгии Сайт Nikkei Asian Review поделился информацией о новом источнике фоторезиста для компании Samsung Electronics. Этот ключевой материал для производства чипов южнокорейская компания получала от партнёров в Японии, но с 4 июля поставки оказались под угрозой срыва из-за санкций ...

TSMC о 6 нм: это техпроцесс не для всех Но для многих, кому не по карману 5 нм.

Предварительные данные о производстве и продаже электромобилей компании Tesla за 4-й квартал и за 2018-й год Источник Сегодня компания Tesla в своем пресс-релизе сообщила предварительные результаты производства и реализации автомобилей за 4-й квартал и за 2018-й год в целом. В прошлом квартале компания почти достигла отметки в 1 тыс. автомобилей, которые она производила и реализ...

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

VW рассчитывает скоро превзойти Tesla на рынке электромобилей Компания Volkswagen (VW) к концу 2022 года планирует увеличить выпуск электромобилей примерно до 1 млн штук в год. Это позволит немецкому автомобилестроителю превзойти Tesla по объемам выпуска и сделать Китай ключевым полем битвы. Volkswagen готовит два китайских завода...

Intel вложила массу средств в 10 нанометров Длительное время пользователи жалуются на то, что компания Intel якобы не хочет переходить на новый технологический процесс в 10 нанометров. Это весьма странное мнение, ведь для компании переход на новые технологии позволяет привлечь новую аудиторию и продать больше своих пр...

Samsung Display попытается вернуться на рынок телевизионных панелей OLED По данным Digitimes Research, компания Samsung Display попытается вернуться на рынок телевизионных панелей OLED, наладив выпуск панелей QD-OLED, в которых технология органических светодиодов объединена с технологией квантовых точек. Эти панели позволять материнской комп...

Внимание! В связи с переходом на цифровое ТВ активизировались мошенники Как показывает практика, про переход на цифровое вещания ТВ слышали многие, но далеко не все представляют, что это и когда случилось. Такая полуинформированность населения как нельзя лучше играет на руку различным не очень честным личностям и различного рода мошенникам. ...

Google без предупреждения собирает медицинские данные В рамках секретного проекта, развернутого в 21 штате, компания взялась за истории болезней миллионов людей, не предупредив ни врачей, ни пациентов.

Госдума поддержала переход на электронные трудовые книжки Стало известно о том, что Госдума Российской Федерации все же приняла законопроект о переходе на электронные трудовые книжки в окончательном чтении. Для того, чтобы документ обрел юридическую силу, его должен сначала утвердить Совет Федерации, а затем подписать президент Рос...

Samsung инвестирует $116 млрд в разработку и производство микропроцессоров в ближайшие 10 лет В 2018 году подразделение по производству чипов принесло компании 75% всего операционного дохода, а новые инвестиции, по мнению Samsung, помогут обогнать Qualcomm и TSMC.

Самый маленький автомобиль Машина вмещает одного взрослого человека и сумку, обладал лишь одной дверью слева и имел одну фару, и в настоящее время удерживает рекорд самого маленького серийного автомобиля. Устройство было оснащено рукояткой сзади, которая позволяла его вручную развернуть или зата...

В Сколтехе запущена первая базовая станция в рамках тестовой зоны 5G Радиооборудование базовой станции (БС) работает в диапазоне 4,8-4,99 ГГц в соответствии с разрешением на использование частот, которое было выдано Государственной комиссией по радиочастотам (ГКРЧ) для создания пилотной зоны сетей связи 5G.ЦК НТИ были проведены первые успешны...

Tesla приостановила план по закрытию магазинов и переход в онлайн В конце февраля Tesla объявила о планах полного перехода на онлайн-модель продаж для снижения цен на свои автомобили. Но теперь план приостановлен.

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

В России отключают аналоговое ТВ. В пользу цифрового В семи регионах России отключили аналоговое телевещание для перехода на цифровое. От аналогового ТВ отказались Магаданская, Пензенская, Рязанская, Тульская, Ульяновская, Ярославская области и Чечня. Они вошли в первый этап переход на «цифру», который происходит в соответстви...

Японцы научились эффективно извлекать кобальт из выработанных аккумуляторов По сообщению японских источников, компания Sumitomo Metal разработала эффективный техпроцесс для извлечения кобальта из выработанных аккумуляторов для электрокаров и не только. Технология позволит в будущем избежать или смягчить дефицит этого крайне редко встречающегося на З...

iPhone в 2020 году получат 5-нм процессоры Тайваньская компания по производству полупроводников (TSMC) объявила о создании инфраструктуры для 5-нм техпроцесса, которая уже находится в стадии предварительного производства. Компания планирует инвестировать $25 миллиардов в объём производства к 2020 году. Микросхемы, в…

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

В Руанде открыли первое в Африке предприятие по производству смартфонов Завод компании Mara Group расположен возле Кигали, и на нем осуществляется не только сборка двух недорогих смартфонов под брендом Mara, но и производство комплектующих для них.

Samsung представила 3 нм техпроцесс Обсуждаем передовые технологии Samsung

Как правильно и зачем отключать iMessage при переходе с iPhone на Android iMessage – отличное изобретение Apple! Сервис позволяет бесплатно отправлять фото и видео, а также переписываться с друзьями, у которых есть iPhone, iPad или Mac. Правда существует один нюанс, о котором необходимо помнить в случае перехода с iOS на другие мобильные платформы...

Официальные изображения электромобиля Opel eCorsa попали в сеть за месяц до анонса, скорее всего он получит мощность 100 кВт и батарею на 50 кВтч Еще в прошлом году стало известно, что электромобиль Opel Corsa запустят в производство в 2019 году. До официальной презентации новинки остается еще несколько недель (ориентировочно — в июне), однако благодаря утечке мы уже сейчас можем оценить дизайн модели. Старт про...

Dassault Systemes поможет Boom Supersonic ускорить разработку самого быстрого коммерческого авиалайнера в истории Компания Dassault Systèmes объявила о партнерстве с компанией Boom Supersonic. В рамках сотрудничества Dassault Systèmes развернет платформу 3DEXPERIENCE, которая позволит ускорить проектирование и разработку авиалайнера Overture. Ожидается, что Overture б...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Binance будет работать лишь с токенами Tether стандарта ERC-20 Криптовалютная биржа Binance объявила о переходе с OMNI-адресов токенов USDT на адреса стандарта ERC-20. В связи с этим некоторое время возможность ввода/вывода стейблкоинов Tether будет недоступна. #Binance Will Change $USDT Deposits & Withdrawals to be ERC20-basedhttps...

Компания Samsung планирует создать чипы по техпроцессу 3 нм Чипы, созданные по техпроцессу 3 нм, будут потреблять вдвое меньше энергии, чем современные, созданные по техпроцессу 7 нм. Производительность таких чипов вырастет на 35%. Размер чипа станет меньше на 45%.

Разработчики Dash назвали сроки запуска Evolution в тестовой сети Затянувшееся ожидание релиза Dash Evolution, судя по всему, подходит к концу. Так, на 7 декабря запланирован специальный ивент, на котором будут представлены детали запланированного на декабрь выпуска обновления в тестовую сеть Evonet. Мероприятие, которое организует Dash Co...

Covestro нарастит производство фотополимеров для 3D-принтеров Carbon Немецкая химическая компания Covestro AG поможет американскому производителю скоростных стереолитографических 3D-принтеров Carbon с наращиванием производства специализированных фотополимерных смол, используемых в качестве расходных материалов.Подробнее...

Google перенесёт производство смартфонов на фабрику Nokia из-за антикитайских пошлин Трампа Компания Google начала комплектовать старую фабрику Nokia во Вьетнаме в рамках подготовки переноса производства смартфонов Pixel из Китая. Об этом сообщили два источника издания Nikkei Asian Review.

Qualcomm представила чипсет Snapdragon 712 для среднеуровневых смартфонов Компания Qualcomm анонсировала новый мобильный чипсет Snapdragon 712. Новинка основана на том же 10-нм техпроцессе FinFET, что и Snapdragon 710, но имеет более быстрый 8-ядерный процессор, который до 10% производительней, чем предшественник, а также улучшенные технологии ИИ....

Массовое производство гибких экранов TCL для смартфонов начнется в этом году 30 мая компания TCL в сообщила, что в Ухане началась эксплуатация производственной линии по выпуску гибких панелей LTPS-AMOLED с запланированной производительностью 45 тыс. единиц в месяц. Компания также подписала соглашения с некоторыми производителями смартфонов, сред...

Запаситесь терпением: 10-нм процессоров Intel для десктопов не будет до 2022 года Как следует из просочившихся в прессу документов о ближайших планах компании Intel на процессорном рынке, будущее компании вырисовывается далеко не в радужных тонах. Если документы верны, то увеличение числа ядер в массовых процессорах до десяти штук произойдёт не ранее 2020...

Qualcomm поможет России с развертыванием 5G mmWave Калифорнийская компания Qualcomm активно сотрудничает с отраслевыми структурами России по развертыванию 5G mmWave. Если все пройдет гладко, сеть будет запущена в Москве этой осенью. Проблемы развертывания 5G связаны с тем, что, в отличие от стандарта 4G, требуется большее к...

Intel анонсировала процессоры Coffee Lake серий U и Y Чипы на техпроцессе 14 нм предназначаются для ультрабуков и ноутбуков.

Samsung поделилась успехами в освоении 3-нм техпроцесса Она опередит конкурентов на год, как минимум.

Жизненный цикл 14-нм техпроцесса Intel продлила до 2021 года Он будет существовать бок о бок с 7-нм техпроцессом.

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

TSMC утверждает, что все использующие 7-нм техпроцесс клиенты перейдут на 5-нм И AMD, хотя это имя и не упоминается открыто.

Вышел PHP 7.4! Как Badoo переходит на новую версию Сегодня, наконец, опубликован релиз PHP 7.4! Его новые фичи уже были многократно описаны, в том числе и на Хабре. Это стрелочные функции, типизированные свойства классов и ещё много всякого синтаксического сахара. Но больше всего мы ждали новый релиз из-за производительност...

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

Amazon планирует закупить 100 000 электрических фургонов Главный исполнительный директор Amazon.com Джефф Безос (Jeff Bezos) сегодня заявил, что к 2040 году компания станет нейтральной по выбросам углерода. Частью соответствующей стратегии является переход на использование электромобилей для доставки заказов. Крупнейший онлай...

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

Natura Siberica решила заняться производство бутилированной воды Компания Natura Siberica анонсировала строительство на Курильских островах завода по производству бутилированной воды. В реализацию данного проекта производитель косметики планирует вложить более 200 млн рублей.

Intel расширит 14 нм производство В Сети ходят слухи о том, что компания Intel может в очередной раз столкнуться с трудностями при производстве 14 нм процессоров. Компания предприняла меры по выходу из кризиса, однако к традиционному всплеску спроса в конце года она может оказаться не готова.

LG презентовала серийный телевизор со сворачивающимся экраном На прошлой выставке CES компания LG показала прототип сворачивающегося телевизора, а теперь, спустя год, представила на очередной CES серийное устройство. Модель получила название Signature OLED TV R; как сообщается, устройство поступит в продажу ориентировочно этой весной. ...

Яндекс запускает производство собственных сериалов Компания Яндекс намерена в этом году заказать производство 8−10 сериалов и, вероятно, показать свои первые проекты в начале 2020. С помощью контента собственного производства компания планирует развивать свой онлайн-кинотеатр и увеличивать число платных п...

Samsung развернёт новые производственные мощности в Индии Южнокорейский гигант Samsung, по сообщениям интернет-источников, намерен сформировать два новых предприятия в Индии, которые займутся производством компонентов для смартфонов. Фотографии Reuters В частности, подразделение Samsung Display намерено ввести в строй новый завод в...

Yangtze Memory начинает массовое производство 64-слойной памяти 3D NAND Китайская государственная полупроводниковая компания Yangtze Memory Technologies (YMTC), основанная в 2016 году, заявила о начале массового производства 64-слойной флэш-памяти.

Sony прекращает производство PS Vita Игровая консоль PlayStation Vita официально мертва. Компания Sony сообщила о прекращении производства игровых консолей, последних двух моделей Vita.

Новый Apple Mac Pro будут производить в Китае, а не США Хотя нынешний настольный компьютер Mac Pro выпускается в Техасе с момента его появления на рынке в 2013 году, новая модель Mac Pro, представленная компанией Apple в этом месяце на конференции разработчиков WWDC 2019, как утверждает ресурс The Wall Street Journal, будет произ...

Процессоры AMD на архитектуре Zen 3 будут переведены на техпроцесс 7nm++ В продаже они появятся в 2020 году.

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

В третьем квартале выручка TSMC на 27% зависела от 7-нм техпроцесса Чем дальше, тем этот показатель будет выше.

Глава NVIDIA намекнул, что 7-нм изделия конкурента ни на что не годятся Старый 12-нм техпроцесс лучше 7-нм двух?

Ducati готовит экспансию на рынок электрических мотоциклов Один из мировых лидеров в производстве мотоциклов итальянская компания Ducati (в настоящее время принадлежит Audi AG) уже неоднократно заявляла о своих намерениях вплотную заняться производством электрических мотоциклов.

Samsung начинает массовое производство накопителя eUFS 3.0 емкостью 512 Гб Компания Samsung Electronics объявила о начале массового производства встраиваемого накопителя Universal Flash …

General Motors вложила $3 млрд в новую линию по производству электромобилей в Детройте, где будет собирать электрические пикапы GMC, кроссоверы Cadillac (и, скорее всего, Hummer) В июне текущего года появилась информация, что американский автопроизводитель General Motors рассматривает возможность возродить бренд Hummer, сделав его полностью электрическим. Изданию Reuters удалось выяснить новые подробности, которые косвенно подтверждают реалистичность...

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

Барак и Мишель Обама снимут кино про Трампа для Netflix Бывший президент США Барак Обама и его супруга Мишель представили список совместных проектов, которые будут запущены в производство их продюсерской компанией Higher Ground Productions совместно с Netflix. Когда начнутся съёмки, пока неизвестно.Когда начнутся съёмки, пока ...

Нехватка процессоров Intel сохранится до 2020 года По данным тайваньского ODM-производителя Compal Electronics, нехватка процессоров Intel продолжится во втором полугодии этого года и сохранится до начала 2020 года. В конце прошлого года Intel заявила о дефиците производственных мощностей, что привело к нехватке 14-нанометро...

Samsung начинает массовое производство первой флэш-памяти объемом 1 ТБ Компания Samsung объявила о начале массового производства первой флэш-памяти eUFS (embedded Universal Flash Storage) 2.1 емкостью 1 ТБ для мобильных устройств следующего поколения. ***

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

LG Display дополнительно инвестирует 3 трлн. вон (2,5 млрд. долл.) Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370

Открыто новое производство тонера CET Group в Японии В июле 2018 года открылся новый филиал группы компаний СЕТ в Японии, что послужило началом собственного бизнеса по производству «механических» ...

Samsung инвестирует $11 млрд в производство дисплеев на ... Сегодня корпорация Samsung сделала официальное объявление о своих планах инвестировать $11 млрд (13,1 трлн вон) в разработку и производство дисплеев следующего поколения с квантовыми точками. Такое решение принято в попытке справиться с падением спроса на LCD-матрицы, растущ...

Названы сроки перехода России на четырёхдневную рабочую неделю Премьер-министр России Дмитрий Медведев на Международной конференции труда в Женеве сообщил, что не исключает в перспективе перехода мировой экономики к четырехдневной рабочей неделе. По мнению экспертов, переход на четырехдневную рабочую неделю в России возможен не ранее, ч...

Более 100 миллионов россиян полностью перешли на цифровое ТВ "В подавляющем большинстве регионов третьего этапа переход на цифру происходит без каких-либо серьезных проблем", - заявил заместитель министра цифрового развития, связи и массовых коммуникаций Российской Федерации Алексей Волин. Он отметил, что готовность жителей ...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Выходцы из Soylent привлекли 5 млн USD на производство никотиновых жвачек Один из соучредителей Soylent Д. Рентельн спустя два года после ухода из стартапа запустил проект Lucy. Новая компания специализируется на производстве никотиновой жвачки.

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

Бизнес на 3D печати. Реальный кейс от SHOKOBOX - Влог 11 Возможно ли внедрить 3D печать в производство и получить реальный профит? Мы запустили серию влогов, где на примерах конкретных бизнесов и производств расскажем, как компании внедряют 3D печать.Подробнее...

LG Display дополнительно инвестирует 3 трлн. вон (2,5 млрд. долл.) в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея.

SK Hynix приступает к массовому производству 128-слойной 4D NAND Компания SK Hynix начала массовое производство первой в мире 128-слойной памяти типа 4D NAND.

Audi ускоряет разработку электромобилей, выделив 12 млрд евро инвестиций Audi объявила о намерении ускорить реализацию своих планов по расширению ассортимента выпускаемых электромобилей. В связи с этим был обновлён график инвестиций, который теперь включает финансирование расширения электрической мобильности в размере 12 млрд евро. Всего компания...

Ericsson развернет 5G-сеть для датского оператора TDC

Google тянет третий кабель под водой Американский интернет-гигант протягивает волоконно-оптическую линию связи, начало которой будет в Лиссабоне (Португалия), а конец - в Кейптауне (ЮАР). Также будет организовано промежуточное соединение в Лагосе (Нигерия, там родился Олауда Эквиано).Для развёртывания этого каб...

Tele2 первой запустила 5G в центре Москвы Мобильный оператор Tele2, совместно с компанией Ericsson, развернула тестовый участок с поддержкой 5G-сети на Тверской улице в Москве. В дальнейшем компания планирует расширить список городов, в которых появятся пилотные зоны 5G.

В России открылась первая студия производства Dolby Vision В мире наблюдается удивительная картина: телевизоры с поддержкой Dolby Vision есть у миллионов людей, десятки моделей смартфонов работают с этой технологией, а вот контент практически отсутствует. Всего насчитывается не более 50 студий, которые способны делать цветокоррекцию...

ASML сообщает о превосходном спросе на EUV-сканеры Нидерландская компания ASML ― глобальный лидер по выпуску производственного литографического оборудования для изготовления полупроводников ― сообщила о рекордном по сумме заявок квартале. Не в последнюю очередь в этом проявился чрезвычайно высокий спрос на сканеры диапазона ...

Samsung Display приписывают намерение инвестировать 11 млрд долларов в производство жидкокристаллических дисплеев Компания Samsung Display планирует выделить 11 млрд долларов на модернизацию южнокорейского предприятия, выпускающего жидкокристаллические дисплеи. Об этом сообщило информационное агентство Yonhap, уточнив, что производитель обнародует свои планы в октябре. По словам пр...

Anker также представила кабели с разъемами USB-C и Lightning Напомни, перед Consumer Electronic Show 2019 был представлен первый кабель с разъемами USB-C и Lightning, который будет выпущен не компанией Apple. Речь идет об аксессуарах производства Belkin ценой от 25 до 35 долларов. Как мы уже сообщали, появление новых подобных ка...

Бывшие специалисты GlobalFoundries помогут Intel освоить 7-нм техпроцесс Начатое нужно доводить до конца.

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

Мобильный AMD Athlon 300U не определился с принадлежностью к техпроцессу Противоречия присутствуют даже в официальных источниках.

Intel в своём репертуаре: цены растут, объёмы реализации падают Зато с 10-нм техпроцессом всё не так плохо, как представляется многим.

Память DDR4 скоро станет дешевле, поскольку DDR4 Samsung B-Die переходит на 10-нм техпроцесс Память DDR4 становится дешевле

В сети появились подробности о готовящихся процессорах AMD Athlon 300GE и 320GE Техпроцесс меньше, частоты выше

Intel вынуждена увеличивать расходы на литографию с прошлого года Фабрик мало, 10-нм техпроцесс поддаётся плохо.

Представлен российский процессор Baikal-M на 28 нм техпроцессе Пока все переходят на 7 нм, Байкал осваивает 28!

Представлена операционная система Android 10 Компания Google только что завершила презентацию операционной системы Android 10, которая пока не получила собственного имени. Рассказали не очень много, так как система в виде бета-версии уже доступна в течение нескольких месяцев. В Android 10 появится нативная поддер...

Дональд Трамп призвал американские компании самостоятельно работать над 5G и 6G Президент США Дональд Трамп (Donald Trump) сделал публичное заявление, в котором он заявил, что американские компании должны развернуть сети 5G в стране как можно быстрее. Кроме того, он уже говорит не только о 5G, но и о сетях шестого поколения. Я хочу, чтобы техноло...

Еще больше Xiaomi Mi 9. Глава Xiaomi все же взял в руки отвертку Исполнительный директор компании Xiaomi Лей Цзунь (Lei Jun) продолжает активно общаться с пользователями через свою страничку в социальной сети Weibo. В начале марта глава компании заявил, что он лично отправится на производство и возьмет в руки отвертку, если в течение...

LG Display сократит четверть руководящего и офисного персонала В пятницу южнокорейская компания LG Display сообщила о предстоящем масштабном разовом сокращении персонала. «Перегруппировке и оптимизации» подверглись руководящие и деловые кадры ― инструменты по принятию и проведению решений в жизнь производителя. Работы в компании лишится...

Samsung получила заказы на производство процессоров от Intel Компания Intel в очередной раз сообщила, что она испытывает проблемы с объёмами производства процессоров. Гонка с AMD за количество ядер привела к увеличению физического размера чипов, а значит, уменьшению их числа на одной пластине и дальнейшему росту дефицита.

Xerox покажет технологии автоматизации полиграфического производства на PrintShow "Креативная весна" Xerox проведёт PrintShow "Креативная весна" совместно с компаниями NBZ Computers и "Русском". Участники мероприятия узнают, как ускорить полиграфическое производство и повысить качество продукции, используя систему автоматизации Xerox FreeFlowCore.

Новгородская область готовится к переходу на цифровое вещание По мнению замглавы ведомства, процесс в регионе организован на достойном уровне, особое внимание власти уделили поддержке социально незащищенных групп населения, а также подготовке волонтеров."Быстро и хорошо идет работа по решению вопросов тех немногочисленных людей, к...

Встала задача перейти в облако? 7 советов, как не накосячить Компании по всей планете переходят в облака — настоящий «техтонический» сдвиг. Изменения происходят не только из потребности использовать в облаках софт, но и благодаря тому, что компании переосмысливают свои корпоративные стратегии, размещение своих внутренних данных и кон...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Honor V30 может стать первым пентакамерным смартфоном всея Huawei Флагманские смартфоны Huawei и принадлежащего ей бренда Honor пока обходятся камерами с четырьмя датчиками, но в скором времени может случится переход на камеры с пятью датчиками. Таковой, по данным источника, будет оснащен Honor V30. В контексте этих данных источник вс...

Электрический внедорожник Fisker Ocean будет стоить менее 40 000 долларов Компания Fisker ранее сообщала, что планирует выпустить относительно доступный электрический внедорожник в 2021 году. Согласно новым сведениям, машина будет называться Fisker Ocean и выйдет на рынок раньше, чем ожидалось. Производитель решил пропустить этап выпуска демо...

Foxconn запустит свой завод в США уже через год. Ждем американские iPhone? Таможенные пошлины, которыми Дональд Трамп грозил обложить технику Apple, не были введены до сих пор и, вероятно, не будут введены в будущем. Причина – возможный перенос производства устройств компании на территорию США. Это следует из разговора губернатора штата Висконсин ...

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

Сэкономленные на разработке модемов средства Intel направит на освоение 7-нм техпроцесса И на улучшение показателей 10-нм техпроцесса.

Определена приблизительная площадь кристалла 10-нм процессоров Intel Ice Lake AMD со своим 7-нм техпроцессом не так уж сильно вырвалась вперёд.

К 2021 году TSMC уже освоит второе поколение 5-нм техпроцесса Intel же будет всё ещё барахтаться в ранней стадии освоения 7-нм технологии.

Intel работает над созданием 10-нм настольных процессоров Но конечных пользователей не должен беспокоить техпроцесс сам по себе.

Рассекречены характеристики отечественного ARM-процессора Он изготовлен по 28-нм техпроцессу и имеет восемь ядер ARM Cortex-A57

AMD выпустила чип Ryzen CPU, изготовленный по техпроцессу 7 нм AMD представила новый чип Ryzen CPU, изготовленный по техпроцессу 7 нм и предназначенный для настольных ПК. Чип базируется на архитектуре Zen 2.

В рамках 5-нм техпроцесса EUV-литография будет использоваться гораздо активнее Популярность технологии растёт.

TSMC: освоение 3 нм техпроцесса идет по плану. Появились первые клиенты Пока Intel освоит 10 нм техпроцесс, TSMC начнет выпускать чипы по 5 нм техпроцессу, а там и до 3 нм недалеко

В России стартовало производство SSD GS Group с интерфейсом PCIe Центр разработки микроэлектроники в составе GS Group ― GS Nanotech ― приступил к производству первых в России твердотельных накопителей с интерфейсом PCIe и с поддержкой протокола NVMe. Разработка и производство новинок полностью локализованы в России в инновационном кластер...

Netflix опубликовал первый тизер-трейлер анимационного сериала «Призрак в доспехах: SAC_2045» / Ghost in the Shell: SAC_2045, премьера запланирована на 2020 год Еще в прошлом году стало известно, что Netflix заказал анимационный сериал по вселенной «Призрака в доспехах» и запланировал его выход на 2020 год. Сегодня сервис опубликовал первый тизер-трейлер проекта «Ghost in the Shell: SAC_2045». Сериалом занимался ...

В сети криптовалюты Grin состоялся первый плановый хардфорк В сети ориентированной на повышенную приватность пользователей криптовалюты Grin состоялся первый запланированный хардфорк. Обновление является обратно несовместимым и направлено на повышение децентрализации майнинга, сообщает CoinDesk. «Это было запланировано еще до запуска...

Ricoh представила технологию струйной печати литиево-ионных аккумуляторов Японская компания Ricoh сообщила, что она разработала первую в мире технологию струйной печати литиево-ионных аккумуляторов. Компании, заинтересованные в производстве аккумуляторов по новой технологии, смогут получить к ней доступ уже до конца марта 2020 года (в 2019 финансо...

Российским регистраторам больше нельзя тянуть с переходом на IPv6 IPv4 адреса заканчиваются — локальные регистраторы получают их в символических дозах. В России переход на IPv6 идёт существенно медленнее, чем в Европе, и это ставит отечественных провайдеров в трудное положение ...

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

И снова о макетах Всем привет! У нас тут очередные макеты были отгружены заказчику.В этот раз конструктора Заказчика отдали 3D-файлы горной техники без права передачи на сторону. Но при масштабировании, естественно, слетели размеры тонких элементов, пришлось кое-что и немало допиливать. Но в ...

Samsung снизит затраты на производство смартфонов с помощью Китая Сетевые источники сообщили, что в следующем году компания Samsung намерена нарастить объёмы ODM-производства смартфонов. То есть значительное количество устройств южнокорейского гиганта будут собраны сторонними китайскими компаниями.

Apple вдвое уменьшила объем производства iPhone XS Max Осенью источники из Китая сообщали, что Apple заметно снизила объемы заказов на iPhone XS и XS Max, а производство XR вообще было приостановлено почти вполовину от изначального. Кроме того, компания предоставляет некоторым операторам субсидии, за счет которых они снижают ст...

В картах Google появился режим инкогнито На конференции I/O 2019 в мае Google объявила о том, что работает над режимом «Инкогнито» для сервиса Maps. Теперь наконец компания развернула тестирование нововведения.

Не нравятся китайские iPhone? Теперь есть возможность купить индийские Большая часть устройств Apple производится в Китае. Но не так давно партнёры купертинского гиганта начали производство смартфонов в Индии, так как это позволяет Apple избежать дополнительных налогов в этой стране. И вот теперь сообщается, что индийские iPhone уже пошли...

Франция и Германия договорились о создании первого консорциума по производству аккумуляторных элементов Франция и Германия обратились к Европейской комиссии с просьбой дать зеленый свет государственным субсидиям для трансграничного консорциума по производству аккумуляторных батарей. В него войдут автопроизводитель PSA с немецкой дочерней компанией Opel и французская компа...

Китайские учёные разработали 3-нм транзистор По сообщению китайского издания South China Morning Post, группа китайских исследователей из Института микроэлектроники китайской академии наук разработала транзистор, который можно будет выпускать в рамках 3-нм техпроцесса. В отличие от 3-нм структуры транзистора компании S...

Прямая трансляция запуска Rocketlab Electron Легкая ракета-носитель Electron отправит на круговую орбиту высотой 450 километров семь микроспутников. Общая масса полезной нагрузки составит 80 килограмм, а название миссии «Да будет дождь» (Make it rain) посвящено дождливому Сиэтлу, где расположена штаб-квартира компании ...

Tele2 внедрила SAP RAR и перешла на новый стандарт МСФО 15 Tele2 объявил о завершении проекта по переходу на МСФО 15 — новый стандарт учета выручки по договорам с покупателями. Переход был реализован в сотрудничестве экспертов SAP Digital Business Services и проектной ...

Tesla сократит 3000 человек и сосредоточится на производстве Model 3 Tesla сокращает 7% своей рабочей силы. Компания сообщила об уменьшении численности персонала в письме всем сотрудникам, а также разместила информацию на своем веб-сайте. В электронном послании генеральный директор компании Илон Маск говорит, что основное внимание должно быт...

Intel готова к массовому производству энергонезависимой памяти MRAM Согласно отчету издания EETimes, компания Intel готова начать массовое производство магниторезистивной оперативной памяти SST-MRAM. Благодаря высокой стойкости и высокой прочности, STT-MRAM может стать будущей универсальной альтернативой традиционным DRAM и NAND. MRAM…

Huawei готовится захватить рынок 5G-смартфонов Несмотря на все запреты и проблемы Huawei остаётся второй крупнейшей компанией по производству смартфонов в мире. А учитывая такое количество поставок, производство устройств также ведётся в больших масштабах. Подробнее об этом читайте на THG.ru.

Росатом и Hermith займутся совместным производством материалов для промышленных 3D-принтеров Топливная компания Росатома АО «ТВЭЛ» и немецкий поставщик титановой продукции Hermith GmbH приступают к созданию совместного предприятия по производству расходных материалов для промышленных 3D-принтеров и других изделий из титана.Подробнее...

Samsung начала массовое производство оперативной памяти LPDDR4X на 12 Гбайт В прошлом месяце Samsung представила новые флагманы с объемом оперативной памяти 12 Гбайт. Сегодня компания объявила о старте массового производства оперативной памяти LPDDR4X объемом 12 Гбайт для премиальных смартфонов. Подробнее об этом читайте на THG.ru.

FortiConverter или беспроблемный переезд В настоящее время запускается множество проектов, целью которых является замена существующих средств защиты информации. И это неудивительно — атаки становятся все изощреннее, многие средства защиты уже не могут обеспечить должный уровень безопасности. По ходу таких проекто...

Названы примерные сроки выпуска объективов Nikkor с креплением Nikon Z в этом году Как известно, компания Nikon запланировала на этот год выпуск шести моделей объективов для беззеркальных камер системы Nikon Z. Публикуя план, производитель не стал уточнять сроки выхода каждой модели. Однако источник утверждает, что он располагает такой информацией. И...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Проверка в облаке топологии самого большого 7-нм GPU AMD заняла всего 10 часов Борьба за клиента заставляет контрактных производителей полупроводников становиться ближе к проектировщикам. Один из вариантов позволить клиентам со всего мира воспользоваться сертифицированными инструментами EDA со всеми последними изменениями ― это развернуть сервисы в пуб...

Мобильные процессоры Intel 10 поколения обгоняют последние чипы AMD Процессоры под кодовым именем Ice Lake появлялись на свет долго и мучительно. Intel несколько лет подряд испытывает трудности с переходом на более современный техпроцесс 10 нанометров и менее. В тоже время главный конкурент — AMD, — в 2019 году успешно анонсировал и затем вы...

Россия и Китай создадут конкурента OneWeb Британская компания OneWeb, планирующая развернуть всемирную сеть доступа к высокоскоростному интернету за счет сотен небольших спутников, может получить серьезного конкурента.

MediaTek анонсировала чипсет Helio M70 с поддержкой сетей 5G Компания MediaTek работает на новым SoC Helio M70, который получит встроенный модем 5G и новый процессор AI. Новинка составит конкуренцию топовым предложениям от Qualcomm, Samsung и Huawei. MediaTek Helio M70 будет изготавливаться по 7-нм техпроцессу FinFET, и использовать…

Первые живые фото упаковки Huawei Mate 30 Ресурс Slashleaks опубликовал первые фотографии упаковки смартфона Huawei Mate 30, на лицевой части которой присутствует надпись Supersensing Camera Leica. Это официальное название новой камеры, размещенной в круглом блоке на задней панели. Вторая фотография подтвержда...

Samsung готовится заменить техпроцесс 7-нм FinFET на 3-нм MBCFET Он позволит уменьшить площадь кристалла на 45%, энергопотребление на 50% и увеличить производительность до 30%

AMD будет продавать Radeon VII почти по себестоимости Уже совсем скоро, 7 февраля, начнутся продажи видеокарты AMD Radeon VII. Рекомендованная стоимость новинки составит $699, что, с учётом использования дорогой памяти HBM2, является вполне гуманным ценником. Поэтому ресурс Fudzilla решил выяснить себестоимость новинки и узнать...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

Конференция и практические семинары по аддитивным технологиям В рамках 25-ой Международной промышленной выставки МЕТАЛЛ-ЭКСПО 2019 приглашаем вас принять участие в конференции и практических семинарах по аддитивным технологиям. Мероприятия запланированы на 14 и 15 ноября 2019 года. Участие БЕСПЛАТНОЕ, но количество участников ограничен...

О системе бережливого производства Lean TPM рассказали на заводе «Балтика-Санкт-Петербург» 25 января на «Балтике» состоялось мероприятие, посвященное бережливому производству Lean TPM, в рамках Всероссийской программы обмена лучшими практиками повышения производительности труда, организованной Общественной палатой Российской Федерации. Бережливое производство стан...

Пользователи платформы Golos проголосовали за переход на блокчейн CyberWay В воскресенье, 21 апреля, на блог-платформе Golos завершился референдум по вопросу перехода на блокчейн CyberWay, по итогам которого большинство пользователей поддержало это решение. Об этом сообщается на официальном сайте площадки. За переход выступили 53.52% проголосовавши...

Google разрешил американским пользователям Gmail планировать отправку писем Пользователям веб-версии Gmail открыли возможность запланировать отправку письма на более позднее время. Обновление приурочено к 15-летнему юбилею со дня запуска почты Google, которая начала работу 1 апреля 2004 года. Чтобы включить таймер в веб-версии сервиса, необходимо на...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

ТД «Ярмарка» внедрил «1С:ERP Управление предприятием» Специалисты ООО «ИТРП» автоматизировали управление производством в компании «Торговый дом „Ярмарка“». Система «1С:ERP» помогла ускорить расчет спецификаций и оптимизировать управление обеспечением производства сырьем и материалами ...

Следующим электромобилем Jaguar станет седан XJ По сообщениям сетевых источников, вторым полностью электрическим автомобилем компании Jaguar Land Rover станет обновлённая версия седана XJ. Компания намерена инвестировать порядка $1,25 млрд на переоснащение производственного завода в Великобритании. Столь значительные влож...

Qualcomm представила 8-нм чипсеты Snapdragon 730, 730G и 11-нм Snapdragon 665 Компания Qualcomm представила сразу три новые мобильные платформы - Snapdragon 665, Snapdragon 730 и Snapdragon 730G. Причём, если первый чипсет построен на 11-нм техпроцессе LPP, то два последних используют более современный 8-нм технологический процесс LPP. Подробнее об эт...

SK Hynix анонсировала 16-гигабитные чипы DDR4, выпускаемые по нормам 1Z-нм Южнокорейский чипмейкер SK Hynix завершил разработку 16-гигабитных (2 ГБ) микросхем DDR4, для выпуска которых будет использоваться техпроцесс класса 1Z-нм. Об этом компания сегодня объявила в соответствующем пресс-релизе. Как отмечает вендор, благодаря новой технологии...

Samsung ограничит производство смартфонов в Китае Руководство компании Samsung приступило к оптимизации своей производственной площадки в Китае, осуществляющей выпуск смартфонов. Корейский гигант объявил о сокращении масштабов производства в связи с общим падением объемов реализации и необходимостью избежать перепроизводств...

В центре Москвы заработала сеть 5G Оператор Tele2 и компания Ericsson запустили первую в России пилотную зону 5G в центре Москвы. Компании развернули уличное (outdoor) покрытие на Тверской улице (от Кремля до Садового кольца). Пилотные зоны 5G в городах-миллионниках создаются в соответствии с национально...

Foxconn подтвердила предстоящий запуск массового производства iPhone в Индии Foxconn вскоре запустит массовое производство смартфонов iPhone в Индии. Об этом объявил глава компании Терри Гоу (Terry Gou), развеяв опасения по поводу того, что Foxconn предпочтёт Индии Китай, где она ведёт строительство новых производственных линий.

Samsung Display рассматривает возможность приостановки производства жидкокристаллических панелей Южнокорейский производитель плоских панелей Samsung Display сегодня заявил, что рассматривает возможность приостановки одной из своих производственных линий, занятых выпуском жидкокристаллических дисплеев. Причиной названо перепроизводство. У компании Samsung Display, ...

Vodafone и Huawei развернули сеть 5G на MWC 2019 Huawei и Vodafone и Huawei создали зону «высочайшего качества обслуживания 5G» на MWC 2019 в Барселоне.

AMD представила первую в мире видеокарту на базе нового 7-нм техпроцесса На выставке CES 2019, которая проходит с 8 по 11 января в Лас-Вегасе, компания AMD представила видеокарту Radeon VII. Это первая в мире видеокарта потребительского уровня на базе 7-нанометрового производственного процесса.

Xiaomi Mi Play — уже в Украине Mi Play работает на базе процессора MediaTek P35, который построен по 12 нм техпроцессу и имеет 8 ядер Cortex A53 с максимальной тактовой частотой 2,3 ГГц.

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

Графический процессор AMD Navi оказался почти на 60% компактнее конкурирующего NVIDIA Turing 7-нм техпроцесс помогает AMD не только в сегменте центральных процессоров.

На прирост быстродействия Matisse новый техпроцесс повлиял в меньшей степени Архитектурные изменения стали главным фактором.

Названы возможные причины, по которым Intel не будет использовать свой 10-нм техпроцесс для выпуска графических процессоров Корейское – значит "лучшее"?

Intel удаётся избежать сокращения затрат на разработки и исследования В новые техпроцессы и новые продукты приходится прилично вкладываться.

Акции Tesla обвалились на фоне крупнейшего снижения продаж в истории компании Изображение: Unsplash В среду, 3 апреля, компания Tesla опубликовала отчет о производстве и поставках электромобилей конечным покупателям. По итогам первого квартала 2019 года производство сократилось на 10%, а поставки – на 31%. Это крупнейшее падение в истории компании....

[Из песочницы] Что нужно знать перед переходом на Akka toolkit для реализации Event Sourcing и CQRS Здравствуйте, уважаемые читатели Хабра. Меня зовут Рустем и я главный разработчик в казахстанской ИТ-компании DAR. В этой статье я расскажу, что нужно знать перед тем, как переходить на шаблоны Event Sourcing и CQRS с помощью Akka toolkit. Примерно с 2015 года мы начали прое...

Samsung выпустит новый чипсет Exynos 9710 на 8-нм техпроцессе Компания Samsung работает над новым субфлагманским чипсетом Exynos 9710. Он выступит в роли преемника прошлогоднего Exynos 9610 и будет построен на 8-нм техпроцессе. Подробнее об этом читайте на THG.ru.

Прямая трансляция запуска Rocketlab Electron [Вторая попытка] Легкая ракета-носитель Electron отправит на круговую орбиту высотой 450 километров семь микроспутников. Общая масса полезной нагрузки составит 80 килограмм, а название миссии «Да будет дождь» (Make it rain) посвящено дождливому Сиэтлу, где расположена штаб-квартира компании ...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Крупноформатный 3D-принтер LSAM печатает оснастку для производства вертолетных лопастей Компания Thermwood изготовила часть 3D-печатной оснастки для производства лопастей несущих винтов по заказу вертолетостроительной компании Bell Helicopters Textron. В производстве шестиметровых форм используется крупноформатный 3D-принтер/фрезер собственной разработки и спец...

Samsung начинает массовое производство 12 ГБ оперативной памяти LPDDR4X для смартфонов Компания Samsung уже представила смартфоны Galaxy S10+ и Galaxy Fold с 12 ГБ оперативной памяти в прошлом месяце. Сегодня она объявила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ.  ***

GE налаживает серийную 3D-печать лопаток для авиадвигателей GE9X Авиационное подразделение корпорации General Electric заказало 27 промышленных 3D-принтеров производства шведской компании Arcam AB. Электронно-лучевые системы планируется использовать в производстве турбинных лопаток из алюминида титана для турбовентиляторных двигателей пов...

Спрос на LCD-экраны падает, но Samsung вложит в модернизацию $11 млрд Компания Samsung Display планирует вложить $11 млрд в завод по производству LCD-экранов в Южной Корее. При этом LCD-производство компании страдает от все более активной конкуренции со стороны китайских производителей и растущего спроса на OLED-экраны.

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

AWS IoT Greengrass позволяет NVIDIA Jetson развернуть ИИ в конечных устройствах NVIDIA объявила о сотрудничестве с Amazon Web Services (AWS) IoT по платформе NVIDIA Jetson, чтобы …

Наконец-то. Коммерческая печать панелей OLED начнется 2020 году Производители плоских панелей в Японии и в других странах разрабатывают технологию струйной печати OLED, причем японская компания JOLED, вероятно, будет первой, кто начнет продажи печатных панелей OLED в 2020 году. Такое мнение высказывают отраслевые наблюдатели. ...

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

Процессоры Intel Rocket Lake 11-го поколения получат до 8 ядер и устаревший 14 нм техпроцесс В последнее время Интел не радует своих клиентов

Процессоры Intel Tiger Lake могут обеспечить технологический прорыв благодаря зрелому 10++ нм техпроцессу - wccftech Скоро Интел снова будет впереди планеты всей

Особый вид квантового туннелирования может изменить полупроводниковую отрасль Что такое парадокс Клейна, и как он может помочь дальше уменьшать техпроцесс чипов.

Начало производства компьютерных материнских плат в России. производство Российских материнских плат формата MicroATX на архитектуре процессоров INTEL

Nikkei: Apple сократит производство iPhone По данным Nikkei Asian Review, Apple уменьшит объёмы производства смартфонов в январе–марте. Сокращение затронет новые модели.

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Дефицит процессоров Intel продлится до декабря текущего года В конце прошлого года еще встречались относительно позитивные прогнозы по ситуации нехватки процессоров Intel на рынке: мол, в 2019 году, если не в первом квартале, то уж к середине года точно, ситуация должна разрешиться. Судя по новым данным, дефицит CPU если и исчезн...

В России продлили сроки перехода на цифровое ТВ На сайте министерства цифрового развития, связи и массовых коммуникаций Российской Федерации опубликовано сообщение, касающееся перехода на цифровое телевещание. Суть его сводится к тому, что в 21 регионе России сроки перехода на цифровое ТВ перенесены на 14 октября. О...

Toshiba Memory запустила в производство XL-FLASH Компания Toshiba Memory Europe (TME) объявила о запуске в производство нового решения в области памяти класса хранилища (Storage Class Memory, SCM) — XL-FLASH. Оно создано на основе собственной инновационной технологии TME — ...

Открытая архитектура RISC-V пополнилась интерфейсами USB 2.0 и USB 3.x Как подсказывают наши коллеги с сайта AnandTech, один из первых в мире разработчиков SoC на открытой архитектуре RISC-V, компания SiFive приобрела пакет интеллектуальной собственности в виде IP-блоков интерфейсов USB 2.0 и USB 3.x. Сделка совершена с компанией Innovative Log...

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

Xiaomi построила суперфабрику по производству флагманских смартфонов Руководитель Xiaomi Лей Цзунь (Lei Jun) выступил сегодня на мероприятии World 5G Conference, где сделал очень интересное заявление. Оказывается, компания построила суперсовременную фабрику по производству смартфонов в особой экономической зоне Пекина (Beijing Economic a...

«Рикор» приступил к производству опытных образцов серверных блоков питания Российский инновационный холдинг «Рикор» приступил к производству опытных образцов серверных блоков питания …

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Huawei начала пробное производство 5-нм SoC Kirin 1000 Компания Huawei уже начала пробный этап производства новых флагманских чипов. По предварительным данным, данные SoC получат название Kirin 1000 и будут построены по 5-нм техпроцессу. Ожидается, что первыми смартфонами на базе этих процессоров окажутся флагманские Huawei Mate...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Венесуэла испытывает трудности при расчетах в El Petro и обсуждает переход на рубли Россия и Венесуэла обсудят возможность перехода на расчеты в рублях. Об этом сообщил посол Венесуэлы при женевском отделении ООН Хорхе Валеро, пишут «Известия». Дипломат рассказал, что американские санкции и блокировки счетов в долларах и евро негативно повлияли на...

G Suite сообщил о переходе с портала для пользователей на Dashboard В этом месяце G Suite переведёт все аккаунты с портала для пользователей на Dashboard – панель инструментов, с помощью которой можно легко находить и открывать сервисы G Suite и различные облачные приложения. В целом Dashboard представляет собой переработанную версию портала...

Airbus завершит производство самолетов A380 Компания Airbus сообщила о скором прекращении поставок самолетов A380. Это связано, в частности, с тем, что авиакомпания Emirates решила сократить заказ на данные самолеты с 162 до 123 аппаратов. По словам Airbus, последняя поставка A380 запланирована на 2021 год. Как пишет ...

M1Cloud развернул объектное хранилище на базе Cloudian HyperStore совместимое с Amazon S3 Сервис-провайдер M1Cloud компании Stack Group развернул объектное хранилище на базе Cloudian HyperStore для больших объемов неструктурированных данных: статического контента сайтов, медиа файлов, графики, резервных копий, архивов, корпоративных документов и т.д. Объектное хр...

M1Cloud развернул объектное хранилище совместимое с Amazon S3 Сервис-провайдер M1Cloud компании Stack Group развернул объектное хранилище на базе Cloudian HyperStore для больших объемов неструктурированных данных: статического контента сайтов, медиа файлов, графики, резервных копий, архивов, корпоративных документов и т.д. Объектное хр...

Названо топливо, которое остановит глобальное потепление Глобальное потепление должно быть как можно быстрее остановлено на уровне от +1,5оС до +2оС по отношению к показателям доиндустриальной эпохи. Это предусмотрено Парижским соглашением, достигнутым в ходе Рамочной конвенции ООН об изменении климата 2015 года. Для достижения та...

Samsung Display работает над новой технологией дисплеев В то время как Samsung Display, дочерняя компания Samsung Electronics, собирается сократить производство LCD-дисплеев, Samsung готовится к производству новых панелей с квантовыми точками. Так, генеральный директор Samsung Display Ли Донг Хун (Lee Dong-hoon) сообщил, что комп...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Производство электроэнергии солнечными электростанциями выросло в Казахстане По итогам I полугодия 2018 года объем производства электроэнергии всеми объектами ВИЭ составил 629,5 млн кВт/ч.

КГГА: За текущий год в Киеве заменили более 10 тыс. светильников на 70 улицах на LED-модели и начали устанавливать LED-камни на пешеходных переходах В КГГА рассказали, что в текущем году обновили освещение на 70 улицах Киева, установив энергоэффективные LED-лампы, а также добавили на пешеходные переходы LED-камни, которые работает синхронно со светофорами. По данным КГГА, за 2019 год в столице заменили более 10,000 свети...

Компания Brunner Elektronik — от CAD-системы до кабины пилота Компания Brunner Elektronik AG специализируется на проектировании и производстве силовой электроники и мехатронных систем, а также на оказании технической поддержки. Основанный в 1968 г. семейный бизнес обслуживает заказчиков в ряде отраслей, включая машиностроение, медицинс...

Нефтеперерабатывающий завод Zeeland Refinery устраняет разрыв между объемным и календарным планированием и фактическим производством c применением программного обеспечения от Aspen Technology Нефтеперерабатывающий завод осуществляет развертывание программного обеспечения Aspen GDOT с целью максимизации прибыли Aspen Technology, Inc. (NASDAQ: AZPN), компания-разработчик программного обеспечения для оптимизации производственных активов, объявила о том, что нефтепер...

Яндекс рассказал, как развернуть навык в Облаке Вышел восьмой урок Школы Алисы

Чипсет MediaTek 5G для смартфонов представят 26 ноября MediaTek сообщила о скором запуске нового 5G-чипсета, имеющего модельный номер MT6885Z, который состоится 26 ноября. В рамках Computex 2019 компания подтвердила, что новый MediaTek 5G будет изготовлен с применением 7-нм техпроцесса и получит встроенный модем MediaTek Helio M...

Контрактный производитель Jabil вдвое увеличил производственные мощности завода в Ужгороде Сегодня, 15 марта, компания Jabil, оказывающая услуги по контрактному производству разного рода электроники, открыла новую площадку на производстве в Ужгороде. Введение нового объекта в эксплуатацию увеличивает мощности завода вдвое. Сколько было инвестировано в расширение, ...

5G-зону в Москве развернут компании Tele2, Ericsson и «Ростелеком» Компании Tele2, Ericsson и «Ростелеком» в ходе Петербургского международного экономического форума 2019 года заключили соглашение о формировании новой тестовой зоны 5G в Москве. Сотовая связь пятого поколения (5G) рассматривается в качестве одной из ключевых составляющих IT-...

Представлена однокристальная платформа Samsung Exynos 9825 — первая в мире SoC, выполненная по технологии 7 нм EUV Компания Samsung предварила сегодняшний анонс смартфонов Galaxy Note10 и Note10+ анонсом однокристальной платформы Exynos 9825, используемой в этих моделях. Эта SoC преподносится под соусом первой в мире: и хотя она не является впервой в мире 7-нанометровой платформой, ...

«Рикор» запустил производство СХД в России Российский инновационный холдинг «Рикор» объявил об окончании разработки и начале производства опытной партии …

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Китай запускает производство полноценного электромобиля Ora R1 ценой 9000 долларов Китайский автопроизводитель компания Great Wall Motors объявила о начале серийного производства малолитражного электромобиля Ora R1 с дальностью пробега до 310 км. Выпуск новинки субсидируется государством, что наверняка сделает ее доступной для миллионов потенциальных владе...

В Москве заработала тестовая 5G-сеть Международная телекоммуникационная компания Tele2 в тандеме с компанией Ericsson развернули в центре Москвы первую в России пилотную зону 5G. Outdoor-покрытие в диапазоне 28 ГГц распространяется от Кремля до Садового кольца. Запуск осуществлен в тестовом режиме. Планируется…

Volvo будет использовать блокчейн для отслеживания происхождения кобальта, предназначенного для производства аккумуляторов электромобилей компании Компания Volvo Cars сообщила, что станет первым автопроизводителем, который на международном уровне будет отслеживать с помощью технологии блокчейн происхождение кобальта для производства аккумуляторов электромобилей. Отслеживание происхождения сырья, в том числе кобальта, к...

Samsung планирует продать 6 млн складных смартфонов в следующем году По данным корейского издания ET News, Samsung намерена значительно увеличить объёмы производство складного смартфона Galaxy Fold в 2020 году. В частности, компания планирует увеличение производства в 10 раз, но аналитики задаются вопросом, удастся ли продать все устройства. ...

Японская SBI Holdings займется производством чипов для майнинга Японский финансовый конгломерат SBI Holdings объявил о создании нового предприятия, которое будет ориентироваться на производство чипов и систем для майнинга криптовалют. В сообщении на сайте компании говорится, что SBI Mining Chip Co. (SBIMC) является частью стратегии SBI H...

Бизнес Huawei растет несмотря на бойкот США Глава Huawei говорит, что кампания, которую США развернули против Huawei, не оказывает существенного влияния на продажи китайского вендора.

GPU Nvidia Ampere будут основаны на 7-нм техпроцессе Samsung: релиз в 2020 году Графические процессоры Nvidia Ampere уже получили сертификат ECC, но до сих пор мы почти ничего не знали об их особенностях. Сегодня это изменилось. Подробнее об этом читайте на THG.ru.

Volkswagen выделит на цифровизацию до 4 млрд евро Компания Volkswagen AG намерена до 2023 года инвестировать до 4 млрд евро в проекты по цифровизации — в основном в области управления, но и в области производства тоже. Эти проекты включают внедрение таких решений для управления ресурсами предприятия, как платфор...

ФОРС развернул Центр управления облачными сервисами Oracle Cloud в России Компания «ФОРС — Центр разработки» объявляет о создании Центра управления облачными сервисами для расширения предложения, локализации и поддержки …

Xiaomi крупно вложилась в производителя электромобилей Китайская компания Xiaopeng Motors, неоднократной уличенная в копировании автомобилей и технологий Tesla, отчиталась о привлечении большого объема инвестиций – в размере 400 миллионов долларов. Как сообщается, эти деньги пойдут на запуск в производство новой модел...

Bethesda опровергла слухи насчёт перехода Fallout 76 на условно-бесплатную модель Слухи о переходе Fallout 76 на условно-бесплатную модель распространения появились ещё в ноябре прошлого года. Тогда пользователи Reddit обсуждали такую возможность, руководствуясь плохими продажами и многочисленными проблемами проекта. Активность в этом направлении...

6 причин посетить Autodesk University Russia 2019, если вы увлекаетесь цифровым производством Autodesk University Russia 2019 — ежегодная конференция Autodesk, собирающая российских и зарубежных экспертов в области технологий проектирования и производства промышленных изделий. В течение двух дней, 2 и 3 октября, участники смогут узнать, как создавать цифровые двойник...

Huawei инвестирует в новый завод в Бразилии 800 млн долларов Компания Huawei Technologies планирует построить в бразильском штате Сан-Паулу завод, выделив на этот проект в течение ближайших трех лет 800 млн долларов. Так китайский технологический гигант рассчитывает нарастить свое присутствие в Латинской Америке вопреки давлению ...

Google прекращает производство Chromecast Audio Компания Google решила свернуть линейку устройств Chromecast Audio. Согласно официальному заявлению, закрытие производства связано с тем, что у корпорации есть более продвинутые продукты. Между тем, по мнению TechCrunch, отказ от Chromecast Audio связан с желанием Google пер...

5G покажется каменным веком. При переходе на сети 6G нас ждут скорости, измеряемые в Тбит/с Сети 5G только начинают разворачиваться на некоторых рынках, но компании уже приступили к работе над следующим поколением. 6G не стоит ждать в ближайшее время. Согласно заявлению представителя Huawei, до перехода на сеть шестого поколения пройдёт около 10 лет. Однако у...

В 2018 году в России вырос спрос на телевизоры Согласно подсчётам группы «М.Видео–Эльдорадо», в 2018 году российский рынок телевизоров вернулся к докризисному уровню 2013 года с точки зрения объёмов продаж в денежном выражении. За прошедшие 12 месяцев россияне приобрели 6 млн устройств, потрати...

Tesla резко наращивает объемы производства электромобилей Компания Tesla, похоже, собирается не только выполнить обещания по производству тысяч электромобилей в неделю, она вполне способна и перевыполнить план. Недавно представители компании заявили о расширении производства на фабрике во Фремонте, Калифорния. К концу квартала ко...

5G интересна производству Весной 2019 года компания HMS Networks провела опрос 50 отраслевых профессионалов из разных стран, чтобы узнать о роли беспроводных коммуникаций их компаниях и об их отношении к запуску 5G. "Белая книга" HMS под названием "5G: готова ли отрасль?" предста...

Google сообщил об обновлении алгоритма локального поиска Апдейт был запущен в ноябре и уже полностью развернут на весь мир

Более 100 операторов развернули сети для Интернета вещей В мире стало 102 сотовых оператора, которые запустили сети на базе технологий NB-IoT (narrowband IoT) или LTE-M.

Видео дня: разборка показала, как устроена «перископная» камера Huawei P30 Pro Известный блогер JerryRigEverything Зак Нельсон (Zack Nelson), испытав на днях смартфон Huawei P30 Pro ножами, огнём и на изгиб, продолжил забавляться с устройством. На этот раз, он полностью разобрал смартфон.  Вскрыть смартфон оказалось довольно легко. Основные ...

Специалисты TrendForce назвали причину, по которой поставки серверов в минувшем полугодии не оправдали ожиданий Анализ рынка серверов, проведенный специалистами компании TrendForce, позволил им сделать вывод, что в первом полугодии текущего года спрос на эту продукцию был заметно меньше, чем обычно. В результате поставки серверов в минувшем полугодии оказались ниже ожидаемых. При...

Ricoh проектирует флагманский зеркальный фотоаппарат Ricoh Imaging Company раскрыла предварительную информацию о флагманском зеркальном фотоаппарате Pentax, выпуск которого запланирован на следующий год. Речь идёт о новой камере с байонетом К. Сообщается, что она будет оборудована сенсором APS-C, но его разрешение пока, к сожа...

Представитель Xiaomi объяснил дефицит MI 9 на старте ... Недавно Xiaomi наконец смогли увеличить объёмы производства нового флагмана Xiaomi Mi 9 и избавились от досадной необходимости принимать предзаказы на новинку и вынуждать покупателей ждать по три недели. К концу марта поставки аппаратов наконец превысили 1.5 миллиона единиц....

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)