Социальные сети Рунета
Пятница, 19 апреля 2024

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Intel подтверждает намерение в 2021 году выпустить процессоры Sapphire Rapids Рассказывая о графическом процессоре Ponte Vecchio и программной модели oneAPI, компания Intel также опубликовала план выпуска процессоров для суперкомпьютерных вычислений. Это обновленная версия прошлогоднего плана. Она охватывает период с 2019 по 2021 год. По мнению ...

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus Совсем недавно Intel продемонстрировала свои новые производительные мобильные процессоры 9-го поколения, а теперь компания уже готова рассказать о своих будущих чипах 10-го поколения Ice Lake, которые наконец будут изготавливаться по нормам 10-нанометрового технологического ...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Утечка раскрыла характеристики грядущего чипсета Qualcomm Snapdragon 735: 7-нм техпроцесс, GPU Adreno 620 По данным инсайдера Sudhansu Ambhoe, компания Qualcomm работает над созданием нового мобильного процессора смартфонов предтопового сегмента под названием Snapdragon 735, и этот чипсет изготавливается по нормам 7-нанометрового технологического процесса. И это существенное отл...

Intel начала отгружать 10-нанометровые процессоры Ice Lake производителям готовых устройств с опережением графика Во время подведения итогов работы во втором квартале текущего года представители Intel заявили, что компания уже начала отгружать 10-нанометровые процессоры Ice Lake производителям готовых устройств — ноутбуков. Причем случилось это еще во втором квартале — ...

Процессоры A13 готовы к серийному производству TSMC, основной производитель чипов для Apple, готов запустить массовое производство процессоров следующего поколения A13 для нового модельного ряда iPhone.

Вслед за Ice Lake: Intel может скоро предсавить 10-нанометровые CPU Lakefield для бюджетных ультрабуков Intel выпустила процессоры Ice Lake всего 10 дней тому назад, но компания уже готовится представить следующее семейство мобильных 10-нанометровых CPU — Lakefield. В отличие от старших братьев, они будут предназначены для использования в доступных ультрабуках и уст...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Производители ПК снова готовятся к нехватке процессоров Intel Производители ПК готовятся к повторению нехватки процессоров Intel, которая преследует рынок на протяжении нескольких кварталов с середины 2018 года. Ожидалось, что поставки улучшатся в этом квартале, но по последним сообщениям, поступающим из цепочки поставок, Intel не...

Intel готова к массовому производству энергонезависимой памяти MRAM Согласно отчету издания EETimes, компания Intel готова начать массовое производство магниторезистивной оперативной памяти SST-MRAM. Благодаря высокой стойкости и высокой прочности, STT-MRAM может стать будущей универсальной альтернативой традиционным DRAM и NAND. MRAM…

Intel может привлечь Samsung в качестве контрактного производителя своих дискретных GPU Раджа Кодури, возглавляющий проект Xe по созданию дискретных GPU в компании Intel, недавно посетил завод по производству кремниевых чипов компании Samsung Electronics. Напомним, буквально на днях Samsung заявила о грядущем запуске производства чипов по нормам 5-нанометрового...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Наконец-то стартовало производство беспроводной зарядки Apple AirPower Apple анонсировала беспроводную зарядку AirPower в сентябре 2017 года, и с тех пор в Сети регулярно появлялись слухи о том, что выпуск зарядки откладывается. И тому называли массу причин. Но, судя по всему, все проблемы с AirPower уже решены, и зарядное устройство готов...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Unisoc готовится к производству 5G-модемов Компания Unisoc (ранее — Spreadtrum) в ближайшее время организует производство 5G-модема для мобильных устройств нового поколения, о чём сообщает ресурс DigiTimes. Фотографии Reuters Речь идёт об изделии IVY510, первая информация о котором была раскрыта в феврале нынешнего г...

Apple уже начала производство процессоров A13 Уже начато производство процессоров для нового семейства iPhone, которые выйдут осенью этого года. Это однокристальная платформа Apple A13, выполненная по 7-нанометровому техпроцессу. Производством занимается компания TSMC (Taiwan Semiconductor Manufacturing). Пока нача...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Нехватка процессоров Intel сохранится до 2020 года По данным тайваньского ODM-производителя Compal Electronics, нехватка процессоров Intel продолжится во втором полугодии этого года и сохранится до начала 2020 года. В конце прошлого года Intel заявила о дефиците производственных мощностей, что привело к нехватке 14-нанометро...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

TSMC запустит серийное производство по нормам 2 нм уже в 2024 году Компания TSMC сообщила о том, что она начала стадию исследований и разработок, связанных с 2-нанометровым технологическим процессом. TSMC первой на рынке сделала подобное заявление. В настоящее время конкретные детали процесса не разглашаются. Соответствующий завод рас...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Intel выпустила 2 новых процессора Comet Lake-U и снимает с производства NUC на базе чипов Cannon Lake, Braswell и Broadwell Компания Intel выпустила два новых процессора в рамках семейства Comet Lake-U, которые предназначены для применения в составе тонких и лёгких ноутбуков. Новинки представляют собой решения начального уровня. Чипы Intel Pentium Gold 6405U и Intel Celeron 5205U относятся к 10-м...

TSMC начнет производство 5-нм чипов в марте 2020 года Ранее в 2019 году компания приступила к массовому производству микросхем по технологическому процессу 7 нм+ второго поколения.

Мобильные чипы Intel Tiger Lake сочетают новую архитектуру x86-ядер и графику Xe Уже этим летом компания Intel начнет поставки 10-нм мобильных процессоров Ice Lake-U. Готовые устройства на их базе обещают вывести в розничную продажу ближе к сезону рождественских праздников, иначе говоря не раньше ноября текущего...

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

TSMC наймет 8000 сотрудников для разработки 3-нанометровых чипов В скором времени тайваньская компания TSMC планирует начать производство чипов по 5-нанометровому технологическому процессу. Однако производитель не намерен останавливаться на этом.

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

SK Hynix начала производство микросхем 4D QLC NAND объёмом 1 Тбит Компания SK Hynix приступила к производству 96-слойных микросхем памяти 4D QLC NAND объёмом 1 Тбит. На данный момент начаты поставки образцов этих микросхем крупным разработчикам контроллеров для твердотельных накопителей. А это означает, что до массового производства этих м...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Samsung поможет Intel справиться с дефицитом процессоров Компания Samsung Electronics согласилась поставлять Intel центральные процессоры для компьютеров, чтобы помочь решить проблему с их дефицитом. Об этом сегодня сообщили многие отраслевые источники. Как известно, компания Intel столкнулась с технологическими проблемами. ...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

Intel готовится к массовому производству 5G-модемов Корпорация Intel начнёт работать над инженерными проектами в рамках организации массового производства 5G-модемов уже в следующем квартале. По крайней мере, об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Изображения Intel В конце прошлого года, напомним...

Samsung начала выпуск 12-гигабитных микросхем памяти LPDDR5 Samsung объявила о начале массового производства микросхем оперативной памяти стандарта LPDDR5 ёмкостью 12 Гбит (1,5 ГБ), предназначенных для смартфонов и других мобильных устройств. Чипы изготавливаются по технологическим нормам 10-нм класса и обеспечивают скорость...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Кажется, дефицит процессоров Intel подходит к концу Дефицит процессоров Intel, который мучает рынок уже на протяжении нескольких месяцев, судя по всему, в скором времени начнёт ослабевать. В прошлом году Intel инвестировала дополнительные 1,5 млрд долларов в расширение своих 14-нм технологических мощностей, и похоже, что эти ...

Intel рассказала о Lakefield чуть больше Мы по-прежнему не знаем, в компьютерах какого рода этот процессор будет использоваться, но предполагаем, что ими станут тонкие и легкие ноутбуки. В компании Intel сообщило, что поставки гибридной архитектуры Lakefield начнутся в четвертом квартале. Причем дальнейшие верси...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

Перенос производства iPhone в Индию из-за торговой войны с Китаем оказался провалом Производство iPhone на заводах в Индии официально началось в августе. Решение о переносе производственных мощностей в страну Apple приняла во многом для того, чтобы избежать негативного влияния торговой войны между США и Китаем. Однако компания столкнулась с некоторыми трудн...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

Intel анонсировала свои первые массовые 10-нанометровые процессоры Ice Lake – они предназначены для ноутбуков Сегодня на специальном мероприятии в рамках выставки CES 2019 компания Intel официально анонсировала свои первые массовые CPU, произведенные по техпроцессу 10 нм. Правда, новинки именно анонсированы – массовое производство их стартует позже в этом году (вероятно, ...

[Перевод] Samsung SSD 860 QVO 1 ТB и 4 ТB: первый потребительский SATA QLC (1 часть) А внедрение флэш-памяти NAND с четырьмя битами на ячейку (QLC) продолжается, свидетель тому — первый потребительского SATA SSD с QLC NAND от Samsung. Новый 860 QVO поднимает планку «начального уровня» в очень успешном семействе продуктов SSD от Samsung. В отличие от предыдущ...

Застрянем на 14 нм до 2022 года. Intel отменила 10-нанометровые CPU для настольных ПК и сразу выпустит 7-нм процессоры Компания Intel полностью отменила планы по выпуску процессоров для настольных компьютеров на основе 10-нанометровой технологии и выпустит сразу 7-нм процессоры в 2022 году. Об этом сообщил немецкий ресурс HardwareLuxx со ссылкой на «достоверные» источники, с...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Инсайдеры: беспроводная зарядка Apple AirPower уже запущена в производство По данным инсайдеров, Apple наконец начала производство беспроводной зарядки AirPower, которая была анонсирована ещё в сентябре 2017 года во время презентации iPhone X. Тогда компания обещала выпустить коврик в 2018 году, однако уже через несколько месяцев столкнулась с неко...

Гибридные процессоры AMD Ryzen 4000 стали на шаг ближе к выходу Согласно дорожной карте AMD, опубликованной в Сети ранее, вслед за последней новинкой этого года, процессорами Threadripper 3000, в самом начале следующего года дебютируют мобильные гибридные процессоры Ryzen 4000. Судя по всему, у AMD все идет по плану, раз поддержка A...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Очередное дополнение к договору с GlobalFoundries развязывает руки AMD В пресс-релизе AMD, посвященном итогам четвертого квартала 2018 года и года в целом, есть небольшой параграф, озаглавленный «Обновление соглашения о поставке пластин». При всей лаконичности и малозаметности на фоне финансовых показателей он весьма важен. В ...

Смартфон Xiaomi Mi 9 производят уже на трех заводах Недавно компания Xiaomi выполнила план по производству 1 миллиона смартфонов Mi 9 за месяц, а сегодня подробно рассказала о выпуске своего флагмана. Оказывается, смартфон производят уже на трех фабриках: Langfang Foxconn, Xi'an BYD и Nanjing Yinghuada, принадлежащей Inventec...

Samsung начинает массовое производство первой флэш-памяти объемом 1 ТБ Компания Samsung объявила о начале массового производства первой флэш-памяти eUFS (embedded Universal Flash Storage) 2.1 емкостью 1 ТБ для мобильных устройств следующего поколения. ***

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

Samsung запускает в массовое производство мобильного чипа памяти на 12 ГБ Компания Samsung объявила о начале массового производства чипа LPDDR4X DRAM емкостью 12 ГБ для смартфонов, который дает возможность предлагать смартфонам больше оперативной памяти, чем многие ультратонкие ноутбуки. Чип емкостью 12 ГБ имеет толщину 1,1 мм и позволяет про...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Предзаказы на гибкий смартфон Samsung Galaxy Fold начнут принимать уже завтра Сегодня мы уже узнали, что Samsung Display приступила к массовому производству экранов для смартфона Galaxy Fold. И если раньше считалось, что предварительные заказы стартуют в конце месяца, то теперь сообщается, что это случится уже завтра. Завтра желающие смогут забр...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

Samsung выпустит свой второй складной смартфон в сентябре еще до выхода Huawei Mate X Корейские источники получили из цепочки поставок информацию о том, что компания Samsung уже готовится к выпуску нового складного смартфона, который будет отличаться от Samsung Galaxy Fold. Вчера мы сообщали, что следующий Samsung Galaxy Fold будет основан на линейке Gal...

Intel готова начать массовое производство память MRAM Компания Intel показала, что она может вывести на рынок совершенно новый продукт. Накопители Optane уже достаточно распространены, хотя речи о замене обычных SSD, конечно, не идёт. Как сообщает источник, Intel уже готова к массовому производству ещё одного нового проду...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Китайская компания BOE начала серийное производство micro-OLED панелей По сообщениям сетевых источников, китайская компания BOE в октябре этого года приступила к серийному производству панелей по технологии micro-OLED. Производство развёрнуто в городе Куньмин, который находится на территории провинции Юньнань. В сообщении говорится, что в насто...

Анонс видеокарты NVIDIA GeForce GTX 1650 с GPU TU117 ожидается 22 апреля Как сообщают осведомлённые источники, уже в скором времени должен состояться официальный анонс новой видеокарты серии GTX Turing – NVIDIA GeForce GTX 1650. Согласно имеющейся информации, видеокарта NVIDIA GeForce GTX 1650 получит графический процессор TU117 на базе архитекту...

Торговая война между Японией и Южной Кореей ударила по производству Samsung Galaxy Note 10 Так как презентация фаблета Samsung Galaxy Note 10 намечена на 7 августа, южнокорейская компания уже приступила к его производству. Однако уже ощущается влияние затянувшегося торгового спора между Южной Кореей и Японией, поскольку технологический гигант вынужден был замедлит...

TSMC планирует приступить к производству 5-нанометровых процессоров для Apple Речь идет о чипа Apple A14, которые появятся в 2020 году.

Intel уже готова к массовому производству памяти MRAM, сочетающей в себе лучшие возможности DRAM и NAND По данным осведомлённых источников, компания Intel уже готова приступить к массовому производству памяти MRAM (Magnetoresistive Random-Access Memory). Память MRAM является энергонезависимой. Она способна сохранять данные даже в случае неожиданного прекращения энергоснабжения...

Jetson Nano: $99 за маленький, но мощный компьютер NVIDIA CUDA-X для работы со всеми ИИ-моделями Маленький, но мощный компьютер для задач ИИ на базе CUDA-X™ обеспечивает производительность в 472 гигафлопс в сегодняшних приложениях для ИИ при энергопотреблении всего 5 Вт. Представленный на GPU Technology Conference генеральным директором NVIDIA Дженсеном Хуанго...

Гендиректор Intel считает, что компания поспешила с освоением норм 10 нм Во время конференции Brainstorm Tech, организованной изданием Fortune, генеральный директор Intel Боб Свон (Bob Swan) рассказал о текущем состоянии Intel и направлении будущего развития. Отвечая на вопрос о прекращении действия закона Мура, глава Intel признал, что &laq...

TSMC начнёт массовое производство 5-нм чипов в ближайшие месяцы Контрактный производитель полупроводников Taiwan Semiconductor Manufacturing Company (TSMC) близок к запуску 5-нанометрового конвейера, сообщает веб-издание China Times. Серийный выпуск микросхем по новой технологии он планирует наладить уже в первом квартале 2020 года. В...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

Смартфон Galaxy Note10 первым получит 7-нанометровую SoC Samsung В сети появились новые подробности о различиях флагманских смартфонов серии Galaxy S10 и Galaxy Note10, чей выпуск ожидается ближе к осени.  По данным китайских источников, в основу Galaxy Note10 ляжет не Exynos 9820, а более быстрая и совершенная SoC. Платформа E...

Samsung будет выпускать для Intel процессоры Rocket Lake Не секрет, что компания Intel до сих пор полностью не справилась с дефицитом своих процессоров. В конце прошлого года Intel пришлось в связи с этой ситуацией отдать на аутсорсинг производство чипсетов — этим занялась TSMC. Также ходили слухи, что TSMC может начать...

Yangtze Memory наладила массовый выпуск 64-слойных микросхем 3D NAND Китайский чипмейкер Yangtze Memory Technologies Company (YMTC) приступил к массовому производству 64-слойных микросхем памяти 3D NAND TLC вместимостью 256 Гбит. Об этом сегодня сообщило тайваньское веб-издание DigiTimes, ссылаясь на отраслевые источники. В новых...

MediaTek проектирует 7-нм чип с поддержкой 5G Компания MediaTek в интервью ресурсу Android Authority сообщила о намерении представить в текущем году передовой мобильный процессор, при производстве которого будет применяться 7-нанометровая технология. Известно, что в продуктовом семействе MediaTek изделие расположится на...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Официально: Nintendo перенесет часть производства Switch из Китая По словам представителя Nintendo, компания планирует перенести часть производства консолей Switch из Китая во Вьетнам. Nintendo подтвердила эту информацию в комментарии для Reuters. Переезд запланирован на ближайшие месяцы, хотя точная дата пока не озвучена. В настоящее врем...

Стали известны причины задержек производства Xiaomi Mi 9 Выпуск линейки смартфонов Xiaomi Mi 9 был омрачен проблемами с поставками всех трех моделей смартфонов. ***

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Компания Toshiba Memory представила память XL-FLASH, которая «устраняет разрыв» между DRAM и NAND Компания Toshiba Memory объявила о выпуске новой памяти для систем хранения (Storage Class Memory или SCM). Память называется XL-FLASH. Она основана на технологии флеш-памяти BiCS FLASH 3D, в которой каждая ячейка хранит один бит. Как утверждается, низкая задержка и выс...

ZTE: система сетевого сегментирования 5G на базе ИИ готова к коммерческому внедрению Компания ZTE Corporation (0763.HK / 000063.SZ), крупный международный поставщик телекоммуникационных решений, а также корпоративных и потребительских технологий для мобильного Интернета, представила первую коммерчески готовую систему cетевого сегментирования 5G на базе техно...

Yangtze Memory начала массовое производство 64-слойных микросхем 3D NAND Китай стремится к технологической независимости, вкладывая значительные средства в полупроводниковую промышленность, что позволит уменьшить зависимость от иностранного импорта и технологий. Для достижения этой цели в 2016 году была создана компания Yangtze Memory Technologie...

Производители NAND внедряют 120/128-слойную память 3D NAND По информации DigiTimes многие производители стали ускорять переход своих производств на выпуск 120/128-слойной памяти 3D NAND, чтобы начать её массовое производство в 2020 году.

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Vodafone Украина сообщил о готовности к тестированию MNP, которое стартует 4 февраля, и рассказал о подробностях предоставления услуги Оператор мобильной связи Vodafone Украина сообщил о готовности к проведению межоператорского тестирования в рамках подготовки к внедрению услуги переноса номера (MNP). Компания заранее подготовила собственную локальную базу данных и готова приступить к тестированию взаимодей...

Война не окончена: Google, Microsoft и Dell бегут из Китая Множество американских технологических компаний начали рассматривать вопрос переноса производства за пределы Китая. Среди них и крупнейший производитель компьютеров Dell, и HP, и Microsoft, и Google, и Amazon.

SK Hynix показала готовые решения на 128-слойных чипах 3D NAND В середине лета SK Hynix сообщила о начале производства 128-слойных микросхем флэш-памяти типа 3D NAND TLC ёмкостью 1 Тбит (128 ГБ). Теперь настал черед продемонстрировать потребительские продукты на базе передовой флэш-памяти. Речь идет...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Трения между Кореей и Японией отражаются на производстве смартфонов Samsung По данным инсайдеров, Samsung пришлось на 10% снизить запланированный объем производства мобильных процессоров Exynos, которыми будут укомплектованы новые смартфоны Note 10.

AMD готовит новые видеокарты Radeon RX 5500 и Radeon RX 5300 на базе GPU Navi 14 Различные источники утверждают, что компания AMD работает над созданием сразу пяти новых видеокарт серии Radeon RX 5000, основанных на грядущих GPU Navi 14. Эти видеочипы изготавливаются по нормам 7-нанометрового технологического процесса. Упоминание о новинках обнаружено в ...

Президент Xiaomi заверил, что компания усердно работает над массовым производством продуктов со 100-ваттной зарядкой В марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт. Компания заявила, что данная технология позволит заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут, как показано...

Высокий спрос на 7-нм и 5-нм чипы поможет росту TSMC в 2020 году TSMC планирует в 2019 году достичь ещё одного рекорда, но из-за разочаровывающих результатов в первой половине года чистая прибыль вряд ли побьёт результаты 2018 года. Однако, как считают источники, в 2020 году снова наметится рост общегодовой выручки и прибыли тайваньской п...

В линейке мобильных процессоров Intel Comet Lake-U будет только четыре модели, топовая — шестиядерная Core i7-10710U Компания Intel уже официально представила мобильные процессоры Ice Lake, но модельный ряд Intel Core десятого поколения будет представлен двумя линейками: 10-нанометровыми Ice Lake и 14-нанометровыми Comet Lake. Вторая линейка, судя по всему, окажется совсем небольшой: ...

Xiaomi запускает производство своего самого дорого смартфона Буквально несколько месяцев назад китайский гигант Xiaomi показал свой революционный Mi Mix Alpha с дисплеем, занимающим “180,6% передней панели”. Однако когда начнется массовое производство смартфона и когда он поступит в продажу было неясно. Сейчас же, по словам инсайдеров...

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

TSMC верит в 7 нанометров Представители компании TSMC считают, что во второй половине 2019 года загрузка 7-нанометровой технологии возрастет. Дело в том, что некоторые крупные производители уже разрабатывают свои решения на данном технопроцессе и совсем скоро эти проекты запустят в производство. Учит...

Volkswagen запустит производство мобильных зарядных станций для электромобилей Автопроизводитель Volkswagen (VW) намерен начать выпуск аккумуляторов для электромобилей, а также зарядных станций у себя на родине в Германии, поскольку он готовится к старту массового производства электромобилей. Также будет проведена реорганизация подразделения по произво...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Стало известно, у кого и когда Nvidia закажет выпуск первых GPU по технологии EUV По сообщению источника, компания Nvidia будет проектировать графические процессоры, выход которых запланирован на будущий год, в расчете на изготовление по 7-нанометровой литографии в жестком ультрафиолетовом диапазоне (EUV). Их выпуск будет заказан у компании Samsung. ...

Новый iPad mini и недорогой iPad можно ожидать до середины лета Тайваньский ресурс DigiTimes подтвердил слухи о том, что компания Apple готовит к выпуску пятое поколение планшета iPad mini и новый недорогой iPad в первой половине 2019 года.  Об этом говорят ожидаемые объёмы поставок сенсорных панелей от поставщиков компании Ap...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

В ноябре Intel выпустит процессоры Comet Lake-U, которые всё ещё будут производиться по 14-нанометровому техпроцессу Как известно, в конце года Intel выпустит не только мобильные 10-нанометровые процессоры Ice Lake-U, но и линейку Comet Lake-U. Это будут 14-нанометровые процессоры, которые заменят нынешние CPU Intel в этом сегменте. При этом пока не очень понятно, как компания будет р...

Vodafone подготовился к тестированию MNP Vodafone Украина сообщает о готовности к проведению межоператорского тестирования в рамках подготовки к внедрению услуги переноса номера – MNP. Компания заранее подготовила собственную локальную базу данных и готова приступить к тестированию взаимодействия с Централизованной...

В Sharp задумались о переносе производства из КНР В компании готовы пойти на это, если США выполнят угрозу и обложат 25-процентными пошлинами импортируемые из КНР товары стоимостью еще 300 млрд долларов.

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

Volvo анонсирует конкурента Tesla 3 Роскошный бренд Polestar, принадлежащий Volvo Cars, представил свой первый полностью электрический седан, который по цене и пробегу на одной зарядке выступит конкурентом электромобиля Tesla Model 3 на массовым рынке. Polestar 2 будет стоить около 59 900 евро в базовой ...

Huawei выпускает совершенно новый ARM-процессор для смартфонов Анонс Kirin 810 сделал Huawei единственной в мире компанией, в активе которой числятся два 7-нанометровых мобильных процессора. Первым стал анонсированный осенью 2018 г. Kirin 980, используемый, в том числе, во флагманах P30 и P30 Pro образца весны 2019 г.Даже у Qualcomm, ко...

Представитель Xiaomi объяснил дефицит MI 9 на старте ... Недавно Xiaomi наконец смогли увеличить объёмы производства нового флагмана Xiaomi Mi 9 и избавились от досадной необходимости принимать предзаказы на новинку и вынуждать покупателей ждать по три недели. К концу марта поставки аппаратов наконец превысили 1.5 миллиона единиц....

CES 2019: Intel анонсировала шесть процессоров Coffee Lake и 10-нанометровые мобильные решения Ice Lake U Процессоры Coffee Lake появится в этом месяца, а производство Ice Lake U будет запущено позже.

Не нравятся китайские iPhone? Теперь есть возможность купить индийские Большая часть устройств Apple производится в Китае. Но не так давно партнёры купертинского гиганта начали производство смартфонов в Индии, так как это позволяет Apple избежать дополнительных налогов в этой стране. И вот теперь сообщается, что индийские iPhone уже пошли...

Раскрыты характеристики процессора Intel Comet Lake-U 10-го поколения: 14-нм техпроцесс, 6 ядер, 12 потоков, частота до 4,67 ГГц Многие поклонники Intel с нетерпением ожидают появления на рынке процессоров 10- поколения, выход таких устройств должен состояться уже в скором времени. При этом нужно отметить, первоначально пользователям станут доступны мобильные чипы Ice Lake-U,  изготовленных по нормам ...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Микроархитектура AMD Zen 3 принесёт как минимум 8% увеличение IPC После выпуска 7-нм процессоров Zen 2 компания AMD приступила к активной работе над их преемниками с микроархитектурой Zen 3. Производитель пока не готов открыто говорить об особенностях новых CPU, но, как мы уже...

Китайский процессор Hygon Dhyana C86 (Zen) отличился в криптографическом тесте SiSoft Sandra В прошлом году для внутреннего рынка Китая начался выпуск центральных процессоров Hygon Dhyana на базе микроархитектуры Zen. Компания AMD лицензировала собственные наработки через совместное предприятие, за счет чего было налажено производство широкой номенклатуры...

Sony называет 3D-сенсоры будущим мобильной фотографии Как заявил руководитель подразделения датчиков Sony Сатоши Йошихара, Sony с оптимизмом смотрит на продажи своих 3D-сенсоров и увеличила производство, несмотря на спады в общем объеме поставок мобильных устройств. Их датчики основаны на технологии LiDAR, которая гораздо чаще ...

Видеокарты NVIDIA с 7-нм GPU увидят свет в 2020 году Как сообщают источники, NVIDIA планирует заказывать производство своих 7-нм чипов будущего поколения не у традиционного партнёра, TSMC, а у компании Samsung. Утверждается, что такой выбор вызван тем, что Samsung в рамках 7-нм процесса сможет предложить EUV-литографи...

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Microsoft опровергла заявление о переносе производства из Китая Сообщается, что Microsoft опровергла заявление о переносе части своего производства за пределы Китая. Напомним, что первоначально источник Nikkei предполагал, что Microsoft, Dell, HP, Amazon и другие технологические компании рассматривают возможность перемещения цепочки пост...

Неожиданно: первой платформой Qualcomm со встроенным модемом 5G станет 7-нанометровая Snapdragon 735 В Сети появились подробности о новой однокристальной платформе Qualcomm – Snapdragon 735. И самое интересное, что можно почерпнуть из опубликованного источником перечня ее характеристик, - наличие встроенного модема 5G. Такового нет даже во флагманской Snapdragon ...

AMD анонсировала процессоры Ryzen 3000 PRO, APU Ryzen 3000 PRO с GPU Vega и Athlon PRO Компания AMD анонсировала линейку процессоров Ryzen PRO нового поколения. Эта линейка включает чипы Ryzen 3000, гибридные процессоры APU Ryzen 3000 с графикой Radeon Vega и AMD Athlon PRO. Линейка Ryzen PRO состоит из настольных процессоров, изготовленных по нормам 7-наномет...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

У Intel снова проблемы с 10-нанометровой продукцией В начале месяца компания Intel пообещала, что мобильные 10-нанометровые процессоры Ice Lake в составе готовых решений появятся к концу текущего года. Intel не указала названий моделей или их параметров, но рассказала много интересного и полезного об особенностях грядущи...

GeForce RTX 2070 возвращается. Nvidia готова к перезапуску этой видеокарты Слухи о том, что Nvidia вернет в производство 3D-карту GeForce RTX 2070, появились еще в августе, но только сейчас, как пишет источник, все готово к перезапуску: «поставки чипов на данный момент хорошо налажены». Nvidia прекратила производство GeForce RTX 2...

Intel считает, что в утрате позиций на процессорном рынке виноват дефицит, а не конкурент Представлявшему интересы Intel на технологической конференции Citi для инвесторов Джейсону Грибу (Jason Grebe) пришлось ответить на ряд вопросов, имевших отношение как к натиску конкурента, так и к проблемам с доступностью собственных 14-нм процессоров. Дефицит последних, на...

Электрический внедорожник Fisker Ocean будет стоить менее 40 000 долларов Компания Fisker ранее сообщала, что планирует выпустить относительно доступный электрический внедорожник в 2021 году. Согласно новым сведениям, машина будет называться Fisker Ocean и выйдет на рынок раньше, чем ожидалось. Производитель решил пропустить этап выпуска демо...

У Globalfoundries и Arm готов тестовый чип, изготовленный по 12-нанометровой технологии с применением объемной компоновки Компания Globalfoundries (GF) объявила о выпуске чипа высокой плотности с объемной компоновкой, построенного на архитектуре Arm. Как утверждается, он обеспечит «новый уровень системной производительности и энергетической эффективности для вычислительных приложений...

У Samsung готова 7-нанометровая технология EUV Компания TSMC первой среди производителей полупроводниковой продукции освоила выпуск продукции по нормам 7 нм. И хотя формально это 7-нанометровая продукция, ее характеристики они находятся на тех же уровнях, которые ожидаются от 10-нанометровой продукции Intel. В компа...

LG Display инвестирует 2,6 млрд долларов в производство панелей OLED в Южной Корее Компания LG Display сообщила, что инвестирует 2,6 млрд долларов в свою линию по производству панелей OLED, расположенную в Южной Корее. Ранее поставщик панелей для устройств Apple прогнозировал, что 2019 год будет тяжелым, поскольку значительные средства в выпус...

Представлена Samsung Exynos 980 — первая SoC Samsung со встроенным модемом 5G Компания Samsung Electronics представила свою первую мобильную однокристальную систему с интегрированной поддержкой сетей 5G, которая получила название Samsung Exynos 980. Samsung Exynos 980 производится по нормам 8-нанометрового технологического процесса и включает два...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Обзор и тестирование ноутбука Acer Aspire 5 A515-52G на базе процессора Intel Core i5-8265U и видеокарты GeForce MX150 Сложности Intel, связанные с отладкой производства 10-нанометровых процессоров, вынуждают синего гиганта заполнять дорожную карту промежуточными поколениями CPU. По сути, речь идет о многократных доработках 14-нанометровых CPU, впервые представленных еще в 2015 году. Очередн...

Назван год, когда смартфоны 5G возьмут верх Со ссылкой на отраслевых наблюдателей источник обрисовал наиболее вероятный сценарий распространения смартфонов с поддержкой 5G. Как утверждается, китайские производители второго эшелона, следуя примеру ведущих поставщиков, начнут выпускать модели с поддержкой сотовых с...

Телевизоры 8K с платформой MediaTek не подходе Летом компания MediaTek представила S900 — первую 12-нанометровую однокристальную систему для телевизоров 8K. В конфигурацию платформы входит многоядерный процессор с ядрами Cortex-A73 и GPU Mali-G52. Решение поддерживает HDMI 2.1A и HDR10+ и способно выводить кар...

Мобильные процессоры Tiger Lake-U будут поддерживать память LPDDR5 В таможенной базе данных Евразийской экономической комиссии (ЕЭК) были зарегистрированы наборы для разработчиков с ещё не вышедшими процессорами Tiger Lake-U. И благодаря этому выяснилось, что данные мобильные процессоры будут поддерживать работу с памятью типов LPDDR4X и LP...

Специалисты SK hynix разработали память DRAM DDR4 плотностью 16 Гбит, рассчитанную на выпуск по нормам 1Z нм Компания SK hynix сообщила о разработке памяти DRAM DDR4 плотностью 16 Гбит, рассчитанной на выпуск по нормам 1Z нм. По словам производителя, это наибольшая плотность одного кристалла DDR4, а с учетом норм достигнут еще и рекордный объем в расчете на одну пластину. Как ...

Стартовало массовое производство экранов для смартфона Samsung Galaxy Fold Компания Samsung Display приступила к массовому производству экранов для гибкого смартфона Galaxy Fold. Генеральный директор компании 9 апреля провёл мероприятие с целью отметить старт производства. На мероприятии присутствовало около 100 руководителей Samsung различны...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Dell ожидает ухудшение ситуации с поставками процессоров Intel Все знают о проблемах с производством процессоров у компании Intel. Она опубликовала открытое письмо с извинениями о задержках поставок, но в IV квартале ситуация может усугубиться.

Компания ChangXin Memory вложила в разработку DRAM более 2,5 млрд долларов Молодая китайская компания ChangXin Memory Technologies (CXMT) инвестировала в исследования и разработку технологий DRAM более 2,5 млрд долларов. Об этом сообщил источник со ссылкой на слова генерального директора компании. Как сказал Имин Чжу (Yiming Zhu), CXMT разраб...

Все заказы на модемы 5G пока достаются TSMC Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, уже получила заказы на выпуск модемов 5G, разработанных компаниями, не имеющими собственного производства. Более того, по данным отраслевых источников, пока что все заказы на вы...

Процессор Intel Core i7-10510U (Comet Lake-U) разгромил AMD Ryzen 7 3750H в тесте Geekbench Массовый выпуск ноутбуков на базе 10-нанометровых процессоров Comet Lake-U ожидается только осенью, ближе к ежегодному праздничному сезону, который начинается в ноябре, но в бенчмарках уже появляются данные об этих CPU. И последняя такая утечка явно воодушевит тех, кто ...

Samsung начала массовое производство микросхем памяти LPDDR5 DRAM плотностью 12 Гбит для флагманских смартфонов Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о начале массового выпуска первых в отрасли микросхем памяти LPDDR5 DRAM плотностью 12 Гбит. Новая оперативная память производится по технологии 10-нанометрового класса второго поколения (1y нм). Она пре...

AMD обещает представить мобильные 7-нм процессоры Как уже отмечалось при разборе квартальной отчётной конференции AMD, главе компании не удалось уйти от ответа на вопрос о сроках доступности 7-нм графических процессоров с более высоким быстродействием, и Лиза Су (Lisa Su) просто заверила публику, что такие продукты в семейс...

Samsung ограничит производство смартфонов в Китае Руководство компании Samsung приступило к оптимизации своей производственной площадки в Китае, осуществляющей выпуск смартфонов. Корейский гигант объявил о сокращении масштабов производства в связи с общим падением объемов реализации и необходимостью избежать перепроизводств...

Для новых iPhone уже готовы процессоры Компания TSMC (Taiwan Semiconductor Manufacturing) уже начала производство новых процессоров для смартфонов iPhone, чей анонс ожидается осенью. Об этом сообщило издание Bloomberg со ссылкой на осведомлённые источники.

Google начала работать над революционным устройством, о котором все просили Как известно, Google не любит аппаратное обеспечение, компания акцентирует внимание на софте и сервисах, считая их более перспективным путем развития. Однако это не означает, что она полностью откажется от выпуска собственной продукции. Google предлагает Chromecast, Pixel-п...

Новый флагман Samsung под угрозой. Производство Galaxy Note10 пострадало из-за торговой войны Кореи с Японией Затянувшиеся торговые трения между Южной Кореей и Японией уже сказались на Samsung Electronics. Компания была вынуждена снизить темпы производства однокристальных систем для будущего флагманского смартфона Galaxy Note10. Об этом сообщило корейское издание The Inves...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Сокет LGA 4189 для процессоров Ice Lake-SP готов к производству О том, что серверные процессоры Ice Lake-SP перейдут на сокет LGA 4189, мы узнали ещё в прошлом году. Напомним, Xeon Scalable следующего поколения, согласно слухам и утечкам, получат восьмиканальный контроллер оперативной памяти, поддержку PCIe 4.0, а максимальное колич...

В России стартовало производство SSD GS Group с интерфейсом PCIe Центр разработки микроэлектроники в составе GS Group ― GS Nanotech ― приступил к производству первых в России твердотельных накопителей с интерфейсом PCIe и с поддержкой протокола NVMe. Разработка и производство новинок полностью локализованы в России в инновационном кластер...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

TSMC ускоряет переход на 5 нм Компания TSMC приступила к рисковому производству чипов по 5 нм нормам. К массовому производству эта технология будет доступна в первой половине 2020 года.

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Оболочка EMUI 9.0 на базе Android Pie станет доступна 150 миллионам пользователей в 2019 году Глава смартфонного подразделения Huawei Хэ Ган (He Gang) сообщил, что компания продолжит массовое распространение оболочки EMUI 9.0 на базе Android Pie в 2019 году.  В прошлом году EMUI 9.0 вышла для 23 моделей смартфонов Huawei. Ориентировочно это означает, что о...

Yangtze Memory начинает массовое производство 64-слойной памяти 3D NAND Китайская государственная полупроводниковая компания Yangtze Memory Technologies (YMTC), основанная в 2016 году, заявила о начале массового производства 64-слойной флэш-памяти.

Micron готова к выпуску 128-слойной памяти 3D NAND с технологией RG Micron Technology готова начать серийное производство новой 128-слойной памяти 3D NAND четвёртого поколения. В ней по-прежнему используется дизайн CUA (CMOS-under-array), а главной особенностью этой памяти стала новая технология Replacement Gate (RG). Подробнее об этом читай...

Samsung начинает массовое производство накопителя eUFS 3.0 емкостью 512 Гб Компания Samsung Electronics объявила о начале массового производства встраиваемого накопителя Universal Flash …

Yangtze Memory организовала массовый выпуск 64-слойной памяти 3D NAND Китайская компания Yangtze Memory Technologies (YMTC) приступила к массовому производству 64-слойных микрочипов флеш-памяти TLC 3D NAND. Об этом сообщает ресурс Digitimes, ссылаясь на отраслевые источники. Речь идёт об изделиях ёмкостью 256 Гбит. Упомянутая технология TLC пр...

Apple инвестирует в свой индийский бизнес 1 млрд долларов Компания Apple, согласно данным источника, намерена инвестировать в развитие своего бизнеса в Индии 1 млрд долларов. Конечно, делать это купертинский гигант будет через своих партнёров во главе с Foxconn. То есть деньги пойдут в первую очередь на развитие производств с...

Производство Apple A13 для новых iPhone начнётся во 2 квартале TSMC уже разрабатывает чипсеты для устройств Apple, а массовое производство A13 начнётся во втором квартале этого года.

Компания Intel напомнила о процессоре с 56 ядрами и TDP 400 Вт Корпорация Intel объявила, что процессоры Intel Xeon Scalable следующего поколения (известные под кодовым названием Cooper Lake) будут насчитывать до 56 процессорных ядер и иметь встроенные средства ускорения обучения ИИ. Эти процессоры, рассчитанные на «стандартн...

BOE готова начать массовое производство LCD-дисплеев со встроенным сканером отпечатков пальцев С момента появления экранного сканера отпечатков пальцев, производители смартфонов стали оснащать им свои флагманские устройства. Данная технология работает только с OLED-дисплеями, что значительно ограничивает возможности ее использования. Но вскоре ситуация может изменитьс...

Samsung Galaxy S10 поступил в массовое производство Вот уже как много лет компания Samsung занимается выпуском на рынок мобильных устройств топового уровня, причем даже дольше, чем подавляющее большинство других брендов. Такое положение дел ставит ее в более выигрышное положение, ведь у нее Сообщение Samsung Galaxy S10 посту...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

SK Hynix приступает к массовому производству 128-слойной 4D NAND Компания SK Hynix начала массовое производство первой в мире 128-слойной памяти типа 4D NAND.

Бюджетный прорыв. Huawei показала смартфон со сканером отпечатков пальцев под LCD На конференции для разработчиков Huawei Developer Conference 2019 компания Huawei продемонстрировала прототип смартфона со сканером отпечатков пальцев, встроенным под экран, изготовленный по технологии LCD.  До настоящего момента производители смартфонов были выну...

Систему сетевого сегментирования 5G на базе ИИ представила ZTE на MWC-2019 Компания ZTE Corporation (0763.HK / 000063.SZ), крупный международный поставщик телекоммуникационных решений, а также корпоративных и потребительских технологий для мобильного Интернета, представила первую коммерчески готовую систему cетевого сегментирования 5G на базе техно...

Samsung приступила к массовому производству смартфонов Galaxy S10 Мы пока еще довольствуемся всевозможными слухами и утечками относительно флагманских смартфонов Samsung Galaxy S10, но для самой компании уже все решено — аппараты запущены в массовое производство. Причем, по данным корейского источника, стартовало оно еще пять дн...

Samsung может выпустить смартфон в стиле Mate X быстрее Huawei По сообщениям сетевых источников, компания Samsung Electronics планирует активно развивать линейку складных смартфонов. Вторым устройством этой категории может стать смартфон, складывающийся наружу, как Huawei Mate X. Источник сообщил, что хоть официальная дата запуска Galax...

Lenovo заявляет, что вовсе не Xiaomi первая показала смартфон со сгибающимся в нескольких местах экраном Несколько дней назад компания Xiaomi показала прототип устройства, который она назвала первым в мире смартфоном, складывающимся в двух местах. Официальный президент Xiaomi Лин Бин (Lin Bin) заявил, что компания уже решила ряд технологических проблем при создании устрой...

Mara X и Mara Z первые собственные флагманы Африки Африка решила начать производство своих собственных смартфонов. Первыми устройствами Африки стали Mara X и Mara Z, которые работают под управлением операционной системы Android. Устройства оценили примерно в 190 и 130 долларов. Производством смартфонов занимается компания...

[Из песочницы] Mes на производстве стальных радиаторов Опыт этого проекта показывает, что техническая реализация системы управления производством это лишь полдела. Знакомство с заводом Нас встречают завалы полуфабрикатов, неаккуратно разложенные в цеху. Планирование на заводе это когда коммерсанты верстают план из прогноза про...

Новинка от Huawei Новинка была продемонстрирована в ходе конференции для разработчиков Huawei Developers Conference. Ранее вице-президент компании BOE Лю Саодонг пообещал, что производитель начнёт массовое производство оптических сканеров для LCD-панелей к концу текущего года. Под экранные ск...

Lite-On выделяет производство SSD в предприятие с неоригинальным названием Компания Lite-On Technology недавно провела внеочередное общее собрание акционеров, на котором акционеры одобрили выделение направления деятельности и активов, связанных с выпуском твердотельных накопителей, в дочернюю компанию. Предприятие будет называться Solid State ...

Для Apple выгоднее перейти на процессоры AMD Чипы AMD Ryzen третьего поколения с ядром Zen 2 и Ryzen Threadripper более чем уверенно соревнуются с продукцией Intel почти во всех задачах, за исключением самых ресурсоемких игр. Слухи о том, что Apple готовится начать производство собственных процессоров для компьютеро...

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

Apple запускает производство флагманских iPhone в Индии Apple уже производит в Индии «бюджетные» смартфоны iPhone 6S, SE и iPhone 7, а в скором времени к ним присоединяться и флагманские модели iPhone X и XS. Как пишет источник, партнер Apple, компания Foxconn, запланировала приступить к опытному производству эти...

MSI выпустила 3 разогнанные видеокарты на базе NVIDIA GeForce GTX 1650 Как и ожидалось, компания NVIDIA официально представила новую бюджетную видеокарту GeForce GTX 1650. Новинка основана на графическом процессоре TU117 на базе архитектуры Turing, который изготавливается по нормам 12-нанометрового технологического процесса. Видеочип TU117 вклю...

У Adata готов модуль DDR4 DIMM обычной высоты объемом 32 ГБ В прошлом году компания Asus отклонилась от стандартов, чтобы увеличить емкость модулей памяти DDR4 DIMM, воспользовавшись возможностями чипсета Intel Z390. Она предложила модели Double Capacity DIMM или DC DIMM, которые отличались большим числом микросхем DRAM, для раз...

Названо время выхода первого смартфона Huawei на собственной операционной системе Последние месяцы компания Huawei находится в очень подвешенном состоянии. Из-за американских санкций, она не может быть уверена в надежности использования американских разработок при производстве смартфонов. И если от процессоров от Qualcomm компания частично все же смогла о...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

ASUS надеется продать 1 млн хромбуков в 2019 году Отраслевые источники утверждают, что тайваньский производитель электроники ASUS намерен продать в текущем году не менее миллиона хромбуков. Во многом, благодаря тесным взаимоотношениям с компанией Google и регулярным поставкам контрактных производителей Pegatron и Quanta Com...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Samsung начала массовое производство чипсетов 5G Samsung Electronics объявил, что его коммуникационные решения 5G находятся в массовом производстве для новейших мобильных устройств премиум-класса. Коммуникационные решения 5G включают в себя Exynos Modem 5100, Exynos RF 5500 (новый однокристальный радиочастотный прием...

Начались поставки трехколесных электромобилей Arcimoto FUV Компания Arcimoto начала поставки трехколесных электромобилей Fun Utility Vehicle или FUV, прием предварительных заказов на которые был начат в феврале. Стоит отметить, что разработка непривычного транспортного средства началась более десяти лет назад. «Эта веха ...

Массовое производство гибких экранов TCL для смартфонов начнется в этом году 30 мая компания TCL в сообщила, что в Ухане началась эксплуатация производственной линии по выпуску гибких панелей LTPS-AMOLED с запланированной производительностью 45 тыс. единиц в месяц. Компания также подписала соглашения с некоторыми производителями смартфонов, сред...

Китайская компания ChangXin Memory Technologies приступила к массовому производству DRAM-памяти Конкуренция обостряется, цены падают, покупатели счастливы

Samsung начала массовое производство оперативной памяти LPDDR4X на 12 Гбайт В прошлом месяце Samsung представила новые флагманы с объемом оперативной памяти 12 Гбайт. Сегодня компания объявила о старте массового производства оперативной памяти LPDDR4X объемом 12 Гбайт для премиальных смартфонов. Подробнее об этом читайте на THG.ru.

Инновации задерживаются. Samsung предупреждает об автоматической отмене предзаказов на складной Galaxy Fold 22 апреля компания Samsung объявила о задержке с выпуском складного смартфона Galaxy Fold в США и других регионах как минимум на месяц. Точный срок выпуска пока так и не был объявлен компанией. Зато Samsung начала рассылать оформившим предварительный заказ предупреждени...

Foxconn предлагает Apple перенести производство iPhone из Китая Торговое противостояние США и Китая, которое может вылиться в увеличение торговых пошлин на товары, импортируемые из Поднебесной, практически не отразится на Apple и ее прибыли. Компания может в любой момент начать производить iPhone и другую свою технику за пределами Китая...

Samsung начинает производство модулей AiP для 5G mmWave В июле прошлого года компания Qualcomm представила первые в мире антенные модули (AiP) 5G NR mmWave и радиочастотные модули sub-6 ГГц для смартфонов и других мобильных устройств, а к октябрю специалисты Qualcomm смогли уменьшить антенные модули 5G NR mmWave на 25%. ...

Xiaomi Mi 9 выпускают уже на трех заводах, а проблемы с производством были связаны с перебоями в поставках компонентов камеры Сначала Xiaomi отчиталась о выполнении прогноза по производству 1 миллиона смартфонов Mi 9 за месяц, а потом организовала поездку на завод Foxconn, находящийся в 70 км от штаб-квартиры компании. Во время этого было озвучено немало интересных подробностей относительно ко...

Fitbit скоро перенесет производство из Китая Компания Fitbit планирует производить все свои фитнес-браслеты и умные часы за пределами Китая, начиная с января 2020 года. На этот шаг американская компания вынуждена пойти, чтобы избежать тарифов на импорт китайской продукции в США, введенных американской администраци...

К концу 2020 года Китай будет выпускать до 4 % микросхем на мировом рынке памяти Японское издание Nikkei изучило возможное влияние зарождающегося в Китае национального производства памяти NAND и DRAM на мировой рынок. Немногочисленным китайским компаниям ещё предстоит преодолеть много препятствий на пути к производству массовой памяти, но даже сейчас на ...

Apple готова к производству новых iPad и AirPods 25 марта компания Apple должна будет провести презентацию, на которой будет представлен новый сервис видеостриминга, а также несколько аппаратных новинок. Источники уже начали передавать информацию о ...

Turkcell хочет стать технологическим партнером украинского правительства, начав сотрудничество с телемедицины в сельской местности Турецкая компания Turkcell, которая является владельцем мобильного оператора lifecell, заявила о желании стать технологическим партнером украинского правительства. Как заявило руководство компании, она готова внедрять инновационные продукты и решения на украинском рынке и по...

Смартфон TCL Plex с 6,53-дюймовым FHD дисплеем и Snapdragon 675 представлен официально Китайский компания TCL входит в число ведущих мировых производителей телевизоров, а также имеет опыт производства телефонов для брендов BlackBerry и Alcatel. Теперь он наконец-то готов выпустить смартфон под собственной торговой маркой. ***

Все проблемы позади. Электромобиль Faraday Future FF91 готов к массовому производству Изначально компания Faraday Future планировала выпустить свой первый полностью электрический автомобиль в 2017 году, а позже расширить модельный ряд еще несколькими впечатляющими автомобилями. Однако в итоге компании пришлось столкнуться с проблемами, с отсутствием фина...

Анонс 12 Гб оперативной памяти от Samsung Компания Samsung Electronics официально приступила к массовому производству первого в мире мультичипового пакета UFS с двойным объемом данных 12 ГБ. UMCP (Multi-Chip Package) сочетает в себе хранилище UFS 3.0 с 12 ГБ оперативной памяти LPDDRX4 и будет работать на смарт...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

AMD анонсировала профессиональную видеокарту Radeon Pro W5700 на 7-нм GPU Navi 10 Компания AMD подготовила к выпуску новую дискретную видеокарту AMD Radeon Pro W5700. Как заявляет производитель, это первое в мире профессиональное решение для настольных рабочих станций, в котором используется графический процессор на базе 7-нанометрового технологического п...

Samsung начинает производство чипов памяти eUFS 3.0 на 512 ГБ для смартфонов Мобильные устройства в скором времени по скорости чтения и записи данных будут сопоставимы с современными ноутбуками, по крайней мере флагманские смартфоны компании Samsung так уж точно. Южнокорейский гигант приступил к массовому производству первого в отрасли чипа флеш-памя...

Складной Huawei Mate X за $ 2600 будет выпущен в Индии Мы находимся на пороге новой эры технологий смартфонов, так как первые складные аппараты уже созданы и в ближайшее время появятся на рынке. Компании Huawei и Samsung готовы возглавить список производителей, которые первыми в мире запустят в продажу коммерчески доступные скла...

Реклама Huawei Mate X в китайском магазине намекает на скорый релиз Samsung заинтересована в том, чтобы выпустить складной смартфон как можно быстрее и опередить Mate X, но компания Huawei может разрушить эти планы. В социальной сети Weibo появились фотографии магазина в Китае с плакатом, рекламирующим первый складной смартфон компании Huawe...

Samsung может начать производство GPU для дискретных видеокарт Intel На этой неделе Раджа Кодури (Raja Koduri), курирующий производство GPU в Intel, побывал на заводе Samsung в Южной Корее. Учитывая недавнее объявление Samsung о начале производства 5-нм чипов с использованием EUV, некоторые аналитики посчитали, что этот визит может быть неслу...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

Foxconn уже строит крупную фабрику по производству телевизионных панелей 8K На сегодняшний день телевизоры 8K являются невероятно дорогой и в целом бесполезной диковинкой. Однако аналитики указывают на то, что определённую популярность такие модели наберут уже по итогам текущего года, а уж спустя несколько лет и вовсе начнёт переход с 4K на 8K....

Производство тягача Tesla Semi официально перенесено на 2020 год В ходе презентации электрического тягача Tesla Semi в 2016 году Илон Маск назвал предполагаемую дату начала его производства — конец 2019 года. С тех пор эта дата не менялась, и некоторые люди начали предполагать, что компания действительно успевает к намеченной дате. Оказа...

Foxconn подтвердила предстоящий запуск массового производства iPhone в Индии Foxconn вскоре запустит массовое производство смартфонов iPhone в Индии. Об этом объявил глава компании Терри Гоу (Terry Gou), развеяв опасения по поводу того, что Foxconn предпочтёт Индии Китай, где она ведёт строительство новых производственных линий.

Qualcomm анонсировала мобильную платформу Snapdragon 712, которая на 10% быстрее Snapdragon 710 Компания Qualcomm продолжает расширять модельных ряд свои однокристлаьных систем для смартфонов. Сегодня она анонсировала новую платформу Snapdragon 712, которая получила ряд улучшений по сравнению с предшественником Snapdragon 710. В основном, речь идёт о приросте производи...

Samsung выпускает оперативную память LPDDR5 на 12 ГБит Samsung Electronics объявила о начале массового производства первой в отрасли 12-гигабитной мобильной DRAM-памяти LPDDR5, оптимизированной для поддержки функций 5G и AI. Помимо этого, в конце текущего месяца, южнокорейский производитель планирует начать массовое производство...

Складной Huawei Mate X за 2600 долларов будет выпущен в Индии Мы находимся на пороге новой эры технологий смартфонов, так как первые складные аппараты уже созданы и в ближайшее время появятся на рынке. Компании Huawei и Samsung готовы возглавить список производителей, которые первыми в мире запустят в продажу коммерчески доступные скла...

Apple начнёт тестовое производство наушников AirPods во Вьетнаме В прошлом месяце мы сообщали о том, что компания Apple собралась постепенно переносить производственные мощности за пределы Китая и для этих целей обратилась к своим поставщикам с просьбой просчитать последствия такого шага при условии переноса 15-30% мощностей. Если в...

Компания Panasonic первой в отрасли разработала технологию массового производства микрофлюидных приборов методом литья стекла Компания Panasonic сообщила о разработке совместно со специалистами института микрохимических технологий (IMT) технологии массового производства микрогидродинамических или микрофлюидных приборов методом литья стекла. Эта технология обеспечивает снижение стоимости приме...

Теперь и у недорогих смартфонов будет по 12 ГБ ОЗУ Компания Samsung объявила о том, что приступила к массовому производству первой в отрасли 12-гигабайтной мультичиповой упаковки памяти LPDDR4X. Компания называет такие решения uMCP.

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

Samsung Display готова уменьшить производство LCD панелей Сегодня южнокорейская компания Samsung Display сделала официальное заявление о том, что рассматривает возможность приостановления одной из линий, занятой производством жидкокристаллических панелей. Причины — снижение цен на рынке, высокая конкуренция и перепроизводство...

Galaxy Note 10 становится быстрее и эффективнее Компания Samsung начала массовое производство чипов для мобильных DRAM 12 ГБ LPDDR5. Samsung говорит, что эти модули на 12 ГБ предназначены для использования в телефонах высокого класса. Вполне вероятно, что один из таких пакетов DRAM сможет обеспечить питание для Galaxy N...

Samsung начинает массовое производство 12 ГБ оперативной памяти LPDDR4X для смартфонов Компания Samsung уже представила смартфоны Galaxy S10+ и Galaxy Fold с 12 ГБ оперативной памяти в прошлом месяце. Сегодня она объявила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ.  ***

Samsung разработала первые в отрасли чипы DDR4 на базе технологии 10-нм класса третьего поколения Компания Samsung заявила, что она первой в отрасли смогла разработать чипы памяти Double Data Rate 4 (DDR4) ёмкостью 8 Гбит на базе производственной технологии 10-нанометрового класса третьего поколения. При этом отмечается, что прошло всего лишь 16 месяцев с момента начала ...

3М выпустила первый 3D-принтер, печатающий фторопластом Фторопласт используют в широком спектре отраслей: от потребительских товаров до аэрокосмической промышленности. На днях компания 3М продемонстрировала на выставке Formnext опытный образец 3D-принтера, который в качестве материала использует политетрафторэтилен. Его примен...

Не хватит даже винтов: Apple никак не сможет полностью производить iPhone в США Как известно, в США с приходом к власти Дональда Трампа американские компании начали активно склонять к тому, чтобы переводить производства из Китая в родную страну. Мы уже упоминали об этом сегодня, когда писали про Apple. Однако так ли всё просто? Ресурс NY Times реш...

Samsung ISOCELL Slim 3T2 – самый компактный датчик изображения производителя, идеально подходящий для фронтальной камеры Сегодня компания Samsung объявила о выпуске датчиков изображения для смартфонов ISOCELL Slim 3T2. Новинка преподносится как самая компактная модель в линейке датчиков изображения производителя: ее оптический формат составляет 1/3,4 дюйма (размер по диагонали – око...

HiSilicon готовится стать крупнейшим поставщиком ... Импортозамещение — это та стратегия, которой следует Huawei и это должно помочь ей выжить при попытке перекрыть ей «кислород» с поставкой импортных комплектующих. За достаточно короткий срок китайцы научились мастерить собственные мобильные процессоры и мод...

4-ядерный процессор Intel Core i3-10100 с поддержкой HyperThreading в мультимедийных тестах SANDRA обошёл Core i3-9100 на 31% Линейка настольных процессоров Intel 10-го поколения (семейство Comet Lake) будет иметь базовый чип Core i3-10100. Он придёт на смену моделям Core i3-9100 i3-8100. Отметим, настольные процессоры Intel Comet Lake всё ещё выпускаются по нормам 14-нанометрового технологического...

Воз и ныне там. Samsung признала, что проблемы Galaxy Fold так и не решены Операторы и магазины отменяют предзаказы на Samsung Galaxy Fold Пока все ждут анонса даты выхода Samsung Galaxy Fold, оператор AT&T отменил предварительные заказы на смартфон, оснащенный сгибающимся экраном. Ранее аналогичное действие совершила крупная сеть магазин...

Apple запустила производство AirPower Apple анонсировала беспроводную зарядку AirPower в сентябре 2017 года, пообещав выпустить само устройство в 2018 году. Однако, это так и не случилось, и судьба этого проекта оставалась неизвестной.  Многие полагали, что компания решила отказаться от выпуска устройства. К сч...

В iPhone 2020 года могут появиться гибкие OLED-дисплеи LG Компания LG готовится к запуску производства гибких OLED-панелей, которое должно начаться уже в этом году в Южной Корее. По сообщениям сетевых источников, компания Apple заинтересована в сделке с южнокорейским производителем. В случае заключения партнёрского соглашения между...

ZTE представит собственный 5G-модем для смартфонов во второй половине 2019 года До того как Huawei запустила смартфон Mate 20X 5G, стоимость которого приблизительно равна $900, компания ZTE представила аппарат Axon 10 Pro 5G, цена которого равна $720. Это означает, что устройство ZTE является самым доступным смартфоном с поддержкой 5G. Однако между упом...

Volkswagen тоже займется выпуском аккумуляторных батарей для электромобилей Компания Volkswagen планирует производить в Германии аккумуляторные батареи и зарядные станции для электромобилей, пересмотрев работу подразделения по производству компонентов для автомобилей, которое сейчас выпускает двигатели и детали рулевого управления. Готовясь к м...

Китайцы готовятся выпускать первую разработанную в стране память DRAM На днях с подачи интернет-ресурса DigiTimes мы сообщили, что китайская компания ChangXin Memory Technologies (ранее ― Innotron Memory) собирается в четвёртом квартале приступить к производству 19-нм 8-Гбит чипов LPDDR4 (или DDR4, в этом мнения разделились). Чуть подробнее о ...

Apple запускает массовое производство iPad 7, сборка 16-дюймового MacBook Pro начнется в конце года Тайваньская компания Radiant Opto-Electronics, поставщик модулей подсветки для устройств Apple, раскрыла данные, о которых в американской компании предпочли бы молчать. Теперь, благодаря азиатской фирме, мы знаем, что массовое производство iPad нового поколения стартует...

MediaTek выпустит чип Helio G90 для игровых смартфонов Компания MediaTek опубликовала тизер-изображение, говорящее о подготовке нового процессора семейства Helio для мобильных устройств. Чип получит название Helio G90. Он будет ориентирован на смартфоны игрового уровня и аппараты топового сегмента. Анонс изделия состоится в теку...

Сделано в России: возобновлён выпуск объективов «Рубинар» для астрономической фотографии Госкорпорация Ростех сообщает о том, что принадлежащий ей холдинг «Швабе» приступил к производству длиннофокусных фотообъективов «МС Рубинар 10/1000 Макро». В своё время объективы «Рубинар» создавались на базе фотографических объективов «МТО» и «ЗМ». Основное применение реше...

Samsung готовится к переходу на новую технологию производства DRAM-памяти Переход на 16-нанометровые нормы ожидается в 2020 году, говорят аналитики Digitimes Research.

На Kickstarter стартовал сбор средств на производство «первой потребительской AR-гарнитуры» Norm Glasses Молодая компания Human Capable планирует выпустить «первую потребительскую гарнитуру дополненной реальности». Продажи устройства стартуют уже в следующем году. Отметим, что гарнитуры дополненной реальности уже существуют, однако в большинстве случаев они слишком гр...

Самоуправляемые автомобили Waymo будут выпускаться в Детройте Компания Waymo, входящая в холдинг Alphabet, выбрала завод в Детройте для организации серийного производства самоуправляемых автомобилей. Генеральный директор компании Джон Крафчик (John Krafcik) сообщил в своем блоге, что Waymo будет сотрудничать с American Axle & ...

6 ядер, 12 потоков и частота почти 5 ГГц: в Сети засветился мобильный процессор Intel Core i7-10710U (Comet Lake-U) Линейка процессоров Intel Core 10-го поколения будет состоять из двух семейств процессоров: 10-нанометровых Ice Lake-U (они уже массово производятся и поставляются изготовителям ноутбуков) и 14-нанометровых Comet Lake-U — идеологических преемниках Whiskey Lake-U и...

Intel начнёт поставки 7 нм процессоров в 2021 году Во вторник компания Intel провела встречу с инвесторами, на которой главный инженер Мёрфи Редучинтала со сцены рассказал о планах по освоению новых технологий производства процессоров.

Huawei готова начать продажи своих 5G модемов, но только компании Apple На протяжении многих лет компания Huawei разрабатывает свои высокопроизводительные мобильные процессоры и модемы, которые используются в её собственных мобильных устройств. И до сих пор компания отказалась продавать какие-либо из этих чипов своим конкурентам. Однако осведомл...

Компания "Байкал электроникс" с большим опозданием представила свой второй процессор Процессор Baikal-M, выполненный на архитектуре ARM по технологии 28 нм, планировалось представить еще в 2016 году. Производство и поставки чипа должны начаться во второй половине 2020 года.

Видеокарты GeForce GTX 1660 Ti начали поступать к первым владельцам Официальные продажи видеокарт GeForce GTX 1660 Ti начнутся только в эту пятницу, однако не все ритейлеры соблюдают договорённости с Nvidia. Некоторые торговые сети решили не дожидаться конца недели, приступив к поставкам ускорителя раньше...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

BOE встроила сканер отпечатков в LCD-дисплей: ждём появление технологии в бюджетных смартфонах Если мы говорим о встроенном в дисплей сканере отпечатков пальцев, то подразумеваем, что тип этого дисплея — OLED, так как данная технология биометрической аутентификации до сих пор была совместима только с такими матрицами из-за их меньшей толщины. Однако китайский производ...

Google перенесёт производство смартфонов на фабрику Nokia из-за антикитайских пошлин Трампа Компания Google начала комплектовать старую фабрику Nokia во Вьетнаме в рамках подготовки переноса производства смартфонов Pixel из Китая. Об этом сообщили два источника издания Nikkei Asian Review.

Samsung начинает массовое производство памяти LPDDR4X uMCP емкостью 12 ГБ Компания Samsung Electronics, объявила о начале массового производства первого в отрасли многочипового пакета на базе UFS (uMCP) с 12-гигабайтной (ГБ) и двойной скоростью передачи данных 4X (LPDDR4X) с низким энергопотреблением. Samsung представляет решение uMCP-модулей на...

Volkswagen готовится к запуску производства мобильных зарядных станций для электрокаров Немецкий концерн Volkswagen планирует заняться выпуском аккумуляторных блоков и зарядных станций для автомобилей на электрической тяге. Производство будет запущено автопроизводителем в Германии.

У Huawei есть 560 тысяч разработчиков, готовых приступить к написанию приложений для новой ОС И все они готовы поддержат китайскую компанию в трудную минуту

Samsung поможет Intel справиться с дефицитом 14-нм процессоров Не было бы у компании Samsung счастья, да несчастье Intel помогло. Южнокорейское информационное агентство Yonhap распространило новость, что Samsung Electronics согласилась начать выпуск центральных процессоров для Intel. Неделю назад Intel намекнула на возможность подобного...

Samsung приступила к массовому выпуску сгибающихся экранов Они предназначены для смартфона Samsung Galaxy Fold, продажи которого начнутся в конце апреля 2019 года.

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Боб Сван: Мы подводим клиентов, они вправе ожидать от нас большего Неожиданный и продолжительный дефицит процессоров Intel смазал результаты рекордного квартала. Доходы Intel в третьем квартале, наверное, оказались рекордными, но руководству компании на подведении итогов большую часть времени пришлось извиняться. Да-да, именно извинят...

MediaTek анонсировала линейку 5G-чипсетов Dimensity: поддержка двух 5G-SIM, Wi-Fi 6 и Bluetooth 5.1 Компания MediaTek подготовила к выпуску новую линейку систем-на-чипе с поддержкой связи 5G. Эта серия получила название Dimensity. А первым чипсетом в рамках новой линейки стала модель Dimensity 1000 5G. Процессор MediaTek Dimensity 1000 5G изготавливается по нормам 7-наноме...

Tesla разрешили начать производство электромобилей в Китае Компания Tesla добавлена ​​в китайский список одобренных правительством производителей электромобилей. Об этом заявило министерство промышленности Китая, предоставившее Tesla сертификат, необходимый для начала выпуска электромобилей в этой стране. Это означа...

Составит конкуренцию Snapdragon 855 Plus. MediaTek готовит общественность к анонсу флагманской SoC Helio G90 Ранее самым большим недостатком даже флагманских однокристальных систем MediaTek были слабый графический процессор и средняя производительность в играх. Однако скоро производитель собирается выпустить новую мобильную платформу для игровых смартфонов, которая получит наз...

Материнские платы на AMD B550 уже готовы к дебюту Менеджер по продукции Biostar Вики Ван (Vicky Wang) дала интервью корейскому изданию Brainbox, в котором рассказала о готовящихся материнских платах компании на новых чипсетах AMD и Intel. Интересно, что вскоре после публикации интервью компания Biostar заявила, что информац...

Apple подготовилась к побегу из Китая Компания Foxconn, главный подрядчик Apple, заявила, что готова перенести производство iPhone и других устройств за пределы Китая, если это будет необходимо. Так Apple пытается обезопасить себя в торговой войне между США и Китаем.

Huawei планирует сохранить темпы роста за счет Бразилии, которая занимает 4 место на рынке смартфонов Около четырех лет назад Huawei закрыла свой бизнес, связанной с потребительскими товарами, в Бразилии. Однако за последние годы Huawei продемонстрировала стремительный рост продаж смартфонов и смогла обойти по объему поставок Apple. Чтобы сохранить темпы роста, компания...

Консоль Atari VCS еще не вышла, но уже получила новый процессор Как известно, в июне прошлого года на сайте коллективного финансирования Indiegogo успешно прошел сбор средств на выпуск игровой консоли Atari VCS. Авторам проекта удалось собрать более 3 млн долларов. Отгрузка консолей должна была начаться в июле текущего года,...

DJI готовит свой первый гоночный дрон с FPV В Сеть попали сведения о возможной подготовке китайской компанией DJI гоночного дрона, оснащённого камерой с функцией FPV (first-person-view, вид от первого лица). DJI Phantom 4 Об этом сообщил ресурс DroneDJ, обнаруживший в базе данных Федеральной комиссии по связи США (FCC...

Смартфоны Samsung получат 1 ТБ памяти! Корейская компания уже приступила к массовому производству модулей памяти eUFS соответствующего объема. Причем микросхемы имеют точно такие же габариты, как и их предшественники емкостью 512 ГБ – 11,5 х 13 мм.

Видео дня: президент Xiaomi демонстрирует складной смартфон с гибким экраном, превращающийся в планшет Слухи, которые, как обычно, знают все, приписывали Xiaomi работу над складным смартфоном, однако компания их никак не комментировала. И вот сегодня вдруг неожиданно появилось официальное видео, в котором официальный президент Xiaomi Лин Бин (Lin Bin) демонстрирует в рук...

Intel раскрывает планы на 10-нм техпроцесс: Ice Lake — в 2019, Tiger Lake — в 2020 10-нм процесс Intel готов к полномасштабному внедрению Первые массовые 10-нм процессоры Ice Lake начнут поставляться в июне В 2020 году Intel выпустит преемника Ice Lake — 10-нм процессоры Tiger Lake На прошедшем сегодня ночью мероприятии для инвесторов Intel сделала неско...

Таинственная SoC Samsung производится по нормам 8 нм Как мы уже сообщали, компания Samsung начала дразнить общественность скорым анонсом новой однкористальной системы семейства Samsung Exynos. Сам южнокорейский производитель не называет точное название однокристальной системы, однако инсайдеры считают, что речь идет о Sam...

В России предлагают легализовать встроенные сим-карты В России давно работают виртуальные операторы GigSky и Truphone, у которых можно купить виртуальные сим-карты через интернет Минкомсвязи разрабатывает необходимую нормативную базу для внедрения технологии eSim (Embedded Sim), то есть интегрированных в мобильные устройства...

Первый 5G-смартфон Nokia будет стоить около 700 долларов Никто не сомневается в том, что смартфоны Nokia с поддержкой мобильных сетей пятого поколения находятся в разработке, однако они появятся в продаже только в следующем году. Руководство HMD Global считает 2020 год правильным для начала массового выпуска 5G-устр...

Разработчики Ведьмака выпустят «Гвинт» на iOS уже в этом году Сегодня представители компании CD Projekt RED поделились своими планами по переносу коллекционной карточной игры «Гвинт» на мобильные платформы. Пока разработчики не готовы называть никаких конкретных дат. Однако известно, что до виртуальных полок яблочного магазина приложен...

Huawei Mate 30 станет первым смартфоном с 7-нанометровой SoC Kirin 985 Источники утверждают, что первым смартфоном, который будет построен на базе однокристальной системы Huawei Kirin 985, станет флагман Huawei Mate 30. Ранее сообщалось, что однокристальная система Huawei Kirin 985 будет первой SoC для смартфонов, изготовленной с использов...

Huawei передумала: шокирующе дорогой Huawei Mate X может не выйти за пределами Китая На этой неделе компания Huawei провела в Китае мероприятие, посвященное локальному запуску складного смартфона Huawei Mate X, сообщив, что он поступит в продажу 15 ноября по цене около 2400 долларов. Компания не сообщила никакой информации о глобальном запуске Huawei Ma...

Intel выпустила процессоры Core 10-ого поколения Компания Intel наконец-то запустила серийное производство 10 нм процессоров, правда пока лишь мобильных (архитектура ядра Sunny Cove). Новинки Core десятого поколения поддерживают графику Iris Plus 11-ого поколения и технологию Intel Deep Learning Boost (Intel DL Boost). ...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Официально: Redmi Note 8 получит чипсет Snapdragon 665 и счетверённый модуль камеры Компания Xiaomi решила использовать для смартфонов серии Redmi Note 8 различные процессоры. Так, для модели Redmi Note 8 Pro будет использоваться чип MediaTek Helio G90T. Обычный Redmi Note 8 получит процессор Snapdragon 665. Эта информация появилась в официальной учетной за...

SK Hynix сократит выпуск флеш-памяти NAND более чем на 10% Как мы уже сообщали, сегодня компания SK Hynix опубликовала квартальный отчет. По сравнению с предшествующим кварталом доход и операционная прибыль сократились на 32% и 69%. По словам производителя, причина в том, что цены на его продукцию снижались «быстрее, чем ...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

OLED плюс QLED. Samsung намерена в ближайшее время начать производство панелей QD-OLED На рынке телевизоров компания Samsung сделала ставку на технологию QLED, которая подразумевает использование обычных ЖК-панелей, но с дополнительным слоем из квантовых точек. В прошлом году корейский гигант представил технологию, объединяющую OLED и QLED, хотя подробно...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Торговая война Вашингтона и Пекина вынуждает сингапурских чипмейкеров сокращать персонал В связи с продолжающейся торговой войной между Китаем и США, а также ограничениями, введёнными США в отношении китайской телекоммуникационной компании Huawei, и снижением потребительского спроса, сингапурские чипмейкеры начали замедлять производство и увольнять сотни рабочих...

До конца года MediaTek представит новые микросхемы с поддержкой 5G Специалисты MediaTek готовят к серийному выпуску новые однокристальные системы для мобильных устройств с поддержкой 5G. Он будут представлены в этом году и в начале следующего. Такими данными располагают отраслевые источники. Ожидается, что MediaTek представит решения,...

Huawei начинает производство 5-нм чипов Kirin 1000, которые дебютируют в смартфонах Mate 40 В начале сентября китайская компания Huawei представила новый флагманский чип Kirin 990, который производится по улучшенному 7-нанометровому технологическому процессу с использованием EUV-литографии.

«Рикор» приступил к производству опытных образцов серверных блоков питания Российский инновационный холдинг «Рикор» приступил к производству опытных образцов серверных блоков питания …

TSMC готова к массовым поставкам 7-нм продуктов второго поколения AMD ещё нет.

Еще больше Xiaomi Mi 9. Компании Foxconn в производстве нового флагмана помогает BYD Контракт на сборку флагманских смартфонов Xiaomi Mi 9 получил крупнейший китайский производитель компания Foxconn, на заводах которой выпускается огромное количество моделей популярных смартфонов. Однако спрос на Xiaomi Mi 9 оказался настолько большим, что Fox...

Google займется выпуском фитнес-браслетов и умных часов Компания Google имеет в своем портфолио массу решений – от смартфонов до умных колонок. Однако с носимыми устройствами у нее пока не сложилось: из подобных аксессуаров имеются только наушники Pixel Buds, которые едва ли можно назвать успешным продуктом. Но в скоро...

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

Samsung начала выпуск скоростной памяти eUFS 3.0 объёмом 512 ГБ Мировой лидер в области передовых технологий памяти Samsung Electronics объявил о начале массового производства первой в отрасли встроенной универсальной флэш-памяти eUFS 3.0 емкостью 512 ГБ для мобильных устройств следующего поколения. В соответствии с новейшей…

Несмотря на временные трудности, в долгосрочной перспективе цены на DRAM и NAND продолжат снижаться Аналитики подразделения DRAMeXchange компании TrendForce опубликовали своё экспертное мнение о динамике цен на память в ближайшей и долгосрочной перспективе. Как вам наверняка известно, за последний месяц производство DRAM- и NAND-памяти подверглось испытаниям на прочность. ...

Oppo обещает показать смартфон с подэкранной камерой 26 июня Компания Oppo может представить первый коммерческий смартфон, оснащенный подэкранной фронтальной камерой, которая скрыта под поверхностью дисплея. Производитель опубликовал на своей страничке в социальной сети Twitter тизер, подтверждающий, что компания сделает соответс...

Foxconn начнет производство iPhone X в Индии в июле Foxconn собирается начать производство iPhone X в Индии в июле этого года, согласно сообщению The Economic Times. Производство будет осуществляться на заводе Foxconn в Ченнае в восточной Индии. По словам чиновника, знающего о планах компании, Foxconn надеется увеличить произ...

Samsung закончила модернизацию смартфона Galaxy Fold, но всё ещё не знает, когда выпустит его на рынок За последнее время мы уже не раз слышали о том, что работы над гибким смартфоном Galaxy Fold якобы завершены, и устройство готово к повторному выходу на рынок. Но оно всё никак не выходит. Сегодня ресурс Bloomberg заявил, что Samsung якобы завершила модернизацию своего ...

10-нанометровый процессор Intel совершенно нового поколения впервые засветился в тесте Процессоры Intel Tiger Lake придут на смену поколению Ice Lake в следующем году. Первые CPU Tiger Lake появятся в мобильном сегменте — о настольном пока можно даже не упоминать. Несмотря на то, что поколение Ice Lake только начало появляться на рынке в готовых про...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

TSMC инвестирует $19,5 млрд для выпуска 3-нм процессоров c 2023 года В следующем году TSMC планирует начать производство чипов по 5-нм техпроцессу. Первым 5-нм чипом, скорее всего, станет Snapdragon 875, который выйдет в 2021 году. Возможно, что и Apple A14 также будет разрабатываться пр 5-нм техпроцессу. 3-нм техпроцессТеме не менее…

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Процессор Samsung Exynos 7 Series 7904 рассчитан на смартфоны среднего уровня Компания Samsung Electronics анонсировала новый мобильный процессор — изделие Exynos 7 Series 7904, изготавливающееся по 14-нанометровой технологии. Чип рассчитан на смартфоны среднего уровня. Основу решения составляют восемь вычислительных ядер: это дуэт ARM Cortex-A73 с та...

Готовая ОС Huawei появится этой осенью Huawei собирается выпустить собственную операционную систему уже в августе. Китайская версия будет называться ОС, а зарубежная Oak OS. Генеральный директор Huawei по потребительскому бизнесу Ричард Ю подтвердил, что новая ОС компании будет готова этой осенью. Huawei не ...

Acer Predator X38P: игровой IPS-монитор с частотой обновления 175 Гц и G-Sync Компания Acer готовится начать поставки геймерского монитора Predator X38P, выполненного на базе 37,5-дюймовой изогнутой матрицы Nano IPS производства LG. Разрешение составляет 3840 x 1600 пикселей, имеется поддержка технологии Nvidia G-Sync Ultimate (с аппаратным...

«Ростех» возьмет трубку. Корпорация готова поставить радиостанции и планшеты силовикам и другим бюджетникам Как стало известно “Ъ”, входящий в «Ростех» концерн «Созвездие» может принять участие в проекте создания сети мобильного интернета для МЧС, МВД, Росгвардии и социально значимых объектов. Концерн готов заняться производством планшетов, радиостанций, а также операторского обор...

Специалистам EOSRL, похоже, удалось совершить прорыв в технологии micro-LED Подразделение Electronic and Optoelectronic System Research Laboratories (EOSRL) института Industrial Technology Research Institute (ITRI), в ноябре 2017 года взявшееся за разработку дисплеев micro-LED в сотрудничестве с PlayNitride, Macroblock и Unimicron, похоже, сове...

Акции Tesla обвалились на фоне крупнейшего снижения продаж в истории компании Изображение: Unsplash В среду, 3 апреля, компания Tesla опубликовала отчет о производстве и поставках электромобилей конечным покупателям. По итогам первого квартала 2019 года производство сократилось на 10%, а поставки – на 31%. Это крупнейшее падение в истории компании....

LG Chem покупает у DuPont технологию, необходимую для изготовления панелей OLED методом струйной печати LG Chem, дочерняя компания LG Group, приобрела у американской химической компании DuPont ключевые технологии, используемые для производства «растворимых органических светоизлучающих диодов» (soluble OLED). Эти технологии необходимы для изготовления дисплеев ...

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

Apple планирует выпустить очки с поддержкой дополненной реальности? Ни для кого не секрет, что Apple давно интересуется технологиями дополненной реальности. Пока компания ограничилась лишь запуском платформы ARKit с набором инструментов для разработчиков. Но, как утверждает авторитетный аналитик Минг Чи-Куо, корпорация планирует развивать э...

Huawei начала пробное производство 5-нм SoC Kirin 1000 Компания Huawei уже начала пробный этап производства новых флагманских чипов. По предварительным данным, данные SoC получат название Kirin 1000 и будут построены по 5-нм техпроцессу. Ожидается, что первыми смартфонами на базе этих процессоров окажутся флагманские Huawei Mate...

Революция близко: Xiaomi уже занимается массовым производством 100-Ваттных зарядок Недавно компания Xiaomi опубликовала ролик, где продемонстрировала зарядное устройство мощностью 100 Ватт, с помощью которого аккуулятор емкостью 4000 мАч можно зарядть до 100% за 17 минут. На тот момент подробностей о том, предназначена ли эта технология для массового рынк...

Смартфон Oppo с подэкранной камерой выйдет только в 2020 году Oppo обещает показать смартфон с подэкранной камерой 26 июня Через несколько дней компания Oppo покажет прототип смартфона, оснащенного подэкранной фронтальной камерой, которая скрыта под поверхностью дисплея. Это произойдет на мероприятии Mobile World Congress 2019...

Microsoft не планирует переносить производство из Китая На прошлой неделе издание Nikkei сообщило, что крупные производители потребительской электроники, включая HP, Dell и Microsoft, намерены перенести производство за пределы Китая. Это стремление продиктовано желанием избежать повышенных импортных тарифов, введенных в ходе...

Ryzen Pro второго поколения вот-вот появятся в ноутбуках Представлены процессоры Ryzen 7 Pro 3700U с четырьмя ядрами, восемью потоками и частотой 2,3 ГГц, Ryzen 5 Pro 3500U (четыре ядра/восемь потоков, 2,1 ГГц) и Ryzen 3 Pro 3300U (четыре ядра/четыре потока, 2,1 ГГц). Одна из причин, вызывавших недовольство у клиентов, которые...

LG показала коммерческую версию сворачивающегося телевизора и готова приступить к продажам в этом году Компания LG продолжает развивать идею использования не просто гибких телевизоров, а телевизоров, способных свернуться в рулон, чтобы не занимать много места. Прототип такого устройства демонстрировался на прошлогодней выставке CES 2018, а в этом году на CES 2019 компания пок...

Смартфоны Samsung с этикеткой Made in China скоро исчезнут Как пишет источник, компания Samsung, начала увольнять персонал со своего единственного китайского завода по производству смартфонов: на предприятии Huizhou Samsung Electronics Co. запущена программа компенсаций при сокращении. Все увольнения осуществляются на доброволь...

Планшет-новинка от Samsung — Galaxy Tab S5e Samsung — одна из немногих компаний сегодня, которая занимается производством планшетов для массового потребительского рынка. она приготовила для нас новую модель — Galaxy Tab S5e. В компании достоинствами устройства называют дизайн и мощную «начинку». Внешний вид вы запро...

Билл Гейтс нашел виновных в своих неудачах На организованной The New Yourk Times конференции DealBook, финансовый колумнист газеты Эндрю Росс Соркин в среду, 6 ноября, брал публичное интервью у бывшего руководителя Microsoft Билла Гейтса. Когда речь зашла о Windows Mobile, американский предприниматель и филантр...

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Запаситесь терпением: 10-нм процессоров Intel для десктопов не будет до 2022 года Как следует из просочившихся в прессу документов о ближайших планах компании Intel на процессорном рынке, будущее компании вырисовывается далеко не в радужных тонах. Если документы верны, то увеличение числа ядер в массовых процессорах до десяти штук произойдёт не ранее 2020...

Серийное производство российского электрокара Zetta начнётся в 2020 году Глава Минпромторга РФ Денис Мантуров сообщил о планах начать серийное производство первого российского электромобиля Zetta в первом квартале 2020 года. По его словам, сертификация машины находится на финальном этапе. Ранее запуск производства российских электрокаров был анон...

Куо: массовое производство iPhone SE 2 начнётся в январе 2020 года Сравнительно недавно в сети начали появляться слухи о бюджетном смартфоне Apple iPhone SE 2, и если верить этой информации, то его официальный анонс состоится в 1 квартале 2020 года. Известный аналитик Минг-Чи Куо (Ming-Chi Kuo) полагает, что массовое производство будущей но...

Intel снимает с производства мини-ПК NUC на 10-нанометровых процессорах Cannon Lake Компания Intel сообщила о прекращении выпуска нескольких мини-ПК NUC, включая модели на процессорах Cannon Lake. Говоря точнее, на процессоре Core i3-8121U. Как известно, этот процессор не имеет интегрированной графики, так что в конфигурацию мини-ПК включены GPU AMD Ra...

Intel начнёт массовое производство 7-нм продуктов только в 2022 году В 2021 году будет "генеральная репетиция".

Google сама будет создавать чипы для своих смартфонов Pixel и других устройств Как утверждает авторитетное издание Reuters, в ближайшее время поисковый гигант займется разработкой собственных однокристальных систем. В данный момент компания нанимает инженеров в Индии, чтобы пополнить свою команду под внутренним названием gChips, которая как ...

Samsung откладывает выпуск телевизоров QD-OLED По данным источника, компания Samsung Display (SDC) недавно вложила значительные средства в покупку запатентованных технологий QD-OLED и micro-LED у одной из южнокорейских компаний. Ранее компания Samsung продвигала технологию QLED, в которой жидкокристаллические панели...

CES 2019: AMD представила новые мобильные процессоры Ryzen Ежегодная выставка потребительской электроники CES 2019 начнётся только 8 января, однако некоторые компании уже приступили к анонсам своих новых продуктов. Так, например, сегодня AMD представила новое поколение мобильных процессоров Ryzen, обновив чипы U-серию (15 Вт) и ано...

Foxconn изменила планы на пресловутую фабрику в США Летом прошлого года после череды слухов и заявлений компания Foxconn наконец-то приступила к строительству своей первой фабрики в США. Завод строят в штате Висконсин. Он должен создать до 15 000 новых рабочих мест, а стоимость объекта составит около 10 млрд долларо...

Dell: в четвёртом квартале 2019 года усилился дефицит процессоров Intel Дефицит процессоров Intel наблюдается уже больше года, но постепенно он сокращался. Однако по словам Dell, в текущем квартале ситуация ухудшилась из-за неожиданно высокого спроса на компьютеры и серверы. В результате Dell пришлось понизить прогноз выручки на четвёртый кварта...

По прогнозу Digitimes Research, мировой выпуск микросхем в ближайшие годы будет расти в среднем на 5,3% в год Специалисты аналитической компании Digitimes Research взялись спрогнозировать глобальный объем производства полупроводниковых микросхем в течение ближайших пяти лет. По их мнению, выпуск этой продукции в период до 2024 года будет расти в среднем на 5,3% в год. Если этот...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Hyundai тестирует технологии 5G для беспилотных автомобилей Корейский оператор сотовой связи KT официально сообщил сегодня о том, что компания вместе с производителем автомобильных запчастей Hyundai Mobis занимается разработкой технологий для машин, которые смогут обмениваться данными в сетях пятого поколения. На начальной ...

Самая передовая мобильная платформа теперь не у Qualcomm, а у Mediatek. Представлена SoC MediaTek Helio M70 5G Только вчера компания Arm анонсировала новый процессор Arm Cortex-A77 и новый GPU Arm Mali-G77, а сегодня о создании однокристальной системы с этим набором компонентов заявила MediaTek. Новая SoC тайваньской компании называется MediaTek Helio M70 5G, и в ее обозначении ...

Nikon будет выпускать лидары Velodyne Lidar Компания Velodyne Lidar объявила о соглашении с компанией Nikon, в соответствии с которым японский производитель будет серийно выпускать лидары, созданные специалистами Velodyne. Выпуск должен начаться во втором полугодии. «Массовое производство наших выс...

Redmi K30 может получить 100-ваттную зарядку Индийский информатор Мукул Шарма (Mukul Sharma), который регулярно публикует достоверные сведения о новинках мобильной индустрии, поделился важными сведениями о работе отдела исследований и разработок Xiaomi. Как стало известно, китайский гигант, который поставляет на р...

Redmi, а не Xiaomi первой предложит смартфон с поддержкой зарядки мощностью 100 Вт На вчерашний неформальный анонс технологии быстрой зарядки Xiaomi Super Charge Turbo, обеспечивающей передачу до 100 Вт мощности, отреагировал глава бренда Redmi Лю Вейбинг (Lu Weibing). Причем его сообщение в соцсети Weibo сложно трактовать неоднозначно. Дословно сооб...

Samsung увеличила скорость работы накопителей в смартфонах Samsung Electronics объявила о начале массового производства первого в отрасли встраиваемого накопителя Universal Flash Storage (eUFS) 3.0 емкостью 512 ГБ для мобильных устройств нового поколения. В соответствии с требованиями спецификаций eUFS 3.0, представленное ре...

Потом во всем обвинят Трампа. Huawei уже переходит со Snapdragon на Kirin Аналитики утверждают, что дочерняя компания Huawei по производству микросхем HiSilicon нарастила поставки процессоров в этом году. В результате по итогам года более 70% всех смартфонов Huawei будут оснащены однокристальными системами Kirin. Причем уменьшение доли однокр...

MediaTek готовит к выходу чип Helio G90 для игровых ... Провальные продажи чипа Helio X30 поспособствовали тому, что MediaTek оставила попытки создать флагманский, самодостаточный и конкурентоспособный процессор. Не выдержав конкуренции с Qualcomm, Huawei и Samsung, тайваньский чипмейкер принял решение прекратить проектирование н...

AirPower поступила в производство и скоро появится в магазинах Долгожданный зарядный коврик для беспроводной зарядки Apple AirPower, возможно, наконец-то появится на горизонте, если верить последним слухам. Гонконгский сайт ChargerLAB ссылается на «заслуживающий доверия источник» в цепочке поставок Apple, который утверждает, что китайск...

Samsung начинает массовое производство 12 Гб памяти LPDDR5 Переход на мобильную связь пятого поколения требует от устройств всё больших объёмов памяти.

Samsung приступила к массовому производству 5G-модемов Модем Exynos 5100 стал доступен для лицензирования

Samsung представила новый мобильный процессор и 5G-модем Компания Samsung Electronics анонсировала свой новый мобильный процессор и 5G-модем. В них используется передовая 7-нанометровая (нм) технология фотолитографии в глубоком ультрафиолете, обеспечивающая беспрецедентную производительность. В процессоре Exynos 990 встроен первы...

Apple вела переговоры о поставках модемов 5G с Samsung, Intel и MediaTek, но не с Huawei Компания Samsung уже представила смартфон с поддержкой сетей 5G. В июне ожидается выход смартфона Huawei с поддержкой сетей 5G. Ожидается, что примеру Samsung и Huawei последуют другие производители. Но не Apple. У компании Apple нет своих модемов 5G и купить их не у ко...

Toyota и Panasonic запустят совместное производство призматических аккумуляторов для электромобилей Японские корпорации ToyotaMotor и Panasonic подписали соглашение о создании совместного производства призматических автомобильных аккумуляторов. Новая компания должна обеспечить Toyota и другим автопроизводителям стабильные поставки высокоэффективных, мощных, безопасных и на...

Samsung начала массовое производство модемов 5G, а Apple собрала команду из более 1000 инженеров для разработки собственного 5G-модема Компания Samsung заявила о начале массового производства собственных чипов для обеспечения поддержки связи 5G. Речь идёт о многорежимном чипсете Exynos Modem 5100, который используется в смартфоне Galaxy S10 5G. Чип Samsung Exynos Modem 5100 был впервые анонсирован в августе...

Основой консоли Sony PlayStation 5 будет APU AMD, способный выполнять 16 потоков и на аппаратном уровне поддерживающий трассировку лучей Как мы уже сообщали, компания Sony анонсировала выпуск игровой консоли PlayStation 5. Источники опубликовали дополнительные сведения об этом устройстве. В частности, о процессоре, на котором будет построена консоль. Это будет полузаказной гибридный процессор (APU...

TCL наладит выпуск гибких OLED панелей в этом году Интерес производителей смартфонов к складному форм-фактору очень высок. В числе них — TCL, которая еще в начале года заявила о своих планах выпустить гибкий мобильник. И, возможно, даже не один.     Серьезный шаг к созданию складного смартфона уже сделан &md...

Завыли о помощи: США может снять санкции с РФ ради отечественного оружия «Ростех» уже заявил о своем согласии, но первый серьезный политический шаг придется делать Вашингтону. Весьма неожиданный поворот намечается в отношениях России и США. Из-за патологической потребности в пополнении собственного арсенала, Вашингтон искренне захотел добавить в...

«Росэлектроника» собирается создать первое отечественное производство СВЧ-переключателей для спутников Холдинг «Росэлектроника» сообщил о намерении запустить первое отечественное производство сверхвысокочастотных переключателей для искусственных спутников Земли. Проект, направленный на уменьшение зависимости от поставок зарубежной СВЧ-аппаратуры для космическ...

Intel готова начать производство памяти MRAM Итак, принципиально новый вид компьютерной памяти, называемый преемником как DRAM, так и NAND и продемонстрированный в конце прошлого года компаниями Samsung и Intel, начинает обретать вид реального продукта. По крайней мере, в этом направлении сделан еще один шаг: по заявле...

В РФ начали производство аналога винтовки AR-15 Полуавтоматическая винтовка AR-15 является одним из самых популярных образцов американского стрелкового оружия. Как сообщает РИА Новости со ссылкой на официального представителя ORSIS, компания запустила серийный выпуск полуавтоматических винтовок ORSIS-AR15J. Ранее их собир...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

Аналитики DSCC сказали, когда дисплеи OLED превзойдут жидкокристаллические по распространенности в мобильных устройствах По подсчетам специалистов DSCC, объемы производства дисплеев OLED для мобильных устройств уже в будущем году превысят объемы производства жидкокристаллических дисплеев для той же области применения. А к 2025 году доля OLED достигнет 65%. Рассматривая весь рынок д...

Intel продолжит использовать техпроцесс 14 нм даже при создании новейших дискретных мобильных видеокарт Как известно, в следующем году Intel выпустит на рынок дискретные видеокарты Xe с 10-нанометровыми GPU. Согласно последним данным, первые модели выйдут в середине года. Кроме того, в следующем году на рынок должны выйти и 10-нанометровые мобильные CPU Tiger Lake, содерж...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

SK Hynix начнёт массовое производство памяти типа HBM2E в 2020 году Кому-нибудь она да пригодится.

Drone Racing League делает свой беспилотник Racer4 доступным для всех Новый сезон в профессиональной лиге гоночных дронов Drone Racing League стартует 11 августа. Это событие ознаменует появление новой версии высокоскоростного дрона под названием DRL Racer4. Данный летательный аппарат способен развивать скорость до 145 км/ч, его корпус преимущ...

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

32-ядерный AMD EPYC Rome замечен в базе SiSoftware Sandra Выпуск серверных 7-нм процессоров AMD EPYC Rome запланирован на середину этого года, ну а пока образцы подобных чипов проходят тестирование в лабораториях чипмейкера и его партнёров. (+) Например, 32-ядерный образец процессора EPYC Rome...

Гибридные процессоры AMD Ryzen найдут применение в хромбуках Не секрет, что в последнее время выходит всё больше ноутбуков с процессорами AMD. Производители охотнее обращают внимание на «красные» APU и даже готовятся приступить к выпуску игровых устройств на базе старших Ryzen Mobile...

Объявлены цены первых телевизоров Motorola Motorola объявила о выпуске своих первых телевизоров на Android. Уже началось производство шести моделей. Первой страной, где они начнут продаваться, станет Индия.

Xiaomi показала концепт смартфона со складным экраном В разложенном виде устройство по размерам больше похоже на планшет. Однако два края устройства можно сложить, и получится обычных размеров смартфон. Массовое производство гаджета будет зависеть от реакции пользователей.

Слухи: Intel полностью отказывается от планов по выпуску 10-нм процессоров в настольном сегменте 10-нм технологические нормы не несут никаких преимуществ.

IBM готова работать с Facebook над цифровой валютой Libra Технологический гигант IBM готов сотрудничать с Facebook в разработке цифровой валюты Libra, сообщает CNBC. Генеральный менеджер блокчейн-сервисов IBM Джейсон Келли заявил, что компания считает блокчейн “командным видом спорта”, который способствует кооперации между различны...

Тред: что Tesla придётся поменять в электропикапе Cybertruck, чтобы получить разрешение на серийное производство в США У компании есть два года до запланированного выпуска машины.

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

«Ростелеком» определился с поставщиками 100 тысяч смартфонов на российской ОС Компания «Ростелеком», по сообщению сетевого издания «РИА Новости», выбрала трёх поставщиков сотовых аппаратов под управлением операционной системы Sailfish Mobile OS RUS. «Ростелеком» Напомним, что в первом квартале прошлого года «Ростелеком» объявил о заключении сделки по ...

Запуск неизбежен. Samsung начала производство Galaxy Fold в Индии В сети появились новые подробности о давно ожидаемом запуске складного смартфона Samsung Galaxy Fold. Информацией поделился известный сетевой информатор Ишан Агарвал ( Ishan Agarwal) с индийским ресурсом MySmartPrice.  По данным источника, корейская компания Samsu...

Qualcomm решила ускорить анонс Snapdragon 865 Qualcomm готовится анонсировать флагманский процессор Snapdragon следующего поколения ранее запланированного времени — в ноябре нынешнего года. По крайней мере, так утверждают инсайдеры и обещают нам премьеру Snapdragon 865 в последний осенний месяц. Ранее чипмейкер п...

Apple готова к частичному переносу производства техники в США Дешевая рабочая сила Китая в свое время вынудила Apple перенести туда производство почти всей своей продукции. В результате даже топовые компьютеры компании стоимостью несколько тысяч долларов собираются в Поднебесной. Впрочем, из любого правила бывают исключения, и в Купер...

CES: Intel представляет 10-нанометровый Ice Lake и «многоэтажный» Lakefield следующей крупной итерацией микропроцессоров Intel Core станет Ice Lake, а соответствующие продукты можно будет купить в очередной сезон рождественских распродаж. Как и ожидалось, корпорация Intel использовала презентацию на международной выставке потребительской электрон...

Quanta сообщила о бессмысленном переносе производства из Китая Расходы на логистику в случае переноса производства из Китая в другую страну могут оказаться столь же большими, как и дополнительные пошлины, считают в компании.

Пентагону нужны скоростные вертолёты-разведчики Проект разработки перспективного ударно-разведывательного вертолёта под названием Future Attack Reconnaissance Aircraft запущен Армией США в рамках более масштабного тендера FVL, предполагающего разработку и производство пяти типов новых летательных аппаратов для армейской а...

В Индии появится свой завод по производству аккумуляторов, сравнимый по мощности с Gigafactory Компания Tesla показала всем не только то, как нужно делать и продавать электромобили, но и как решать вопросы с производством аккумуляторов для таких машин. С тех пор немало производителей объявили о намерении построить свои крупные фабрики по выпуску АКБ. Как сообщае...

Xiaomi выпустит смартфон с поддержкой 100-ваттной зарядки Super Charge Turbo только в 2021 году Еще в марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт и позволяетт заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут. В апреле президент Xiaomi Лин Бин (Lin Bin) з...

Сначала небольшими партиями. Складной смартфон Huawei Mate X с гибким экраном начнёт появляться в магазинах совсем скоро Согласно последним сообщениям китайских источников, компания Huawei официально объявит о скором старте продаж складного смартфона Mate X с гибким экраном на конференции для разработчиков Huawei Developer Conference, которая пройдёт в Дунгуане с 9 по 11 августа.  Э...

Спасибо, Samsung. Теперь и у недорогих смартфонов будет по 12 ГБ ОЗУ Компания Samsung объявила о том, что приступила к массовому производству первой в отрасли 12-гигабайтной мультичиповой упаковки памяти LPDDR4X. Компания называет такие решения uMCP. Если проще, то речь идёт о микросхемах оперативной памяти LPDDR4X объёмом 12 ГБ,...

Умные часы Apple Watch с экраном microLED могут выйти в следующем году Источник сообщает, что компания Apple ведёт переговоры с тайваньским производителем дисплеев относительно поставок экранов microLED для будущих умных часов. Сейчас, напомним, Apple Watch используют экраны OLED производства LG. Массовое производство необходимого ...

TSMC освоила 5-нм производство Компания подготовила проектную инфраструктуру и готова поделиться ей

Что происходит? Apple переносит производство из Китая Еще сегодня утром мы рассуждали о том, что уход Apple с рынка Китая может обернуться для экономиники Поднебесной настоящим коллапсом, а уже после обеда появилась информация о том, что компания из Купертино готовится перенести производство фирменной электроники в другие стра...

Yonhap: Samsung Display инвестирует $11 млрд в завод по производству ЖК-дисплеев в Южной Корее Samsung Display планирует потратить 13 трлн вон ($11 млрд) на модернизацию южнокорейского завода по выпуску жидкокристаллических дисплеев, чтобы начать производство продуктов на более высоком уровне, сообщило местное информационное агентство Yonhap со ссылкой на отраслевые и...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

BOE планирует за год утроить выпуск гибких дисплеев OLED Китайская компания BOE планирует значительно увеличить производство экранов OLED для смартфонов и расширить область применения экранов OLED за счет устройств интернета вещей, оборудования розничной торговли, транспортных средств и других перспективных направлений. ...

Уже не концепт. Xiaomi запустила дорогущий Mi Mix Alpha в производство Компания Xiaomi представила недешевый смартфон Mi Mix Alpha несколько месяцев тому назад, тогда он носил статус концептуальной разработки, но с четко обозначенной рыночной перспективой. И вот как пишет инсайдер в Twitter, аппарат готов к серийному производству. Собстве...

10-нанометровым настольным CPU Intel быть. Только, видимо, не раньше, чем через два года Вчера в Сеть попала информация о том, что Intel якобы отказалась от 10-нанометрового процесса для настольных CPU и в 2022 году перейдёт сразу на нормы 7 нм. И могло бы быть вполне логичным шагом. Однако теперь в ситуация вмешалась сама Intel, решив прокомментировать дан...

Уже скоро в iPhone появится мощный 5-нанометровый процессор По данным сайта DigiTimes, основной эксклюзивный производитель чипов Apple A-серии, компания TSMC, к 2020 году будет готова перейти на 5-нанометровый техпроцесс. Это значит, что и процессор A14 может быть создан по самой современной технологии.

Samsung работает над новым чипом Exynos 9630 для смартфонов среднего уровня По сообщениям сетевых источников, следующей однокристальной системой среднего уровня южнокорейской компании Samsung станет Exynos 9630, которая в настоящее время находится на этапе разработки. Предположительно этот чип должен появиться в смартфонах Galaxy A51 и Galaxy A71. В...

Чем для Apple обернулась попытка собирать технику в США С приходом к власти Дональда Трампа слухи о возможном переносе производства iPhone из Китая в США стали появляться все чаще. Кажется, возвращение Apple на родину было приоритетной задачей для президента, который был готов пойти даже на усугубление торговых отношений с Китае...

В часах Apple Watch вскоре будут использоваться экраны OLED производства Japan Display Несмотря на то, что Japan Display достаточно серьёзно пострадала из-за Apple, компания всё равно не намерена отказываться от сотрудничества с купертинским гигантом. Как сообщают источники, Japan Display начнёт поставлять экраны OLED для умных часов Apple Watch в конце ...

Понеслась. MSI и Dell первыми анонсировали ноутбуки на базе новейших процессоров Intel Core 10-го поколения (Comet Lake) Только вчера компания Intel расширила 10-е поколение процессоров Core еще одной мобильной линейкой — 14-нанометровыми Comet Lake (напомним, первыми в начале месяца дебютировали 10-нанометровые Ice Lake) и производители мобильных ПК, не дожидаясь грядущей выставки IFA 2019, т...

Huawei готова поставлять Apple 5G модемы Ни для кого не секрет, что Huawei — это одна из немногих компаний, разрабатывающая фирменные комплектующие для собственных смартфонов. Изначально аппаратные решения использовались только в устройствах марки Huawei и Honor. Однако ситуация в скором времени может кардин...

Boston Dynamics раскрыла дату начала массового производства роботов-собак Представители Boston Dynamics рассказали о планах компании на конференции TechCrunch Sessions: Robotics + AI. Выяснилось, что начало производства четвероногих роботов SpotMini для массового потребителя запланировано на лето.

Samsung начинает массовое производство памяти MRAM Вслед за компанией Intel о начале массового внедрения магниторезистивной памяти MRAM объявил еще один гигант индустрии — Samsung Electronics. Речь идет о микросхемах eMRAM для различных встраиваемых систем, микроконтроллеров, устройств «интернета вещей» и.....

10 производителей бюджетных Android-смартфонов помимо Huawei Huawei Technologies не одно десятилетие занимается производством телекоммуникационного оборудования и потребительской электроники. В данный момент компания испытывает сильное давление со стороны правительства США. Причиной тому стали подозрения, что Huawei может использовать...

lifecell готов переводить абонентов от других операторов Lifecell готов к внедрению принципа MNP (переноса мобильного номера) и не видит никаких препятствий для современного запуска.

Vodafone уповает на тарифы, чтобы переманивать абонентов Vodafone готов к внедрению принципа MNP (переноса мобильного номера) и с оптимизмом смотрит в будущее.

В России появится первое отечественное производство СВЧ-переключателей для спутников Каждый спутник несет на борту до 20 усилителей сверхвысокочастотных сигналов, а также приемопередающее оборудование. Вся аппаратура связывается между собой СВЧ-переключателями, обеспечивающими передачу энергии с минимальными потерями. Основными потребителями СВЧ-переключател...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Intel заявила, что готова к производству встраиваемой MRAM Новое не за горами.

Huawei Mate X уже готов к продажам Huawei уже начала массовое производство Mate X. В продажу смартфон должен поступить к концу октября. Но смартфон появится в Китае и ограниченным тиражом. В сети появилось видео распаковки Huawei Mate X. Также в комплекте идет необычный футляр для складного смартфона вместо...

AMD откладывает выпуск Ryzen 9 3950X, но обещает новый Ryzen Threadripper уже в этом году Многие энтузиасты с нетерпением ожидают пополнения семейства массовых процессоров с микроархитектурой Zen 2 флагманской моделью — 16-ядерным процессором Ryzen 9 3950X. Во время представления семейства Ryzen 3000 в июле его выход был обещан на сентябрь. Однако сегодня AMD раз...

КАМАЗ создает цифровые двойники на производстве "За 11 месяцев 2018 года спроектировано 1780 новых изделий различных видов оснастки - станочной, сборочной, сварочной, термической, разнообразного режущего инструмента, контрольных приспособлений, а также технологического оборудования", - рассказал главный конструк...

iPhone XR теперь производится в Индии Авторитетное издание The Wall Street Journal подтвердило слухи о том, что доступный смартфон Apple открыла производства iPhone XR в Индии. На фото упаковки видна надпись «Designed by Apple in California, Assembled in India», которая гласит, что смартфон «разработан Apple в К...

Доходы AMD достигли максимума за 14 лет Главной движущей силой продаж стали Ryzen 7, Ryzen 9 и серверные процессоры Epyc. Главным посылом выступления генерального директора компании AMD Лизы Су по итогам третьего квартала стало то, что AMD более не является поставщиком недорогой, бюджетной продукции. Примерн...

Промышленные твердотельные накопители Greenliant ArmourDrive 88 PX развивают скорость передачи данных до 3470 МБ/с Компания Greenliant не этой неделе сообщила о начале поставок твердотельных накопителей ArmourDrive серии 88 PX типоразмера M.2, поддерживающих NVMe. Эти накопители предназначены для использования в промышленных системах, поэтому гарантированно сохраняют работоспособнос...

Однокристальные системы Intel Elkhart Lake замечены в новых патчах драйвера Linux При нынешней ситуации на процессорном рынке корпорация Intel не спешит делиться сведениями о находящихся в разработке продуктах и готовности 10-нм техпроцесса. Известно, что массовый переход на новые технологические нормы должен начаться во второй...

В условиях давления со стороны США китайский конгломерат Tsinghua Unigroup сформировал предприятие по выпуску DRAM Поддерживаемый государством китайский полупроводниковый конгломерат Tsinghua Unigroup сообщил, что сформировал новое подразделение, которое будет заниматься выпуском памяти типа DRAM. В настоящее время на рынке DRAM лидируют производители из Южной Кореи и США. Говоря то...

LG Electronics закроет производство смартфонов на своём родном рынке Как сообщает источник, компания LG Electronics намерена перенести производство смартфонов из Южной Кореи во Вьетнам. Сделано это будет для сокращения расходов, ведь данный бизнес у LG уже достаточно давно убыточный. К концу этого года LG свернёт своё производство в Пхё...

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Samsung Galaxy Fold наизнанку. Продажи складного смартфона Huawei Mate X стартуют до конца месяца Компания Huawei уже несколько недель не радовала нас новостями о своём конкуренте Samsung Galaxy Fold — складном смартфоне Huawei Mate X с гибким экраном. Судя по всему, скоро ситуация изменится и Huawei, наконец, начнёт продажи долгожданной новинки.  По соо...

Intel на CES 2019: новая серия Ice Lake и обновления в Coffee Lake-S Refresh Как один из крупнейших производителей процессоров в мире, корпорация Intel попросту не могла пропустить всемирную выставку потребительской электроники Consumer Electronics Show (CES) 2019. В рамках этого мероприятия американская компания презентовала новые процессоры серии C...

Игровой Asus ROG Phone 2 представят в августе этого года Asus наконец-то готова раскрыть первые официальные подробности о предстоящем втором игровом смартфоне Asus ROG Phone 2. Новинка будет выпущена в третьем квартале 2019 года, чтобы последовать примеру оригинальной модели, дебютировавшей в июне прошлого года. Ожидается, чт...

В этом году нехватка процессоров Intel устранена не будет Как известно, Соединенные Штаты отложили введение 10-процентного тарифа на некоторые китайские товары, относящиеся к категории потребительской электроники, включая ноутбуки и смартфоны. Однако неопределенность, связанная с торговой войной между США и Китаем, продолжает ...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Samsung начала массовое производство первых в отрасли чипов памяти eUFS 3.0 ёмкостью 512 ГБ со скоростью чтения до 2100 МБ/с Грядущие смартфоны флагманского уровня будут обеспечивать скорость чтения и записи информации, сопоставимую с возможностями накопителей ноутбуков. Это станет возможным благодаря новым чипам памяти, которые подготовила к выпуску компания Samsung. Как отмечает Samsung, анонсир...

AMD повторно представила флагманский (недешевый!) 16-ядерный процессор Ryzen 9 3950X, а заодно с ним бюджетный APU Athlon 3000G Кроме новых процессоров  Ryzen Threadripper 3000-й серии, как мы уже упоминали, AMD сегодня представила также еще два новых процессора массовой платформы AM4: долгожданную флагманскую модель Ryzen 9 3950X с 16 физическими ядрами, стирающую грань между обычными потребительски...

Крупный производитель процессоров нанял 8 тыс человек для создания чипов новейшего поколения TSMC зачастую в последнее время появлялась в разговорах как компания, занимающаяся разработкой 5-нанометровой технологии для производства чипсетов. Но как стало известно буквально только что, производитель уже сейчас размышляет о технологии следующего поколения — 3-нанометро...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Foxconn приостановила производство смартфонов Huawei из-за сокращения объёмов поставок Как сообщает издание South China Morning Post со ссылкой на неназванные источники, компания Huawei, которая на текущий момент находится под санкциями правительства США, сокращает объёмы поставок своих смартфонов. В связи с этим тайваньский производитель электроники Foxconn п...

В России приступили к производству первых SSD с интерфейсом PCIe GS Nanotech ― приступил к производству первых в России твердотельных накопителей

В ожидании процессоров Snapdragon 865/875 После выпуска процессора Snapdragon 855 Plus, который отличается высокой производительностью, компания Qualcomm готовит еще две новинки, одна из которых будет основана на 5-нм техпроцессе. Известно, что производством займутся на мощностях TSMC, а также на...

Foxconn начала подготовку к массовому производству новых iPhone Если верить недавним слухам, то официальный анонс нового поколения iPhone состоится 10 сентября. Во второй половине месяца Apple должна начать продавать свои новинки. Сейчас же партнеры яблочной компании готовятся к старту производства новых моделей. Например, компания Foxco...

Процессоры Intel используются в 470 системах списка TOP500 Как мы уже сообщали, к проходящей в эти дни конференции по суперкомпьютерам SC19 приурочена публикация 54 редакции списка самых быстрых суперкомпьютеров мира. Список возглавляют системы Summit и Sierra на процессорах IBM Power9. Однако в целом процессоры IBM используют...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Harley-Davidson останавливает производство электрических мотоциклов Компания Harley-Davidson сообщила, что прекратила производство и поставки своего первого электрического мотоцикла после обнаружения дефекта на этапе окончательной проверки качества. Производитель мотоциклов заявил, что обнаружил «отклонение от кондиции» в мо...

Samsung выпустит процессор Exynos 9710: 8 нм, восемь ядер и блок Mali-G76 MP8 Компания Samsung готовит к выпуску новый процессор для смартфонов и фаблетов: информацию о чипе Exynos 9710 обнародовали интернет-источники. Сообщается, что изделие будет производиться по 8-нанометровой технологии. Новинка придёт на смену мобильному процессору Exynos 9610 (1...

Платы EVGA X299 готовы к выходу Intel Core 10-го поколения Американская компания EVGA сообщила о совместимости материнских плат на базе чипсета Intel X299 с процессорами Cascade Lake-X, выход которых запланирован на следующий месяц. В соответствующем пресс-релизе вендор отмечает продвинутую систему охлаждения цепей VRM,...

Wildcat Discovery и InoBat построят в Словакии линию по выпуску аккумуляторов для электромобилей Словацкая компания InoBat и американская компания Wildcat Discovery Technologies построят в Словакии производственную линию стоимостью 100 миллионов евро по выпуску аккумуляторов для электромобилей. Партнеры заявили, что строительство линии мощностью 100 МВт∙ч, с...

Tesla договаривается с китайской компанией Lishen о поставке аккумуляторов Компания Tesla подтвердила, что получила от китайской компании Lishen предложение на поставку аккумуляторных батарей для новой шанхайской фабрики Tesla по производству электромобилей. Ранее агентство Reuters сообщило, ссылаясь на два источника, непосредственно знакомых ...

Volkswagen начинает строительство нового завода по производству электромобилей в США Компания Volkswagen сообщила о начале строительства нового завода по производству электромобилей. Завод на юго-востоке США, в Чаттануга, штат Теннесси, станет североамериканской сборочной базой Volkswagen для электромобилей на модульной платформе MEB. К выпуску продукци...

Датчик ams AS7026 позволит носимым электронным устройствам круглосуточно измерять частоту сердечных сокращений, артериальное давление и ЭКГ Австрийская компания ams, специализирующаяся на выпуске всевозможных датчиков, представила оптический датчик AS7026 для непрерывного мониторинга состояния сердечно-сосудистой системы. Новый датчик выполняет измерение артериального давления с точностью, соответствующей т...

Intel представляет Ponte Vecchio Генеральный директор компании Боб Сван подтвердил существование первого графического процессора с архитектурой Xe для серверов. Компания Intel продолжает продвигаться на рынок дискретных графических решений. Первые чипы Xe для ПК планируется выпустить в 2020 году. Пока же...

Foxconn запустит свой завод в США уже через год. Ждем американские iPhone? Таможенные пошлины, которыми Дональд Трамп грозил обложить технику Apple, не были введены до сих пор и, вероятно, не будут введены в будущем. Причина – возможный перенос производства устройств компании на территорию США. Это следует из разговора губернатора штата Висконсин ...

За процессорами AMD Ryzen 3000 выстраиваются очереди В компании подчеркивают: это первые в мире процессоры x86-архитектуры потребительского класса, изготовленные по 7-нанометровому техпроцессу.

Apple AirPower все-таки появится в 2019 году: новые доказательства По данным DigiTimes, стартовало производство долгожданного беспроводного зарядного устройства AirPower от Apple. Компания Lite-On Semiconductor начала поставки необходимых комплектующих, и хотя заказы пока незначительны, ожидается, что поставки наладятся к концу этого года. ...

BMW и Jaguar Land Rover совместно будут разрабатывать компоненты электромобилей Компании BMW и Jaguar Land Rover заявили, что будут совместно разрабатывать электродвигатели, коробки передач и силовую электронику, объединившись в еще один отраслевой альянс, участники которого рассчитывают за счет сотрудничества снизить затраты на разработку электром...

Micron: за последний квартал поставки чипов 3D NAND QLC почти удвоились Micron Technology была одной из первых компаний, которая начала массовое производство и поставки памяти 3D NAND с четырьмя битами на ячейку (QLC). Неудивительно, что в настоящее время Micron входит в число ведущих поставщиков...

AMD представила 7-нм процессоры Ryzen 9 3900 и Ryzen 5 3500X, но они предназначены для OEM-сегмента Компания AMD наконец-то расширила ассортимент 7-нм процессоров Ryzen 3000-й серии (Matisse) двумя долгожданными экономичными моделями: 12-ядерной Ryzen 9 3900 и 6-ядерной Ryzen 5 3500X. Увы, Ryzen 5 3500X и Ryzen 9 3900 будут распространяться по OEM-каналам, то есть, их можн...

Huawei уже сертифицировала свой первый телевизор, его производством займется BOE Поток новостей о телевизорах Huawei закономерно усох на фоне обострения конфронтации США и Китая по торговой сделке и включения Huawei в черный лист компаний, с которым североамериканским фирмам запрещено вести бизнес. Тем не менее, китайская компания не отказывается от...

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Раскрыта конфигурация процессора Qualcomm Snapdragon 735 В распоряжении интернет-источников оказалась информация о ключевых характеристиках процессора Snapdragon 735, который готовит к выпуску компания Qualcomm. Сообщается, что изделие будет производиться по 7-нанометровой технологии. Оно объединит восемь вычислительных ядер. Это ...

Apple начала производство iPhone 7 в Индии, но дешевле от этого смартфон на местном рынке вряд ли станет Компания Apple производит в Индии смартфоны iPhone SE и iPhone 6s. Это позволяет избежать дополнительных налогов и, как следствие, снизить цены. Не так давно мы писали о том, что вскоре партнёры Apple начнут строить фабрики для производства актуальных моделей на террит...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Samsung Galaxy Fold недолго осталось страдать в одиночестве. Названа дата старта продаж складного смартфона Huawei Mate X В минувшие выходные стало известно, что компания Huawei, наконец, готова начать продажи своего первого складного смартфона с гибким экраном Mate X. Теперь в китайской социальной сети Weibo появились дополнительные подробности о релизе.  Компания планирует начать п...

CES: AMD анонсирует переход на 7 нм Этот шаг призван обеспечить компании технологическое превосходство перед Nvidia и Intel в борьбе за постоянное уменьшение нормы проектирования. Свою главную презентацию на международной выставке потребительской электроники CES в Лас-Вегасе компания AMD использовала для оф...

В России освоили модульную сборку беспилотников Новая концепция подразумевает создание линии производства беспилотников, которая будет включать в себя все этапы сборки аппаратов, включая финальные испытания готовых изделий. «Модульный метод позволяет осуществлять быструю транспортировку производства в любую точку мира и ...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

SK Hynix хочет производить 3D-NAND с 800 слоями На саммите по флэш-памяти в Санта-Кларе, корейский производитель SK Hynix представил новые продукты и объявил о планах на будущее. В настоящее время SK Hynix работает над 128-слойным 3D-nand, и его массовое производство должно начаться в четвертом квартале этого года. Компан...

Samsung готовит 8-нанометровую платформу Exynos 9710, ее характеристики уже известны Компания Samsung работает над новой однокристальной системой среднего уровня: новинка, названная Exynos 9710, придет на смену модели Exynos 9610. SoC будет производиться по техпроцессу 8 нм и получит восьмиядерный CPU. Как пишет источник, Exynos 9710 получит классическ...

Intel NNP-I — ускоритель искусственного интеллекта, созданный на основе процессора Ice Lake В 2016 году Intel приобрела компанию Nervana Systems, специализирующуюся на технологиях, связанных с искусственным интеллектом. Позже Intel представила платформу Nervana для приложений ИИ, а в 2017 году пообещала выпустить первую в отрасли микросхему для обработки нейро...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

SoC Kirin 720, которая ляжет в основу Huawei Nova 5, представят завтра Компания Huawei на завтра назначила пресс-конференцию, которая посвящена анонсу новой однокристальной системы семейства Kirin. Источники считают, что речь может идти о новой флагманской однокристальной системе Kirin 985, которая будет производиться по нормам 7-нм технол...

Первое пилотное применение промышленного экзоскелета ExoBelt ExoBelt - первый российский промышленный экзоскелет, который позволит снизить нагрузку на позвоночник во время подъёма и переноски грузов, избежать травматизации рабочих, снизив общую утомляемость и уровень профзаболеваний на производстве. На текущий момент готов первый испы...

Индия готова допустить на свой рынок компании, которые пострадали от конфликта между КНР и США Из-за конфликта между США и КНР многие крупные производители начали рассматривать возможность переноса своих производственных мощностей в другие страны, отличные от Китая.

Western Digital Corporation готова начать поставки жёстких дисков объёмом 20 Тбайт MAMR шагает по планете!

ECS готова к выходу гибридных процессоров AMD Athlon 300GE и Athlon 320GE Ещё с июня этого года.

Intel уже провела первые тесты своей дискретной видеокарты Xe Дискретную видеокарту Intel Xe должны представить когда-то в середине следующего года. Пока у нас мало подробностей касательно грядущего продукта, хотя мы знаем, что GPU будет производиться по 10-нанометровому техпроцессу. Представитель Intel на днях заявил, что компан...

В России показали новую разработку для контроля движения автотранспорта Презентация прибора "Атлант-КА" состоялась на конференции "Цифровая индустрия промышленной России" (ЦИПР), которая проходила с 22 по 24 мая в Иннополисе, Республика Татарстан.Тахограф - это контрольный регистрирующий прибор, предназначенный для установки ...

Американские военные оплатили разработку устойчивых к радиационному поражению чипов Обычные полупроводники плохо реагируют на повышенный радиационный фон. Излучение приводит к спонтанным процессам в кремнии, что чревато сбоями и ошибками при работе с памятью. Это неприемлемо для ведения боевых действий в условиях радиационного поражения. Также устойчивость ...

Сгибающиеся экраны для iPhone 2020 будет поставлять LG В связи с тем, что в этом году LG готовиться начать производство своих гибких OLED-панелей в Корее, компания Apple заинтересована в заключении договора о поставках таких экранов для новых iPhone, о чем сообщают корейские СМИ. Осведомленные информаторы утверждают, что Ap...

Google и Huawei готовы заплатить владельцам Nexus 6P за сбои в ... Высокие стандарты качества, соблюдение которых декларирует Huawei, иногда дают сбой. Это проявляется в тех проблемах, которые владельцы смартфонов компании испытывают на себе после покупки. Но одно дело, когда эти случаи являются единичными, и совершенно другое, когда они ст...

iPhone 2020 года: 5G-модем Qualcomm и 5-нм SoC Apple A14 Bionic Как сообщают осведомлённые источники, три грядущих смартфона Apple, которые будут выпущены в следующем году, получат поддержку связи 5G благодаря интеграции модема Qualcomm X55. Модем будет соседствовать с собственным процессором Apple, который вероятно получит название A14 ...

Ноутбук Dell XPS 13 получил процессоры Intel Core 10-го поколения (Ice Lake-U), а HP Envy X360 15, ASUS ZenBook Duo и ZenBook 15 — Core i7-10510U (Comet Lake-U) Производители мобильных ПК принялись переводить устройства на процессоры Intel нового поколения. Как известно, модельный ряд новейших мобильных процессоров Intel Core десятого поколения  представлен двумя линейками: 10-нанометровыми Ice Lake-U и 14-нанометровыми Comet Lake-U...

У TSMC возникли проблемы с производством чипов Kirin TSMC — крупнейший производитель мобильных процессоров в мире и единственный поставщик чипов для Apple. Из-за  недостаточного спроса на iPhone,  корпорация из Купертино уменьшила заказ на однокристальные системы у тайваньского чипмейкера, и он уже заявил о том...

Dali — имя новой таинственной линейки гибридных процессоров AMD В начале следующего года нас ждёт выход гибридных процессоров AMD Renoir. Это будут APU Ryzen 4000, которые наконец-то перейдут на семинанометровый техпроцесс и архитектуру Zen 2. Правда, последние слухи указывают, что такие гибридные процессоры сохранят GPU Vega и не п...

В России летом запустят в серийное производство гаджет, преобразующий устную речь в титры Об этом сообщил ТАСС Денис Кулешов - глава компании-разработчика "Лаборатория "Сенсор-тех"."Вторая после "умной трости" разработка, которую мы выпускаем по гранту Национальной технологической инициативы и при поддержке Фонда "Со-единение&qu...

Foxconn готов при первой необходимости перенести производство iPhone из Китая Представители одной из главных компаний-производителей техники Apple заявили, что у них достаточно возможностей и ресурсов для того, чтобы начать сборку iPhone за пределами Китая. Возможно, производство даже может быть перенесено в США, если торговая война Штатов с Китаем н...

330 смартфонов Redmi Note 7 Pro в минуту. Фотографии с производства смартфона Компания Xiaomi прославилась как производитель смартфонов с оптимальным соотношением цены и качества, однако компанию давно преследуют проблемы с обеспечением стабильных поставок новых смартфонов в магазины. Поклонников интересует, что именно компания предп...

Рикор модернизировал производство серверных платформ Российский инновационный холдинг "Рикор" объявил о завершении ряда мероприятий по модернизации технологических процессов линии производства современных серверных платформ.

Поставщики Apple готовятся к производству новых iPad и AirPods По данным DigiTimes, производители гибких печатных плат Flexium, Zhen Ding Technology, Compeq и Unitech наращивают объемы поставок деталей для будущих новинок Apple. В частности, Flexium и Zhen Ding Technology займутся производством печатных плат для для моделей планшетов iP...

Маск пообещал инвесторам начать массовое производство Model Y в следующем году Массовое производство электрического кроссовера Tesla Model Y, о котором глава американской компании Илон Маск впервые рассказал еще в 2015 году, начнется к концу 2020 года, говорится в корпоративном письме, разосланном акционерам компании на этой неделе в среду, сообщает п...

Huawei обещает выпустить изгибаемый смартфон Mate X в продажу в следующем месяце Изгибаемый телефон Huawei может поступить в продажу уже в следующем месяце — об этом заявил глава потребительского бизнеса китайской технологической компании Ричард Ю (Richard Yu). На брифинге с журналистами во время выставки потребительской электроники IFA в Берлине он ска...

SK Hynix начинает серийный выпуск первой в мире 128-слойной флеш-памяти 4D NAND Компания SK Hynix объявила о начале серийного выпуска первых в мире кристаллов 128-слойной флеш-памяти типа TLC 4D NAND плотностью 1 Тбит. Такой кристалл представляет собой наиболее сложное изделие в своем роде: он насчитывает более 360 миллиардов ячеек, каждая из котор...

Японские санкции приведут к полной остановке заводов Samsung и SK Hynix через два месяца Как мы сообщали, с 4 июля японское правительство ввело ограничения на поставку в Южную Корею сырья и материалов японского производства. Например, это касается поставок фоторезиста, фтористого водорода, также называемого «газом для травления», и фторированных полиимидов. Все ...

Очередная утечка подтверждает характеристики Huawei P30 и раскрывает новый красный цвет Меньше недели осталось до презентации новой флагманской линейки смартфонов Huawei P30. И, как это часто бывает, многочисленные утечки раскрывают внешний вид и характеристики устройств ещё до проведения презентации. Новая порция утечек подтверждает некоторые предыдущие данные...

Будет ли компьютер на Pentium 4 тянуть новые игры, если добавить в него мощную видеокарту? 13 августа 2002 года Intel официально представила 90-нанометровый технологический процесс. На его базе вышли самые популярные вариации процессоров Pentium 4.

Foxconn рассчитывает получить заказы Apple на дисплеи, в которых используется технология micro-LED В 2014 году компания Apple приобрела компанию LuxVue, специализирующуюся на создании дисплеев по технологии micro-LED. Полученные активы оказались хорошей отправной точкой для дальнейших разработок, судя хотя бы по тому, что за последние годы Apple подала несколько деся...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)