Социальные сети Рунета
Суббота, 20 апреля 2024

Кажется, дефицит процессоров Intel подходит к концу Дефицит процессоров Intel, который мучает рынок уже на протяжении нескольких месяцев, судя по всему, в скором времени начнёт ослабевать. В прошлом году Intel инвестировала дополнительные 1,5 млрд долларов в расширение своих 14-нм технологических мощностей, и похоже, что эти ...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Серьёзный инцидент на фабрике TSMC: производство остановлено, забраковано более 10000 пластин Тревожные новости приходят с Тайваня. Крупнейший контрактный производитель полупроводников, компания TSMC столкнулась с серьёзной аварией на одном из своих передовых заводов, в результате чего оказалось повреждено более десятка тысяч полупроводниковых пластин, а производстве...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Убытки TSMC после химического загрязнения на производстве оценены в $550 млн Январский инцидент на крупнейшей фабрике TSMC, когда из-за загрязнения одного из реактивов, применяемых для подготовки фоторезиста, компании пришлось отправить в утиль партию из нескольких тысяч полупроводниковых пластин, обойдется ей в 550 миллионов долларов убытков. Напомн...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

TSMC стала самой дорогой компанией Азии, обойдя Samsung У тайваньской полупроводниковой кузницы TSMC дела идут отлично: в настоящее время компания является безоговорочным лидером производства чипов, технологически обходя даже Intel, которая годами обладала передовыми мощностями. Неудивительно, что TSMC недавно стала крупнейшей и ...

Samsung поможет Intel справиться с дефицитом 14-нм процессоров Не было бы у компании Samsung счастья, да несчастье Intel помогло. Южнокорейское информационное агентство Yonhap распространило новость, что Samsung Electronics согласилась начать выпуск центральных процессоров для Intel. Неделю назад Intel намекнула на возможность подобного...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Microsoft увидела признаки завершения дефицита процессоров Intel Дефицит процессоров, который очень больно ударил по всему компьютерному рынку во второй половине прошлого года, ослабевает, — такое мнение было озвучено компанией Microsoft по итогам наблюдений за продажами операционных систем семейства Windows и устройств семейства Surface....

Samsung поможет Intel справиться с дефицитом процессоров Компания Samsung Electronics согласилась поставлять Intel центральные процессоры для компьютеров, чтобы помочь решить проблему с их дефицитом. Об этом сегодня сообщили многие отраслевые источники. Как известно, компания Intel столкнулась с технологическими проблемами. ...

Высокий спрос на 7-нм и 5-нм чипы поможет росту TSMC в 2020 году TSMC планирует в 2019 году достичь ещё одного рекорда, но из-за разочаровывающих результатов в первой половине года чистая прибыль вряд ли побьёт результаты 2018 года. Однако, как считают источники, в 2020 году снова наметится рост общегодовой выручки и прибыли тайваньской п...

Intel пришлось увеличить объёмы выпуска 14-нм процессоров на 25 %, но этого мало О дефиците процессоров Intel в последнее время принято говорить преимущественно в привязке к бюджетной части мобильного сегмента, но на этой неделе сайт DigiTimes со ссылкой на производителей серверного оборудования сообщил, что проблемы есть и в этой части рынка, хотя на сп...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

TSMC справится даже с фантастически высоким спросом на 7-нм процессоры AMD EPYC Недавняя публикация на страницах сайта DigiTimes затронула проблему дефицита производственных мощностей TSMC по выпуску 7-нм продукции. Источник утверждал, что время выполнения заказов на выпуск 7-нм продукции в последнее время увеличилось с двух до шести месяцев. Поскольку ...

Доходы AMD достигли максимума за 14 лет Главной движущей силой продаж стали Ryzen 7, Ryzen 9 и серверные процессоры Epyc. Главным посылом выступления генерального директора компании AMD Лизы Су по итогам третьего квартала стало то, что AMD более не является поставщиком недорогой, бюджетной продукции. Примерн...

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Боб Сван: Мы подводим клиентов, они вправе ожидать от нас большего Неожиданный и продолжительный дефицит процессоров Intel смазал результаты рекордного квартала. Доходы Intel в третьем квартале, наверное, оказались рекордными, но руководству компании на подведении итогов большую часть времени пришлось извиняться. Да-да, именно извинят...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

Нехватка процессоров Intel сохранится до 2020 года По данным тайваньского ODM-производителя Compal Electronics, нехватка процессоров Intel продолжится во втором полугодии этого года и сохранится до начала 2020 года. В конце прошлого года Intel заявила о дефиците производственных мощностей, что привело к нехватке 14-нанометро...

Kyo: спрос на iPhone 11 и предстоящий запуск iPhone SE 2 для увеличения общего роста продаж iPhone в первом квартале 2020 года Apple продаст примерно на 10 процентов больше iPhone в первом квартале 2020 года благодаря высокому спросу на iPhone 11 и выпуску в следующем году «iPhone SE 2», утверждает аналитик Apple Минг-Чи Куо в своем последнем исследовании. Мы ожидаем, что совокупные поставки iPhone...

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Представитель Xiaomi объяснил дефицит MI 9 на старте ... Недавно Xiaomi наконец смогли увеличить объёмы производства нового флагмана Xiaomi Mi 9 и избавились от досадной необходимости принимать предзаказы на новинку и вынуждать покупателей ждать по три недели. К концу марта поставки аппаратов наконец превысили 1.5 миллиона единиц....

Дефицит 14-нм процессоров Intel будет ослабевать постепенно Исполнительный директор Intel Роберт Суон (Robert Swan) на минувшей квартальной отчётной конференции чаще упоминал о дефиците производственных мощностей в контексте увеличения затрат и смещения структуры ассортимента процессоров в сторону более дорогих моделей с бóльшим коли...

Dell: в четвёртом квартале 2019 года усилился дефицит процессоров Intel Дефицит процессоров Intel наблюдается уже больше года, но постепенно он сокращался. Однако по словам Dell, в текущем квартале ситуация ухудшилась из-за неожиданно высокого спроса на компьютеры и серверы. В результате Dell пришлось понизить прогноз выручки на четвёртый кварта...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Дефицит процессоров Intel вредит трём технологическим гигантам Дефицит процессоров Intel начался ещё в конце лета прошлого года: растущий и приоритетный спрос на процессоры для центров обработки данных стал причиной недостатка потребительских 14-нм чипов. Сложности с переходом на более передовые 10-нм нормы и эксклюзивная сделка с Apple...

VW рассчитывает скоро превзойти Tesla на рынке электромобилей Компания Volkswagen (VW) к концу 2022 года планирует увеличить выпуск электромобилей примерно до 1 млн штук в год. Это позволит немецкому автомобилестроителю превзойти Tesla по объемам выпуска и сделать Китай ключевым полем битвы. Volkswagen готовит два китайских завода...

Очередное дополнение к договору с GlobalFoundries развязывает руки AMD В пресс-релизе AMD, посвященном итогам четвертого квартала 2018 года и года в целом, есть небольшой параграф, озаглавленный «Обновление соглашения о поставке пластин». При всей лаконичности и малозаметности на фоне финансовых показателей он весьма важен. В ...

Foxconn перенесет производство iPhone из Китая в случае необходимости Проблемы Huawei — лишь вершина айсберга. От действий Трампа в итоге пострадают Arm, Google и вся американская индустрия Торговая война между США и Китаем продолжается. Однако помимо Huawei в итоге от этого могут пострадать многие американские компании, как уже от...

Доля AMD на рынке ноутбуков растёт, но скоро фарт может закончиться За последнее время компании AMD удалось несколько потеснить Intel во всех ключевых сегментах процессорного рынка, начиная от десктопов и заканчивая серверами. Теперь же появились сообщения, что AMD активно наращивает свою долю и в ноутбуках. Как следует из сообщений...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Foxconn начнет производство iPhone X в Индии в июле Foxconn собирается начать производство iPhone X в Индии в июле этого года, согласно сообщению The Economic Times. Производство будет осуществляться на заводе Foxconn в Ченнае в восточной Индии. По словам чиновника, знающего о планах компании, Foxconn надеется увеличить произ...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

ASML сообщает о превосходном спросе на EUV-сканеры Нидерландская компания ASML ― глобальный лидер по выпуску производственного литографического оборудования для изготовления полупроводников ― сообщила о рекордном по сумме заявок квартале. Не в последнюю очередь в этом проявился чрезвычайно высокий спрос на сканеры диапазона ...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

Недовольная падением цен на память DRAM и NAND компания SK Hynix существенно сократит ее выпуск Компания SK Hynix опубликовала отчет за второй квартал 2019 года. Консолидированный доход южнокорейского производителя полупроводниковой продукции за отчетный период составил 5,46 млрд долларов, операционная прибыль составила 540 млн долларов, а чистая прибыль — 4...

TSMC пересмотрит цены с поставщиками кремниевых пластин Недавно крупнейший полупроводниковый производитель TSMC в числе первых в своей отрасли подвёл итоги работы в четвёртом квартале календарного 2018 года и сделал прогноз по выручке на первый квартал 2019 года. Компания огорошила общественность сообщением об ожидании сильнейшег...

SK Hynix в третьем квартале провалила всё что можно Южнокорейская компания SK Hynix опубликовала информацию о работе в третьем квартале 2019 календарного года, который закончился для неё 30 сентября. Квартальная выручка этого производителя памяти DRAM и NAND показала годовое снижение на 40 %, снижение чистой прибыли составил...

AMD откладывает выпуск Ryzen 9 3950X, но обещает новый Ryzen Threadripper уже в этом году Многие энтузиасты с нетерпением ожидают пополнения семейства массовых процессоров с микроархитектурой Zen 2 флагманской моделью — 16-ядерным процессором Ryzen 9 3950X. Во время представления семейства Ryzen 3000 в июле его выход был обещан на сентябрь. Однако сегодня AMD раз...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Intel ликвидирует дефицит недорогих процессоров Теперь, после завершения перехода Intel на 10-нанометровую технологию и выхода ее на желаемую траекторию, все внимание приковано к AMD, которая в ближайшее время сообщит о своих финансовых результатах. Цены на недорогие ПК могут упасть еще ниже, поскольку компания Intel о...

Google в 2019 году представит дешёвый Pixel, умные часы и многое другое Могли мы себе представить, что производители в 2019 году посмотрят на Google совсем в ином ключе? Да-да, из-за слабых результатов компании Apple такие производители, как Foxconn и Pegatron, которые занимаются производством iPhone, всё серьезнее смотрят в сторону Google. Под...

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

Запаситесь терпением: 10-нм процессоров Intel для десктопов не будет до 2022 года Как следует из просочившихся в прессу документов о ближайших планах компании Intel на процессорном рынке, будущее компании вырисовывается далеко не в радужных тонах. Если документы верны, то увеличение числа ядер в массовых процессорах до десяти штук произойдёт не ранее 2020...

Intel готовится к массовому производству 5G-модемов Корпорация Intel начнёт работать над инженерными проектами в рамках организации массового производства 5G-модемов уже в следующем квартале. По крайней мере, об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Изображения Intel В конце прошлого года, напомним...

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

АMD удалось нарастить свою долю на рынке дискретных видеокарт до 30 % Ресурсу DigiTimes удалось услышать оценку текущего состояния рынка видеокарт в изложении одного из участников цепочки их производства — компании Power Logic, снабжающей графические платы системами охлаждения. Новое предприятие в Китае должно позволить Power Logic в следующем...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Услуги по трёхмерной компоновке TSMC сделает своим самым динамично растущим бизнесом Компания TSMC уже начала готовить почву для эволюционных изменений в полупроводниковой отрасли, которая вынуждена ради поддержания действия так называемого «закона Мура» повышать плотность размещения транзисторов за счёт использования трёхмерной компоновки. Приблизившись к п...

Intel отрицает привлечение Samsung для выпуска 14-нм процессоров Впервые информация о готовности Intel привлечь Samsung для контрактного выпуска продукции была озвучена ещё этим летом, и официальных комментариев по этому поводу мы так и не услышали. Единственное, о чём удалось узнать зарубежным коллегам — это убедиться в том, что с конвей...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Nikon будет выпускать лидары Velodyne Lidar Компания Velodyne Lidar объявила о соглашении с компанией Nikon, в соответствии с которым японский производитель будет серийно выпускать лидары, созданные специалистами Velodyne. Выпуск должен начаться во втором полугодии. «Массовое производство наших выс...

Все заказы на модемы 5G пока достаются TSMC Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, уже получила заказы на выпуск модемов 5G, разработанных компаниями, не имеющими собственного производства. Более того, по данным отраслевых источников, пока что все заказы на вы...

SK Hynix верит в будущее рынка памяти DRAM и 3D NAND Хотя последний отчет SK Hynix свидетельствует, что на выпуске микросхем памяти заработать становится труднее, чем раньше, из-за снижения цен на эту продукцию, южнокорейский производитель верит в будущее рынка памяти DRAM и 3D NAND. Он поделился планами, цель которых &md...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Видеокарты NVIDIA с 7-нм GPU увидят свет в 2020 году Как сообщают источники, NVIDIA планирует заказывать производство своих 7-нм чипов будущего поколения не у традиционного партнёра, TSMC, а у компании Samsung. Утверждается, что такой выбор вызван тем, что Samsung в рамках 7-нм процесса сможет предложить EUV-литографи...

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Xiaomi сказала прощай MediaTek? Чипмейкер утверждает, что нет Qualcomm стала ключевым поставщиков чипов для смартфонов Xiaomi. Но периодически модели с процессорами MediaTek у компании случались. Одна из последних — Xiaomi Mi Play с Helio P35. Выход этого смартфона выглядит попыткой Xiaomi реабилитировать тайваньского чипмей...

Производители закладывают основу для повышения цен на DRAM По данным аналитиков TrendForce, контрактные цены на память DRAM для компьютеров в августе стабилизировались. Средняя цена модуля объемом 8 ГБ осталась неизменной, удержавшись на уровне 25,5 доллара. Точных данных за сентябрь пока нет, но большинство признаков указывает...

Foxconn закрывает производственные линии Huawei Huawei — это очень амбициозная компания, и сомневаться в ее амбициозности не приходится. Так, китайский производитель открыто заявил, что собирается превзойти Samsung как крупнейшего в мире производителя смартфонов уже к первому кварталу 2020 года. И это при том, что ...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

В этом году на производство памяти придется 43% капиталовложений в полупроводниковой отрасли Затраты, связанные с выпуском микросхем памяти, в последние годы служили движущей силой быстрого роста капиталовложений в полупроводниковой отрасли. Однако к настоящему моменту большинство соответствующих планов расширения и модернизации уже завершены или вступили в зав...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Глава Redmi намекнул, что K30 Pro может использовать MediaTek Dimensity 1000 5G Марка Redmi, принадлежащая Xiaomi, до последнего времени известна благодаря выпуску смартфонов среднего и начального уровня. Эта философия привела к огромному успеху бренда в странах Юго-Восточной Азии, таких как Китай и Индия. Тем не менее, в начале этого года компания успе...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

FPGA Achronix Speedster7t оптимизированы для ускорителей машинного обучения и сетевых решений с высокой пропускной способностью Компания Achronix Semiconductor, специализирующаяся на выпуске программируемых пользователем вентильных матриц (FPGA) и аппаратных ускорителей на их основе, на днях представила новое семейство FPGA. По словам производителя, FPGA семейства Speedster 7t, построенные на н...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

Полупроводниковое производство Panasonic достанется тайваньской компании Nuvoton Technology Компания Panasonic объявила, что продаст свое производство полупроводниковой продукции тайваньской компании Nuvoton Technology за 250 миллионов долларов. Японский электронный гигант изо всех сил пытается увеличить прибыль в условиях отсутствия двигателей роста, а указан...

Прекращение спада продаж iPhone: во II полугодии цепочки поставок рассчитывают на 75 млн единиц Как сообщает издание Bloomberg, цепочка поставок Apple в настоящее время нацелена на производство компонентов для 75 миллионов iPhone во второй половине этого года. Это примерно столько же, как в тот же период прошлого года. Эти показатели говорят, что Apple ожидает стабилиз...

BOE начала массовое производство панелей Micro OLED Согласно последним сообщениям, BOE начал массовое производство панелей Micro OLED в Куньмине, провинция Юньнань, в октябре этого года. Диагонали дисплеев не уточняются. Теперь китайскому производителю дисплеев ищет партнеров, которые будут использовать панели Micro OLED...

Компания GlobalFoundries может быть продана, названы потенциальные покупатели Компания GlobalFoundries, уступающая на рынке контрактного производства полупроводниковой продукции только TSMC и Samsung, может быть продана новому владельцу. В прошлом году штат контрактного производителя был сокращен на 5%. А в конце января этого года стало известно...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Почему у Xiaomi постоянные проблемы с поставками смартфонов Снова и снова мы наблюдаем за тем, как Xiaomi борется за то, чтобы не отставать от запросов рынка и поставлять на полки магазинов такое количество устройств, чтобы хватило каждому покупателю. Такая борьба у Xiaomi начинается каждый раз, как компания выпускает свой новый сма...

Смартфон Xiaomi Mi 9 производят уже на трех заводах Недавно компания Xiaomi выполнила план по производству 1 миллиона смартфонов Mi 9 за месяц, а сегодня подробно рассказала о выпуске своего флагмана. Оказывается, смартфон производят уже на трех фабриках: Langfang Foxconn, Xi'an BYD и Nanjing Yinghuada, принадлежащей Inventec...

Apple инвестирует 1 миллиард долларов в Индию Apple намерена инвестировать $1 миллиард в Индию, поскольку она готовится увеличить экспорт iPhone на рынок Европы. Times of India говорит, что Foxconn является главным инвестиционным партнером Apple в стране, который производит продукты Apple для поставки на мировые рынки. ...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

Намечается великий исход производства из Китая Мировые производители электроники в лице HP, Dell, Microsoft и Amazon стремятся вывести значительные производственные мощности из Китая, присоединяясь к нарастающему исходу, который угрожает подорвать положение страны, являющейся сегодня мировым лидером по производству техно...

Несмотря на временные трудности, в долгосрочной перспективе цены на DRAM и NAND продолжат снижаться Аналитики подразделения DRAMeXchange компании TrendForce опубликовали своё экспертное мнение о динамике цен на память в ближайшей и долгосрочной перспективе. Как вам наверняка известно, за последний месяц производство DRAM- и NAND-памяти подверглось испытаниям на прочность. ...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Заявления Intel о будущих планах обрушили курс акций компании Встреча с инвесторами, которую Intel провела прошедшей ночью и где компания сообщила о своих планах по выпуску 10-нм процессоров и внедрению 7-нм производственной технологии, похоже, не впечатлила фондовый рынок. Сразу после мероприятия акции компании упали примерно на 9 %. ...

Intel раскрывает планы на 10-нм техпроцесс: Ice Lake — в 2019, Tiger Lake — в 2020 10-нм процесс Intel готов к полномасштабному внедрению Первые массовые 10-нм процессоры Ice Lake начнут поставляться в июне В 2020 году Intel выпустит преемника Ice Lake — 10-нм процессоры Tiger Lake На прошедшем сегодня ночью мероприятии для инвесторов Intel сделала неско...

Катастрофа на рынке 3D NAND: завод Western Digital и Toshiba обесточен вторую неделю Вот оно, случилось! То, о чём регулярно вспоминали в комментариях к новостям об ожидаемом снижении цен на флеш-память, стало реальностью. На одном из крупнейших предприятий по производству 3D NAND ― на совместном заводе компаний Western Digital и Toshiba в Японском городе Йо...

Производители систем охлаждения ожидают роста выучки от смартфонов «5G» Похоже, надежда на смартфоны с длительным временем автономной работы снова уходит куда-то вдаль. Ни новые техпроцессы, ни оптимизация SoC, ни повышение ёмкости аккумуляторов, ни многие другие «фишки» не могут приблизить появление мобильных аппаратов, которые при интенсивном...

Азиатские производители чипов бросают вызов Qualcomm В данный момент большинство производителей комплектующих для смартфонов занимаются разработкой собственных чипов для работы с мобильными сетями пятого поколения. Доминирует на этом рынке на сегодняшний день компания Qualcomm со своими 5G-модемами. Причем нельзя забывать, чт...

Samsung построит два завода в Индии На волне возросшей популярности рынка смартфонов в Индии компания Samsung настойчиво пытается откусить свой кусочек пирога в этой стране, да побольше. Помимо продажи своих устройств южнокорейский гигант не против заработать и на реализации комплектующих для местных производи...

Lenovo за отчётный год: двухзначный рост доходов и $786 млн чистой прибыли Отличные результаты финансового года: рекордный объём выручки в $51 млрд, на 12,5 % выше прошлогодней. Стратегия «Интеллектуальной трансформации» позволила добиться чистой прибыли в $597 млн против убытков в прошлом году. Мобильный бизнес вышел на прибыльный уровень благода...

Apple выпустит MacBook с поддержкой сотовой связи 5G во второй половине 2020 года Apple работает над целым рядом MacBook с сотовой связью 5G, которые будут выпущены уже в следующем году, сообщает DigiTimes. Согласно популярной тайваньской публикации, Lenovo, HP и Dell откроют рынок ноутбуков 5G в конце этого года, а Apple планирует выпустить собственный в...

Цены на память не вернутся к росту во втором полугодии Одного лишь снижения цен на память недостаточно, чтобы вернуть спрос к росту. Прибыль многих производителей памяти в первом квартале сократилась, некоторые из них терпят убытки. Некоторые эксперты теперь выражают опасения, что в этом году цены на память не вернутся к росту....

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

При производстве SoC Kirin для смартфонов Huawei на заводе TSMC возникли проблемы Компания Taiwan Semiconductor Manufacturing Co. (TSMC) является крупным производителем полупроводниковой продукции, в частности, однокристальных систем для Huawei, Apple, Qualcomm, MediaTek и других компаний. Вот уже второй раз за последние несколько месяцев на произво...

Xiaomi, по слухам, отказалась от MediaTek, чипмейкер всё отрицает Тайваньская компания MediaTek вместе с Qualcomm являются основными поставщиками мобильных чипсетов. Но, если американский производитель лидирует на рынке смартфонов в средней и высокой ценовых категориях, то китайский чипмейкер пользуется спросом среди компаний, выпускающих ...

Поставки электромобилей Tesla бьют рекорды: Model 3 — бесспорный лидер Компания Tesla обнародовала данные об объёмах производства и поставок электрических автомобилей в третьем квартале текущего года. Сообщается, что спрос на электрокары Tesla бьёт рекорды. Так, в период с июля по сентябрь включительно было произведено 96 155 машин, а фактическ...

Производители телевизионных панелей массово сокращают их выпуск По данным аналитической компании TrendForce, цены на телевизионные панели опустились до такого уровня, что, как правило, оказываются ниже затрат на производство. Это побудило корейских, тайваньских и китайских производителей в сентябре начать снижать загрузку соответств...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Рост средней цены реализации процессоров AMD должен прекратиться Влиянию процессоров Ryzen на финансовые показатели AMD и занимаемую ею долю рынка посвящено немало исследований. На рынке Германии, например, процессоры AMD после выхода моделей с архитектурой Zen первого поколения смогли занять не менее 50–60% рынка, если ориентироваться на...

Sony сделает ещё один шаг к господству на рынке датчиков изображений Японская компания Sony давно задумала стать королём рынка датчиков изображений. Ещё в 2010 году для этих целей она выкупила у Toshiba один из своих заводов в префектуре Нагасаки, который выпускал процессоры Cell для PlayStation 3, и перепрофилировала его для производства дат...

Американо-китайская торговая война остановит расширение производства кремниевых пластин Продолжающаяся американо-китайская торговая война может вынудить некоторых поставщиков кремниевых пластин приостановить реализацию планов расширения мощностей, поскольку из-за снижения спроса растет разрыв между спотовыми и контрактными ценами. Об этом сообщают пре...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Официально: нехватка процессоров Intel продлится до октября На этот счет ходили различные слухи и предположения. Некоторые инсайдеры говорили о том, что дефицит процессоров Intel исчезнет в текущем квартале, а кто-то уверял, что он продлится до начала следующего года. Сейчас все карты на стол выложил глава компании Роберт Свон (...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

GlobalFoundries раскрыла планы по выходу на публичное размещение акций В августе 2018 года компания GlobalFoundries, которая с момента своего основания в 2009 году являлась основным производителем центральных процессоров AMD, внезапно объявила об отказе от освоения 7-нм и более «тонких» технологических процессов. Своё решение она мотивировала в...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Производители чипов в 2019 году будут экономить, но в 2020-м развернутся Группа наблюдения за полупроводниковой промышленностью организации SEMI, которая отслеживает свыше 1300 заводов по обработке кремниевых пластин, опубликовала свежий отчёт-прогноз о динамике затрат на развитие и расширение производств. Увы, 2019 год в этом плане будет годом э...

Apple сократит производство iPhone в первом квартале 2019 г. примерно на 10% Apple продолжает оставаться одной из самых обсуждаемых тем в технологической индустрии в последние недели. С одной стороны, компания ведет юридическую битву с Qualcomm, а с другой — имеет дело с ухудшившимися торговыми отношениями между Америкой и Китаем. Все эти проце...

Кристалл контроллера ввода-вывода AMD Ryzen 3000 (Matisse) изготавливается по нормам 12 нм, а не 14 нм Процессоры AMD Ryzen 3000 (Matisse) имеют многокристальную компоновку. Один или два кристалла с CPU Zen 2, изготавливаемые по нормам 7 нм, соседствуют с кристаллом контроллера ввода-вывода. В него встроен двухканальный контроллер памяти DDR4, корневой комплекс PCIe 4.0 ...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

Давление США на Huawei по-разному откликается на Samsung и SK Hynix Обе крупнейшие в Южной Корее компании по выпуску полупроводников ― Samsung и SK Hynix ― вынуждены считаться с давлением, оказываемым США на китайскую Huawei. Но это давление сказывается по-разному на каждую из них, резюмирует местное издание BusinessKorea.co.kr. Впрочем, каж...

Huawei анонсировала 7-нм восьмиядерный процессор Kirin 810 с особым акцентом на ИИ Как вы уже знаете, китайский технологический гигант по имени Huawei сегодня провел презентацию, на которой представил несколько своих новинок. Мы уже рассказали про продолжение одного из лучших планшетов на Android, а теперь хотим рассказать про совершенно новый мобильной п...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Сделано в Южной Корее. Таких смартфонов вскоре не останется В ближайшее время выпуск смартфонов на территории Южной Кореи прекратится. Согласно отчету отраслевых аналитиков, оба производителя смартфонов из Южной Кореи (Samsung Electronics и LG Electronics) либо закрывают свои производственные линии, либо сокращают производство н...

Китайцы стали акционерами Japan Display История о выкупе китайцами части акций японской компании Japan Display подошла к своему логическому концу. Сегодня один из крупнейших производителей LCD-дисплеев из Японии сообщил о том, что пакет акций в размере 49,8% уставного фонда переходит к китайско-тайваньскому концер...

Apple снова сокращает выпуск смартфонов iPhone XS, XS Max и XR Компания Apple, на прошлой неделе понизившая квартальный прогноз продаж, сократила планы выпуска смартфонов iPhone XS, XS Max и XR в первом квартале примерно на 10%. Об этом сообщает источник со ссылкой на публикацию Nikkei Asian Review. Известно, что в конце прошлого г...

Спрос на iPhone 11 оказался высоким: Apple увеличит производство на 10% Apple сообщила об увеличении производства своих новых смартфонов iPhone 11 и iPhone 11 Pro на 10%, отмечает Nikkei Asian Review. Данный рос увеличит текущие производственные планы до 8 млн единиц, поскольку спрос на устройства оказался выше ожидаемого. Подробнее об этом чита...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Минг-Чи Куо: выпуск iPhone SE 2 поможет Apple нарастить поставки смартфонов в первом квартале 2020 года Аналитик TF International Минг-Чи Куо, который очень часто бывает точным в своих прогнозах относительно планов Apple по выпуску аппаратных устройств. На этот раз он опубликовал отчёт с прогнозным объёмом поставок смартфонов iPhone для первого квартала 2020 года. Аналитик счи...

Выручка TSMC в первом полугодии оказалась на 4,5% меньше, чем год назад Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, опубликовала отчет за июнь. Поскольку это одновременно последний месяц полугодия, появилась возможность оценить динамику изменения финансовых показателей TSMC за более протяженн...

AMD не удаётся справиться с дефицитом флагманских процессоров Ryzen 3000 Ситуация с доступностью старшего процессора семейства Matisse пока оставляет желать лучшего, и ярким доказательством тому могут служить отдельные лоты на eBay, которые предлагают приобрести процессор Ryzen 9 3900X за $700, причём за дополнительные $353 предусмотрена доставка...

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Динамика выручки от продаж игровых графических процессоров NVIDIA должна радовать инвесторов Квартальный отчёт NVIDIA уже был рассмотрен сегодня, и финансовые показатели в период с августа по октябрь включительно двигались по двум разнонаправленным векторам: снижались по сравнению с аналогичным периодом прошлого года и росли по сравнению с предыдущим кварталом. Отри...

Аналитики Digitimes Research назвали крупнейшего заказчика серверов у тайваньских ODM Согласно последним данным аналитической компании Digitimes Research, ослабление спроса на серверы, имевшее место в первой половине 2019 года, снова сменилось ростом. В третьем квартале общемировые поставки серверов выросли относительно второго на 11,2%. Этот показатель...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Intel представляет Ponte Vecchio Генеральный директор компании Боб Сван подтвердил существование первого графического процессора с архитектурой Xe для серверов. Компания Intel продолжает продвигаться на рынок дискретных графических решений. Первые чипы Xe для ПК планируется выпустить в 2020 году. Пока же...

Как стратегия Intel для рынка смартфонов снова провалилась Недавно компания Intel отказалась от своих планов по выпуску и продаже 5G-модемов для смартфонов после того, как её основной клиент, Apple, 16 апреля объявил, что вновь начнёт использовать модемы Qualcomm. В прошлом Apple уже использовала модемы этой компании, но перешла на ...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Треть новых миллиардеров в Китае выросла на производстве чипов Чуть меньше месяца назад в Китае начала работать первая национальная фондовая биржа по торговле акциями местных высокотехнологических компаний ― STAR market (Science and Technology Board). Торговля ведётся под управлением Шанхайской фондовой биржи. Развёртывание STAR market ...

ODM-производители начнут производить среднего ... Не добившись больших успехов на рынке Китая, Samsung закрыла собственные фабрики в этой стране. Вместо этого принято решение увеличить количество смартфонов, произведенных силами ODM-производителей на условиях аутсорсинга. Ожидается, что в следующем году 20% всех смартф...

Huawei лишится Android из-за санкций США На всех будущих устройствах этих брендов станут недоступны магазин приложений и остальные сервисы Google, а использование операционной системы Android будет невозможно. Уже работающие смартфоны не смогут получать официальные патчи безопасности и обновления ядра прошивки. Точ...

Samsung инвестирует $115 млрд в мобильные чипы На протяжении многих лет Samsung крайне активно вкладывается в новые разработки, отчитываясь о растущей величине исследовательских бюджетов, не снижая масштабы инвестиций. На этот раз компания решила серьезно вложиться в полупроводниковый бизнес и объявила о том, что объем и...

IHS: рынок DRAM сократится на 22 % в 2019 году Исследовательская компания IHS Markit ожидает, что снижающиеся средние цены и слабый спрос будут преследовать рынок DRAM в третьем квартале этого года, что приведёт к значительному спаду в 2019 году после двух лет взрывного роста. По оценкам IHS, рынок DRAM будет оцениваться...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Китайская компания BOE начала серийное производство micro-OLED панелей По сообщениям сетевых источников, китайская компания BOE в октябре этого года приступила к серийному производству панелей по технологии micro-OLED. Производство развёрнуто в городе Куньмин, который находится на территории провинции Юньнань. В сообщении говорится, что в насто...

Intel на CES 2019: новая серия Ice Lake и обновления в Coffee Lake-S Refresh Как один из крупнейших производителей процессоров в мире, корпорация Intel попросту не могла пропустить всемирную выставку потребительской электроники Consumer Electronics Show (CES) 2019. В рамках этого мероприятия американская компания презентовала новые процессоры серии C...

Samsung под ударом: ожидается неутешительный квартальный отчёт Для Samsung Electronics всё складывается плохо в преддверии публикации финансового отчёта за первый квартал 2019 года: цены на чипы памяти падают, а дорогие смартфоны премиум-класса сталкиваются с трудностями на рынке. Южнокорейский технологический гигант на прошлой неделе п...

TSMC не справляется с огромным числом заказов на 7-нм продукты: сроки выполнения выросли в 3 раза 7-нм техпроцесс пользуется огромным спросом среди клиентов TSMC. Конечно, это не может не радовать тайваньского контрактного производителя, но у этой медали есть и оборотная сторона. Подробнее об этом читайте на THG.ru.

Авария на производстве привела к задержкам в поставках смартфона Redmi Note 7 Наши вчерашние предположения о том, что ажиотажный смартфон Redmi Note 7 выйдет на внешние рынки с большим опозданием, подтверждаются. Компания и так не справляется со спросом внутри Китая, а масла в огонь подлил еще и некий «производственный инцидент». Как...

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

AMD будет стремиться к увеличению доли более дорогих процессоров в настольном сегменте Не так давно аналитики выразили сомнение по поводу дальнейшей способности AMD увеличивать норму прибыли и среднюю цену реализации своих настольных процессоров. Выручка компании, по их мнению, будет расти и дальше, но уже за счёт увеличения объёмов реализации, а не средней це...

Сборка старших моделей Apple iPhone начнется в Индии уже в будущем году По сообщению источника, в 2019 году местное подразделение Foxconn в Индии начнет выпуск своей первой продукции Apple — это будут старшие модели смартфонов iPhone. Компания Foxconn уже собирает в Индии смартфоны Xiaomi. В расширение предприятия, где будут изготавл...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Huawei реализовала более 37 млн смартфонов серий Mate 20 и P30 Во время запуска новых флагманов серии Mate 30 в сентябре этого года генеральный директор Huawei Ричард Ю (Richard Yu) объявил о том, что компания реализовала 33 млн смартфонов серий Mate 20 и P30. Сетевые источники сообщают, что к настоящему моменту китайская компания осуще...

Смех да и только: Xiaomi отказывается от производства доступных смартфонов Бренд Xiaomi, сделавший себе имя на производстве доступных флагманов, намерен отказаться от этой парадигмы, сосредоточившись на устройствах из сегмента хай-энд. Об этом в социальной сети Weibo написал директор Xiaomi по продукту Ванг Тенг Томас, отвечая на сообщение пользов...

По стопам Galaxy Fold: У Samsung возникли проблемы с Galaxy Note 10 Для Samsung давно стало привычным делом отзывать свои смартфоны уже после релиза. Эту недобрую традицию начал Galaxy Note 7, аккумуляторы которого самопроизвольно загорались из-за утечки лития, подхватил Galaxy Fold, чей дисплей выходил из строя уже на вторые сутки использо...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

За год будет выпущено лишь 1 млн Samsung Galaxy Fold и 200 тыс. Huawei Mate X. Остальные производители не имеют возможностей для массового производства Известный мастер сетевых утечек, который известен под ником Ice Universe, опубликовал на своей страничке в социальной сети Twitter, сведения о том, каких сгибающихся смартфонов на рынке в ближайшее время будет больше всего. По информации, которую он получил по собственн...

LG Display дополнительно инвестирует 3 трлн. вон в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея. Благодаря этим инвестициям компания у...

У TSMC возникли проблемы с производством чипов Kirin TSMC — крупнейший производитель мобильных процессоров в мире и единственный поставщик чипов для Apple. Из-за  недостаточного спроса на iPhone,  корпорация из Купертино уменьшила заказ на однокристальные системы у тайваньского чипмейкера, и он уже заявил о том...

Какой iPhone оставит Android-флагманам больше места Главный конкурент производительнейших топовых Android-смартфонов, разумеется, iPhone XS Max. В отличие от большинства подобных по характеристикам и возможностям мобильных устройств он работает под управлением операционной системы iOS, как и все предлагаемые компанией Apple ...

Intel считает, что в утрате позиций на процессорном рынке виноват дефицит, а не конкурент Представлявшему интересы Intel на технологической конференции Citi для инвесторов Джейсону Грибу (Jason Grebe) пришлось ответить на ряд вопросов, имевших отношение как к натиску конкурента, так и к проблемам с доступностью собственных 14-нм процессоров. Дефицит последних, на...

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

В этом году BOE опередит LG Display и станет крупнейшим в мире производителем плоских дисплеев Китайский производитель дисплеев BOE продолжает укреплять свои позиции. С тех пор, как компания успешно представила OLED-дисплеи для Huawei Mate 20, ее продукты становятся все более доступными и привлекательными. Производственные мощности компании по производству плоски...

Продажи Mac вновь сократились Рынок персональных компьютеров впервые за долгое время показал рост. Однако общий объем поставок ПК и ноутбуков вырос лишь у отдельно взятых производителей. Многие крупнейшие вендоры, включая Apple, пострадали от низких продаж. Об этом говорится в отчёте исследовательской к...

Сохраняющийся дефицит процессоров Intel может навредить компании в серверном сегменте По итогам первого квартала именно динамика финансовых показателей Intel в серверном сегменте разочаровала многих инвесторов. Компании удалось увеличить выручку только на направлении компонентов для «облачных» систем, на прочих направлениях снижалась не только выручка, но и о...

Foxconn передумала выпускать в США ЖК-дисплеи для телевизоров Крупнейший в мире контрактный производитель Foxconn Technology Group пересмотрел планы по производству современных жидкокристаллических панелей для телевизоров в кампусе в Висконсине (США) и теперь планирует вместо завода создать здесь «технологический центр», который будет ...

Санкции против Huawei подорвали благополучие производителя памяти Micron Публикация компанией Micron Technology квартальной отчётности вызвала снижение курса акций на 8,85 %, если ориентироваться на данные, доступные на момент подготовки материала к публикации. Пессимизм инвесторов был вызван не только снижением выручки компании на 42 % в годовом...

Turtle Beach: следующая Xbox и PlayStation 5 быстрее нарастят потребительскую базу Производитель гарнитур Turtle Beach ожидает, что консоли следующего поколения будут более активно распространяться, чем нынешнего. Компания Turtle Beach недавно опубликовала финансовые результаты за 3 квартал 2019 года. Во время общения с инвесторами генеральный директор Юрг...

Intel представила мобильные процессоры Intel Core vPro 8-го поколения Одна из наиболее значимых составляющих портфеля продуктов Intel, о которой редко упоминается — это серия vPro. Она состоит из особой комбинации процессоров и наборов системной логики, которая предлагает коммерческим клиентам Intel дополнительные возможности стабильности, адм...

Революционное стекло для будущих смартфонов Samsung Galaxy Fold уже производится Месяц назад в Сети появилась информация о том, что у Samsung уже якобы имеется поставщик революционного стекла для гибких смартфонов. Напомним, сейчас все подобные устройства для защиты экрана используют пластик, а компания Corning весной и вовсе заявила, что подходящие...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Основатель Foxconn призывает Apple убрать производство из Китая Терри Гоу (Terry Gou), основатель Foxconn, предложил Apple перенести производство из Китая в соседний Тайвань в надежде избежать пошлин, введённых администрацией Дональда Трампа. Taiwan News Планы администрации Трампа ввести высокие пошлины на товары китайского производства ...

Samsung требует от поставщиков больше ToF-сенсоров для своих смартфонов ToF камера (Time of Flight – время полета с англ.) или времяпролетная камера постепенно набирает обороты в мобильной индустрии. Уже сейчас почти все производители используют эту технологию, устанавливая ToF-сенсор по соседству с другими камерами в некоторые свои смартфоны. ...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Наушники Apple AirPods 3 могут оказаться заметно дороже AirPods 2 Несколько недель тому назад в Сети появились данные о том, что Apple выпустит беспроводные наушники AirPods 3 до конца года, а их главной особенностью станет шумоподавление. Новые данные не отрицают этого факта, но указывают на то, что стоимость AirPods 3 может оказатьс...

Foxconn остановил ряд линий по сборке телефонов Huawei Китайский технологический гигант Huawei, чьи устройства оказались в центре разгорающейся торговой войны между правительствами США и Китая, сокращает заказы на сборку новых телефонов, сообщил местный ресурс The South China Morning Post. REUTERS/Tyrone Siu Согласно данным исто...

Samsung выиграет на рынке планшетов от торговой войны между США и Китаем Аналитики Digitimes Research ожидают, что южнокорейская компания Samsung Electronics выиграет от повышения Соединенными Штатами тарифа на планшеты, импортируемые из Китая, до 25%. Дело в том, что почти все планшеты Samsung Electronics изготавливаются на собственных прои...

Huawei лишится собственных чипов на архитектуре ARM Не только американские компании приостанавливают сотрудничество с ведущим китайским производителем электроники: ARM Limited заявила о прекращении рабочих отношений с Huawei на неопределенный срок. Эта британская корпорация владеет лицензией на микропроцессорную архитектуру A...

Траектории спотовых и контрактных цены на DRAM разошлись По данным DRAMeXchange, подразделения TrendForce, спотовые цены и контрактные цены на память DRAM движутся по разным траекториям. С начала июля спотовые цены увеличились в среднем на 24%, тогда как контрактные уменьшились более чем на 10%. Аналитики отмечают, что спотов...

SK Hynix начинает серийный выпуск первой в мире 128-слойной флеш-памяти 4D NAND Компания SK Hynix объявила о начале серийного выпуска первых в мире кристаллов 128-слойной флеш-памяти типа TLC 4D NAND плотностью 1 Тбит. Такой кристалл представляет собой наиболее сложное изделие в своем роде: он насчитывает более 360 миллиардов ячеек, каждая из котор...

Цены на чипы NAND растут, а на DRAM перестали падать, хотя дно, возможно, ещё не достигнуто Исследовательская компания DRAMeXchange сообщила 30 августа, что средняя цена 8-гигабитных чипов памяти DRAM стандарта DDR4, которые используются в основном в ПК, в тот день составляла $2,94 — то есть не изменилась по сравнению с предыдущим месяцем. Цена немного выросла в де...

Память дешевеет, производители напуганы и сокращают инвестиции Ведущие производители памяти, Samsung и SK Hynix, которые в сумме занимают две трети рынка чипов DRAM и чуть менее половины рынка NAND, вынуждены пересмотреть свои планы по наращиванию производственных мощностей. Как сообщают аналитики, корейские производители столк...

Xiaomi успешно переживает замедление рынка смартфонов в Китае Успехи на рынке Индии и Европы на фоне замедления родного китайского рынка. Рост доходов оказался ниже ожиданий аналитиков — котировки акций сразу устремились вниз. Экспансия на мировые рынки продолжится, а новые смартфоны станут дороже. Успехи на фоне замедления китайског...

Intel отложила выпуск Cascade Lake-X до конца ноября В прошлом месяце компания Intel представила новое поколение высокопроизводительных настольных процессоров, которые вошли в семейство Cascade Lake-X, однако новинки всё ещё не поступили в продажу. И похоже, случится это не очень скоро. На самом деле, анонсируя процессоры Casc...

Производители ПК снова готовятся к нехватке процессоров Intel Производители ПК готовятся к повторению нехватки процессоров Intel, которая преследует рынок на протяжении нескольких кварталов с середины 2018 года. Ожидалось, что поставки улучшатся в этом квартале, но по последним сообщениям, поступающим из цепочки поставок, Intel не...

Думаете, у Apple все плохо? Посмотрите на Samsung Не только Apple отчитывается перед инвесторами о своих успехах и неудачах. 5 апреля свой квартальный отчет представил главный конкурент «яблочного» гиганта — южнокорейская корпорация Samsung. По данным компании, ее выручка в прошлом квартале достигла 46 миллиардов дол...

Intel осознаёт конкурентные угрозы, исходящие от AMD Компания Intel на квартальной отчётной конференции не смогла уйти от вопросов аналитиков, связанных с ситуацией на рынке центральных процессоров в свете появления 7-нм продуктов конкурента. Прежде всего Роберт Свон (Robert Swan) признался, что компания потеряла часть позиций...

Apple установит OLED-экраны в MacBook не ради вас, а ради Samsung Сфера влияния Apple распространяется далеко за пределы рынка потребительской электроники. От нее зависит масса, на первый взгляд, независимых предприятий, которые держатся на плаву во многом благодаря поставкам комплектующих для компании из Купертино. Однако из-за огромных ...

Тайваньских производителей, перемещающих производство, призвали внедрять концепцию Industry 4.0 Тайваньские производители должны учитывать возможность развертывания автоматизированных производственных линий при перемещении своих заводов и внедрять концепцию Industry 4.0 для повышения долгосрочной конкурентоспособности. Такой призыв прозвучал на форуме, организован...

Илон Маск прогнозирует рекордные продажи Tesla во втором квартале 2019 года Генеральный директор Tesla Илон Маск (Elon Musk) считает, что по итогам второго квартала 2019 года компания может поставить рекорд производства и продаж электрокаров. Об этом он сообщил на встрече с акционерами, которая прошла в Калифорнии. Господин Маск рассказал о том, что...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

Intel потеряла сразу двух начальников маркетинга графического отдела Довольно неожиданно маркетинговый директор графических процессоров Intel Крис Хук (Chris Hook) и старший руководитель по цифровому маркетингу отдела графики Хизер Ленон (Heather Lenon) покинули компанию. Наверняка это возымеет серьёзные последствия на усилия Intel в области ...

Canalys: рынок смартфонов начнет расти в 2020 году Аналитическая компания Canalys поделилась своим прогнозом о глобальных поставках смартфонов в 2019 году. Согласно их мнению, нынешний год не предвещает положительных изменений в части повышения спроса на мобильные устройства.     Рынок продолжит сокращаться и в го...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Дефицит процессоров Intel продлится до декабря текущего года В конце прошлого года еще встречались относительно позитивные прогнозы по ситуации нехватки процессоров Intel на рынке: мол, в 2019 году, если не в первом квартале, то уж к середине года точно, ситуация должна разрешиться. Судя по новым данным, дефицит CPU если и исчезн...

Уолл-стрит восприняла на ура отказ Intel от рынка модемов 5G На этой неделе были получены и потеряны миллиарды долларов, когда самая большая технологическая игра в покер подошла к концу. Во вторник Apple и Qualcomm объявили, что достигли шестилетнего соглашения о патентном лицензировании и многолетней сделки для Qualcomm на поставку ч...

Опубликован отчет Samsung Electronics за третий квартал 2019 года Компания Samsung Electronics сегодня опубликовала отчет за третий квартал 2019 года. Отчетный период принес южнокорейскому производителю 53,4 млрд долларов дохода. По сравнению с предыдущим кварталом этот показатель вырос на 10,45%, однако в годовом выражении доход уме...

Snapdragon 855 лидирует в рейтинге мобильных чипов с ИИ-движком Представлен рейтинг мобильных процессоров в плане быстродействия при выполнении операций, связанных с искусственным интеллектом (ИИ). Многие современные чипы для смартфонов оснащаются специализированным ИИ-движком. Он помогает повысить производительность при выполнении таких...

Прибыль Samsung обрушилась в 2,5 раза. Растет только спрос на дисплеи компании Samsung Galaxy Note10+ во включенном состоянии засняли вживую Сегодня был опубликован финансовый отчет южнокорейской компании Samsung о результатах работы во втором квартале, который завершился 30 июня. Операционная прибыль Samsung упала на 56% в годовом исчислении до ...

Самоуправляемые автомобили Waymo будут выпускаться в Детройте Компания Waymo, входящая в холдинг Alphabet, выбрала завод в Детройте для организации серийного производства самоуправляемых автомобилей. Генеральный директор компании Джон Крафчик (John Krafcik) сообщил в своем блоге, что Waymo будет сотрудничать с American Axle & ...

Qualcomm: 2020 год обещает стать годом телефонов 5G Чипы Snapdragon с интегрированной поддержкой сетей связи пятого поколения начнут поставляться во втором квартале 2019 года, а количество мобильных аппаратов 5G должно достичь критической массы примерно через год. Компания Qualcomm выдвинула еще один довод в пользу того, ч...

Oppo планирует удвоить производство смартфонов Китайский производитель смартфонов Oppo в пятницу объявил о завершении первой фазы своего производственного предприятия в Большой Нойде, с которой компания рассчитывает удвоить производство к 2020 году с нынешних четырех миллионов смартфонов в месяц. «Наша фабрика в Большой...

Среди россиян вырос спрос на умные устройства Самыми популярными устройствами стали детские часы с геолокацией и фитнес-браслеты. Рост продаж умных устройств в 1 квартале связан с сезонностью спроса, ростом популярности у клиентов и расширением ассортимента в магазинах. Ср...

NVIDIA готовит мобильные видеокарты Super-серии Компания NVIDIA планирует обновить свои мобильные видеокарты в марте 2020 года, сообщает ресурс Notebook Check со ссылкой на собственный источник. Новинками станут Super-версии актуальных мобильных графических ускорителей NVIDIA на графических процессорах Turing. Напомним, ч...

Квартальный отчёт Intel: выручка не изменилась, а все остальные основные финансовые показатели упали Компания Intel опубликовала отчёт по итогам первого квартала 2019 финансового года. И квартал этот был для компании далеко не самым успешным. Выручка компании осталась неизменной в годовом выражении — 16,1 млрд долларов. А вот все остальные основные финансовые по...

Wildcat Discovery и InoBat построят в Словакии линию по выпуску аккумуляторов для электромобилей Словацкая компания InoBat и американская компания Wildcat Discovery Technologies построят в Словакии производственную линию стоимостью 100 миллионов евро по выпуску аккумуляторов для электромобилей. Партнеры заявили, что строительство линии мощностью 100 МВт∙ч, с...

Падение и рост: прогноз на 2019 год по поставкам ... Samsung и Apple теряют рынок, а Huawei и Xiaomi продолжают наращивать свои продажи. К такому выводу пришли ряд аналитических компаний, проанализировав состояние дел на рынке смартфонов в 2018 году. В целом мировые продажи мобильников за год просели на 4% и это худший по...

Японские санкции приведут к полной остановке заводов Samsung и SK Hynix через два месяца Как мы сообщали, с 4 июля японское правительство ввело ограничения на поставку в Южную Корею сырья и материалов японского производства. Например, это касается поставок фоторезиста, фтористого водорода, также называемого «газом для травления», и фторированных полиимидов. Все ...

Sony инвестирует в производство датчиков изображения еще 918 млн долларов Компания Sony намерена выделить средства на новую полупроводниковую фабрику в префектуре Нагасаки. Предприятие будет выпускать датчики изображения типа CMOS, используемые в камерах смартфонов. Производитель заложил инвестиции в размере 918 млн долларов в проект бюджета...

Nokia существенно улучшила свое финансовое положение благодаря 5G Nokia зафиксировала рост выручки во втором квартале 2019 года, который превысил собственные прогнозы компании. Финский производитель объясняет успех растущим спросом на оборудование нового поколения 5G. Также в компании ожидают всплеска продаж в четвертом квартале благо...

Выручка Huawei за первый квартал 2019 года существенно ... Не так давно Samsung рассказала о том, насколько неудачным выдался для нее первый квартал нынешнего года. А вот у Huawei все складывается удачно. По данным производителя, его выручка в первом квартале достигла $26,78 млрд, что на 39% больше, чем годом ранее. Не забыла компан...

Планы производителей смартфонов на процессоры Snapdragon 865 и 765 Компания Qualcomm сегодня представила новые однокристальные системы: флагманскую Snapdragon 865 и пару среднепроизводительных 765/765G. Все поддерживают 5G и предлагают более высокий уровень производительности по сравнению с предшественниками. Многие производители уже успели...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

Цены на SSD за год рухнули на 50% Об этом пишет CNews со ссылкой на тайваньский деловой портал The Digitimes.Так, в момент первого выпуска игровые накопители SSD емкостью 1 ТБ предлагались по цене порядка 10 тыс. новых тайваньских долларов (примерно $325) за штуку. Однако в начале 2018 г. на волне снижения ц...

Apple не воспользуется услугами Samsung при создании процессора A13 Официальная премьера смартфонов высокого класса от Apple не состоится до осени. На данный момент компания усиленно работает над iPhone (2019), чтобы своевременно обеспечить свои модели необходимыми аппаратными компонентами. Одним из ключевых улучшений, которые мы увидим в см...

Новый суперхит Apple. Компания удвоит производство AirPods Pro, так как спрос слишком велик Несмотря на свою цену, наушники Apple AirPods Pro оказались очень популярными. Мы уже писали о том, что благодаря новой модели купертинский гигант в итоге может реализовать по итогам года 60 млн наушников, удвоив продажи относительно прошлого года. Однако для начала Ap...

AMD Navi ломает традиции графических процессоров Как правило, компании начинают с выпуска флагманских продуктов, а затем снижают цены, предлагая решения с компромиссной производительностью. Но к Navi это не относится. Архитектура графических процессоров AMD Navi, появление которых ожидается в этом году, преподнесет всем...

Производители ожидают значительного роста спроса на ASIC в ближайшие годы Тайваньские поставщики заказных БИС (ASIC), проектируемых по требованиям клиентов, ожидают значительного роста заказов в ближайшие несколько лет, что будет обусловлено спросом на такие чипы в секторах высокопроизводительных вычислений (HPC) и искусственного интеллек...

Финансовый директор Xiaomi прокомментировал спад продаж смартфонов в III квартале Во время последней отчётной конференции Xiaomi Group за третий квартал 2019 года финансовый директор Xiaomi так прокомментировал снижении доходов и продаж смартфонов в III квартале: «Сейчас мы переходим с 4G на 5G. Поскольку рынок смартфонов находится под давлением, мы решил...

По прогнозу Digitimes Research, мировой выпуск микросхем в ближайшие годы будет расти в среднем на 5,3% в год Специалисты аналитической компании Digitimes Research взялись спрогнозировать глобальный объем производства полупроводниковых микросхем в течение ближайших пяти лет. По их мнению, выпуск этой продукции в период до 2024 года будет расти в среднем на 5,3% в год. Если этот...

В этом году капитальные затраты на выпуск DRAM упадут на 28% Аналитическая компания подготовила IC Insights обновленные прогнозы на этот год, отталкиваясь от данных за первое полугодие. В частности, опубликован прогноз, относящийся к рынку микросхем памяти DRAM. После огромных капиталовложений в DRAM в 2017 и 2018 годах отрасль ...

Xiaomi «перезапустит» семейство смартфонов Mi Note Минувшим летом стало известно, что китайская Xiaomi намерена упростить маркировку своих смартфонов, сосредоточившись на развитии трёх ключевых семейств. Тогда говорилось, что от выпуска аппаратов серий Mi Note и Mi Max компания откажется. И вот теперь появились новые данные....

Как торговая война может повлиять на Apple Тим Кук готов к тому, что торговая война ударит по Apple В последнем десятилетии прошлого века кому-то пришла в голову гениальная до безумия мысль производить компьютеры, гаджеты и их компоненты в странах с избытком дешевой рабочей силы. В первую очередь, в КНР. Осуществить ...

Huawei выпускает совершенно новый ARM-процессор для смартфонов Анонс Kirin 810 сделал Huawei единственной в мире компанией, в активе которой числятся два 7-нанометровых мобильных процессора. Первым стал анонсированный осенью 2018 г. Kirin 980, используемый, в том числе, во флагманах P30 и P30 Pro образца весны 2019 г.Даже у Qualcomm, ко...

Foxconn приостановила производство смартфонов Huawei из-за сокращения объёмов поставок Как сообщает издание South China Morning Post со ссылкой на неназванные источники, компания Huawei, которая на текущий момент находится под санкциями правительства США, сокращает объёмы поставок своих смартфонов. В связи с этим тайваньский производитель электроники Foxconn п...

«Почти» не считается. За 3000 электромобилей Tesla заплатила 6% капитализации После отчета Tesla, в котором было сказано, что компания реализовала 97 000 электромобилей вместо 100 000 запланированных, ее акции резко упали. Фактический объем поставок оказался совсем немного ниже плана, но этого оказалось достаточно, чтобы капитализация а...

Foxconn сокращает свой мобильный бизнес В настоящее время рынок смартфонов характеризуется чрезвычайно высокой конкуренцией и многие компании в этом бизнесе буквально выживают с минимальной прибыльностью. Спрос на новые устройства постоянно падает и объём рынка сокращается, несмотря на увеличения поставок бюджетны...

Intel Ice Lake: Чипы для ноутбуков с акцентом на графику Помимо новых процессоров Ice Lake 10-го поколения в конце августа компания обещает представить чипы Core с более высокой тактовой частотой. Выпуская 11 новых чипов Ice Lake, Intel сделала ставку на графические компоненты. В компании утверждают, что представители нового се...

Samsung закрывает центр по производству процессорных ядер. Что будет с чипом Exynos? Что будет с процессорами Samsung? Южнокорейский технологический гигант Samsung закрывает научно-исследовательский центр по разработке модифицированных процессорных ядер, расположенный в штате Техас. Занимался центр, как нетрудно догадаться, совершенствованием линейки процесс...

Intel увеличивает заказы у сторонних производителей чипов из-за дефицита собственных 14-нм производственных мощностей Затянувшееся освоение 10-нанометрового производственного процесса оказывает заметное влияние на весь бизнес Intel. Ещё в прошлом году наблюдалась ситуация, когда у компании оказалось недостаточно производственных мощностей для выпуска всей номенклатуры продуктов по 14-наноме...

Цены на игровые мониторы падают, и это только начало Приятные новости приходят с рынка игровых мониторов: дело идёт к тому, что в ближайшее время подобное оборудование заметно подешевеет. Ключевую роль в таких переменах, как это обычно и бывает, должны сыграть китайские производители. Дело в том, что до недавних пор выпуск выс...

Продажи видеокарт продолжают падать: во всём виноват вторичный рынок Продажи видеокарт продолжают падать заметными темпами. Об этом говорит очередной отчёт аналитической компании Jon Peddie Research, в котором обобщаются данные о количестве реализованных GPU в четвёртом квартале прошлого года. Для того чтобы понять причины этой тенденции, вед...

Kirin 990 может стать последним чипсетом от Huawei Как вы уже знаете, под давлением правительства США многие крупнейшие американские и британские компании вынуждены прекратить сотрудничество с Huawei. Несмотря на все заверения главы Huawei о том, что ничего страшного не произошло, для китайской компании последствия могут быт...

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Samsung сокращает отставание от Intel на глобальном рынке полупроводниковой продукции Специалисты IHS Markit опубликовали результаты собственного исследования мирового рынка полупроводниковой продукции по итогам второго квартала 2019 года. В отчёте компании говорится о том, что лидирующую позицию в данном направлении сохраняет компания Intel. Однако расположи...

Средняя стоимость NAND в этом году снизится почти вдвое Производители флэш-памяти типа NAND в 2019 году сократят капитальные затраты на 2% по сравнению с прошлым годом. Такое мнение высказано в прогнозе, опубликованном сегодня на сайте DRAMeXchange, подразделения аналитической компании TrendForce. На мировом рынке флэш-памя...

Intel подтвердила подготовку Comet Lake: десятку ядер в массовом сегменте быть! Уже довольно давно циркулируют слухи, что компания Intel до 10-нм процессоров Ice Lake выпустит ещё одно семейство 14-нм процессоров, которое будет называться Comet Lake. И теперь эти слухи косвенно подтвердила сама Intel: упоминание о Comet Lake обнаружилось в новых графиче...

Смартфоны на платформе MediaTek 5G SoC выйдут в начале 2020 года Компания MediaTek, по сообщениям сетевых источников, назвала сроки появления первых смартфонов на своей передовой аппаратной платформе 5G SoC. Названное решение, напомним, было представлено в мае нынешнего года. Изделие, как отражено в названии, обеспечивает поддержку мобиль...

Xiaomi Mi MIX Alpha в этом году не выйдет Xiaomi Mi MIX Alpha был представлен на прошлой неделе и стал событием на рынке, а также одним из самых интересных смартфонов в этом году. Это оправдано: невиданный дизайн, современные материалы, мощная начинка и очень смелая цена. Изначально выход коммерческой версии флагман...

Медлительность Apple может сыграть с компанией злую шутку Несколько дней назад американский производственный гигант компания Apple представила новую линейку смартфонов iPhone 11, которые уже начали критиковать за отсутствие каких-либо реальных инноваций. Впрочем у преданных поклонников компании никаких проблем с новинками нет,...

Intel подтверждает намерение в 2021 году выпустить процессоры Sapphire Rapids Рассказывая о графическом процессоре Ponte Vecchio и программной модели oneAPI, компания Intel также опубликовала план выпуска процессоров для суперкомпьютерных вычислений. Это обновленная версия прошлогоднего плана. Она охватывает период с 2019 по 2021 год. По мнению ...

Прогноз Мин-Чи Куо: Apple не станет поднимать цены на свою продукцию даже в случае продолжения торговой войны между США и Китаем Пару дней назад мы писали о том, что специалисты инвестиционного банка Bank of America Merrill Lynch подсчитали, что в случае введения очередных налоговых пошлин со стороны США и поднятия цен на iPhone на 10%, спрос на эти устройства упадёт на 20%. Однако аналитик Мин-...

Разработчики Cisco выпустили патчи для восьми уязвимостей Компания Cisco выпустила патчи для восьми уязвимостей в своих продуктах. В числе исправлений оказались три бага с высоким уровнем угрозы и пять — со средним. Одна из серьезных уязвимостей — CVE-2019-1649 (6,7 балла по шкале CVSS) — связана с работой механизмов безопасной заг...

Аналитики TrendForce назвали факторы, которые определят цены на DRAM и NAND в краткосрочной и долгосрочной перспективе Специалисты DRAMeXchange, подразделения компании TrendForce, отслеживающие ситуацию на рынке микросхем памяти типа DRAM и флеш-памяти типа NAND, недавно назвали факторы, которые будут определять цены на эту продукцию в краткосрочной и долгосрочной перспективе. Как утве...

IFA 2019: процессоры среднего уровня Qualcomm Snapdragon получат поддержку 5G Компания Qualcomm в ходе выставки IFA 2019, которая сейчас проходит в Берлине (Германия), поделилась планами по внедрению поддержки 5G в свои мобильные процессоры. Сейчас поддержка сотовых сетей пятого поколения в устройствах на базе флагманских чипов Snapdragon 855 и Snapdr...

AMD о ценах на процессоры: «больше производительности за те же деньги» Выступление на технологической конференции Bank of America Merrill Lynch вице-президента AMD Рут Коттер (Ruth Cotter) было достаточно содержательным, поскольку в её зону ответственности входит не только общение с инвесторами, но и определение политики компании в сфере маркет...

Huawei рассказала о планах относительно 5G и подтвердила выход Mate X в июне На международной конференции, проведённой Huawei для аналитиков, китайский гигант объявил о своих планах по выпуску устройств с поддержкой 5G. Согласно ним, Huawei Mate X — первый изгибаемый смартфон компании (и заодно первый с поддержкой сетей 5G) — по-прежнему намечен к вы...

Samsung может начать производство GPU для дискретных видеокарт Intel На этой неделе Раджа Кодури (Raja Koduri), курирующий производство GPU в Intel, побывал на заводе Samsung в Южной Корее. Учитывая недавнее объявление Samsung о начале производства 5-нм чипов с использованием EUV, некоторые аналитики посчитали, что этот визит может быть неслу...

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

Торговая война между Японией и Южной Кореей ударила по производству Samsung Galaxy Note 10 Так как презентация фаблета Samsung Galaxy Note 10 намечена на 7 августа, южнокорейская компания уже приступила к его производству. Однако уже ощущается влияние затянувшегося торгового спора между Южной Кореей и Японией, поскольку технологический гигант вынужден был замедлит...

Графические процессоры станут для Intel второй категорией продуктов по важности На технологической конференции Citi для инвесторов интересы Intel представлял корпоративный вице-президент Джейсон Гриб (Jason Grebe), который отвечает за сегмент «облачных» решений, но подобная специализация не мешала ему отвечать на широкий спектр вопросов. Когда присутств...

Intel отобрала у Samsung корону лидера на рынке полупроводников Нехорошие для пользователей события с ценами на память в 2017 и 2018 годах для компании Samsung обернулись удачей. Впервые с 1993 года компания Intel потеряла корону лидера рынка полупроводников. Как в 2017, так и в 2018 году южнокорейский электронный гигант возглавил список...

Будущие iPhone могут получить 5G-модемы не только Qualcomm, но и Samsung Apple может рассматривать компанию Samsung в качестве одного из поставщиков 5G-модемов для своих будущих iPhone, приводит слова одного из ведущих отраслевых аналитиков ресурс 9to5Mac. Как известно, недавно компании Apple и Qualcomm объявили о прекращении всех судебных разбир...

Для TSMC минувший квартал оказался рекордным Компания TSMC, являющаяся крупнейшим в мире контрактным производителем полупроводниковой продукции, отчиталась за третий квартал текущего года. Отчетный период принес ей 9,47 млрд долларов. Это больше прогнозируемого показателя 9,1-9,2 млрд долларов. Более того, это ре...

Доля Samsung на рынке OLED упала до 81%, а BOE увеличила долю до 11% В индустрии по производству дисплеев AMOLED доминирует южнокорейский гигант Samsung. Тем не менее, некоторые китайские производители стараются нарастить темпы производства, чтобы составить конкуренцию Samsung. Пока что серьезной конкуренции нет, однако результаты компа...

Тайваньский партнёр Huawei: поставки смартфонов компании уже пережили худшие времена Худший момент для поставок телефонов Huawei миновал, и у китайского поставщика всё ещё есть шанс приблизиться к заявленной прежде цели в 270 миллионов проданных за 2019 год единиц. По крайней мере, так считает Xunwei Technologies — тайваньский партнёр известного китайского п...

IDC: Apple номер один. Xiaomi вторая Согласно последним статистическим данным, опубликованным аналитиками IDC, в первом квартале нынешнего года Xiaomi сумела поставить 6,6 млн носимых гаджетов, заняв 13,3 % доли рынка. Титул же производителя номер №1 в этом сегменте по-прежнему удерживает Apple, контролирующая ...

Неприятная неожиданность. LG подводят не только смартфоны, но и телевизоры Как и ожидалось, второй квартал 2019 года для компании LG оказался непростым. Суммарный оборот составил 13,40 миллиарда долларов, при этом он вырос на 4,1% по сравнению с аналогичным периодом прошлого года. Чистая прибыль составила 559,4 миллиона долларов, что оказалос...

TSMC готова к оживлению криптовалютного рынка: мощностей для заказов хватит всем Когда на квартальной отчётной конференции TSMC дело дошло до составления прогнозов на весь 2019 год, руководство исходило из ожиданий заметного роста выручки во втором полугодии. Уже в третьем квартале объём чистой выручки компании должен в последовательном сравнении увеличи...

После выхода AMD Ryzen 3000 компания Intel вряд ли прибегнет к снижению цен Прежде чем закрыться от внешнего мира барьером платной подписки, сайт DigiTimes в конце прошлого месяца сообщил, что компания Intel может снизить цены на свои настольные процессоры на 10–15 %. По мнению источника, такой шаг позволил бы Intel более эффективно противостоять эк...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Huawei Mate 30 идет на рекорд Недавно компания Huawei выпустила свои флагманские смартфоны Huawei Mate 30, которые оказались очень популярными на внутреннем рынке Китая. Смартфон вышел в сентябре, а в октябре производитель подтвердил, что компании удалось реализовать более миллиона устройств линейки...

Японский рынок ПК за год вырос на 55% Мировые поставки ПК в третьем квартале 2019 года выросли на 1,1% по сравнению с тем же периодом 2018 года. Всего с июля по сентябрь было отгружено 68 миллионов компьютеров. Такие данные содержатся в отчете, подготовленном специалистами аналитической компании Gartner. П...

Kaby Lake-G — всё: единственные совместные процессоры Intel и AMD уходят в историю В первом квартале 2018 года мобильные процессоры Intel Kaby Lake-G шокировали своим появлением тех, кто вырос на песнях Игоря Николаева, посвящённых физиологической несовместимости представителей разных биологических видов. Эти мобильные процессоры сочетали вычислительные яд...

Свежая информация о продуктах Google на 2019 год Буквально вчера в сети появилась новая информация о планах Google на 2019 год, в котрой, впрочем, не раскрываются технические подробности, касающиеся конкретных устройств. В прошлом году смартфон среднего уровня Pixel 3XL от Google, пожалуй, стал самым слабым секретом компан...

Apple Watch Series 6 получит экран microLED Компания Apple собирается внедрить перспективную технологию дисплеев microLED уже в следующем году. Первые на очереди — Apple Watch Series 6. Именно на смарт-часах будет «обкатана» новая технология, которая в обозримом будущем должна будет появиться и на iPhone. Об эт...

Apple выделит компании Corning еще 250 миллионов долларов Компания Apple заявила, что выплатит компании Corning 250 миллионов долларов. Средства будут взяты из фонда развития передового производственного в США, который располагает 5 млрд долларов. Corning поставляет защитное стекло для экранов смартфонов Apple iPhone и часов ...

В этом квартале снижение контрактных цен на DRAM замедлилось По данным исследовательского подразделения DRAMeXchange компании TrendForce, в текущем квартале средняя цена микросхем памяти DRAM продолжает снижаться, но медленнее, чем раньше. В настоящий момент оно оценивается в 5%. В то же время, общий объем торгов в октябре значит...

AMD была основана ровно 50 лет назад со стартовым капиталом в $50 тысяч Полупроводниковая индустрия довольно молода, и многим крупным компаниям в ней всего пару десятков лет. Но есть и ветераны, которые отмечают полувековые юбилеи. К таковым относится Intel (которая отпраздновала 50 лет со дня основания в прошлом году) и её многолетний конкурент...

Samsung и LG остановят конвейеры по сборке смартфонов в ... LG и Samsung сокращают производственные мощности по сборке мобильников в Южной Корее. Об этом сообщили аналитики, знакомые с ситуацией. По их данным, все идет к тому, что оба южнокорейских гиганта переместят производство смартфонов в страны третьего мира. В качестве основных...

Tesla закончила третий квартал с прибылью, акции компании идут вверх Сегодня Tesla отчиталась об итогах работы в третьем квартале текущего года, и они оказались очень позитивными: если аналитики Wall Street прогнозировали убытки в размере $0,15 на акцию, то в реальности случилась прибыль — $1,91 на акцию! Tesla Q3 results: - Shangh...

Huawei будет использовать модемы 5G MediaTek в своих бюджетных смартфонах Компания Huawei всеми силами пытается снизить зависимость от иностранных компонентов в своих мобильных (и не только) устройствах. Именно по этой причине компания форсировала выпуск операционной системы HarmonyOS, и по этой же самой причине собирается использовать модемы...

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

Выход Samsung Galaxy Fold откладывается на неопределенный срок В феврале Samsung удивила мир презентацией складного смартфона Galaxy Fold. С 26 апреля компания планировала начать продажи инновационного гаджета, но из-за появления сообщений о дефектах тестовых образцов, его запуск было решено отложить. Когда же производитель планирует пр...

CES: Intel представляет 10-нанометровый Ice Lake и «многоэтажный» Lakefield следующей крупной итерацией микропроцессоров Intel Core станет Ice Lake, а соответствующие продукты можно будет купить в очередной сезон рождественских распродаж. Как и ожидалось, корпорация Intel использовала презентацию на международной выставке потребительской электрон...

Intel от санкций против Китая пострадает сильнее, чем AMD Компания AMD после включения в санкционный список США двух совместных предприятий, в которых она обладает долей, вынуждена будет пересмотреть характер своего взаимодействия с китайскими партнёрами, которые с 2016 года получили право разрабатывать лицензионные клоны процессор...

Российские операторы связи получат СХД «Купол» СХД должна быть использована в ходе реализации требований 374-ФЗ. Обязательства по поставкам оборудования в рамках заключенных в 2019 году договоров уже исполнены более чем на 150 млн рублей, остальное оборудование будет поставлено в мае-июне 2019 года. При этом компания рас...

TSMC начнёт массовое производство 5-нм чипов в ближайшие месяцы Контрактный производитель полупроводников Taiwan Semiconductor Manufacturing Company (TSMC) близок к запуску 5-нанометрового конвейера, сообщает веб-издание China Times. Серийный выпуск микросхем по новой технологии он планирует наладить уже в первом квартале 2020 года. В...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

TCL наладит выпуск гибких OLED панелей в этом году Интерес производителей смартфонов к складному форм-фактору очень высок. В числе них — TCL, которая еще в начале года заявила о своих планах выпустить гибкий мобильник. И, возможно, даже не один.     Серьезный шаг к созданию складного смартфона уже сделан &md...

Когда ждать MacBook и iPad с OLED-экранами? На данный момент в линейке продуктов Apple есть лишь два типа устройств с OLED-матрицами — это iPhone и Apple Watch. Компания пока не внедрила экраны построенные на органических светодиодах в iPad и MacBook, однако эксперты уверены — это лишь вопрос времени. К п...

У Foxconn достаточно мощностей, чтобы производить iPhone для США за пределами Китая В связи с эскалацией торговой войны между Вашингтоном и Пекином возникли опасения, что производимые в Китае для рынка США смартфоны iPhone могут вырасти в цене из-за повышения пошлин. REUTERS/Tyrone Siu Но контрактный производитель Foxconn заверил, что этого не случится, так...

AMD потребуется полтора года, чтобы преодолеть планку в четверть процессорного рынка Первая реакция фондового рынка на публикацию компанией Intel результатов второго квартала была положительной, но постепенный анализ демонстрируемых тенденций привёл к осознанию не очень приятных моментов. Во-первых, прирост выручки на $300 млн во втором квартале был обусловл...

5G-смартфон ZTE выйдет в первой половине 2019 года Наступивший 2019 год должен стать началом эры 5G. Именно в этом году многие производители явят миру свои первые устройства с поддержкой сотовых сетей пятого поколения. Не останется в стороне и китайская компания ZTE, которая поделилась своими планами на 2019 год. В план...

Дочерние компании Xperi подали на Nvidia в суд за нарушение патентов Invensas Corporation и Tessera Advanced Technologies — дочерние предприятия компании Xperi, которая лицензирует интеллектуальную собственность, подали иск против Nvidia в окружной суд штата Делавэр, обвинив производителя графических процессоров в нарушении патенто...

Чипсеты для Ryzen 3000 готовит не только AMD, но и ASMedia Несмотря на то, что флагманский набор системной логики для будущих процессоров Ryzen 3000, основанных на архитектуре Zen 2, компания AMD разрабатывает самостоятельно, это вовсе не означает, что сотрудничество с ASMedia будет разорвано. Как сообщает DigiTimes со ссылкой на от...

20 лет назад вышел первый в мире GPU — GeForce 256 Два дня назад, 11 октября, исполнилось 20 лет с момента запуска на рынок видеокарты GeForce 256, которая, кроме прочего, стала первенцем семейства GeForce. Данный 3D-ускоритель был представлен 31 августа 1999 года, а в продажу поступил 11 октября того же года. Nvid...

Аналитика: ASML в 2019 году станет лидером рынка полупроводникового оборудования, обойдя Applied По данным The Information Network, впервые с 1990 года компания Applied Materials может потерять лидерство на рынке высокотехнологичного полупроводникового оборудования. «Applied Materials, которая в последние три года теряет свою долю на рынке оборудования для производства ...

Apple тоже страдает от дефицита процессоров Intel Разбор квартального отчёта Apple на страницах нашего сайта был достаточно подробным, но всегда остаются те нюансы, к которым хотелось бы вернуться. Редкий игрок рынка в последние кварталы не ссылался на дефицит процессоров Intel, и компания Apple не стала исключением. Конечн...

AMD: будущее — за чиплетами, не надо гнаться за нанометрами Исполнительный директор AMD Лиза Су (Lisa Su) на ежегодном собрании акционеров уже заявила, что передовые компоновочные решения вроде использования «чиплетов» станут одной из основ успеха компании в будущем. Технический директор Марк Пейпермастер (Mark Papermaster) в очередн...

Sharp готовится поставлять дисплеи OLED для iPhone Источники подтверждают, что компания Sharp наращивает производство панелей OLED, а также планирует стать одним из поставщиков экранов для новых смартфонов линейки iPhone. Ранее сообщалось, что компания Apple полностью перейдет на использование панелей OLED в смартфонах...

Мировой рынок чипов входит в крупнейший обвал за 10 лет С таким обновленным прогнозом выступили аналитики IHS Markit. Ранее компания прогнозировала умеренный рост продаж микросхем на 2,9% по итогам 2019 г.Резкое изменение полярности прогноза и глубины обвала рынка в компании связывают с итогами первых месяцев года, которые были н...

Samsung заключили сделку с производителями гибкого стекла. Его используют в Galaxy Fold 2? Galaxy Fold имеет все шансы стать лучше предшественника Первое складное устройство от Samsung в лице аппарата Samsung Galaxy Fold сложно назвать удачным. Ведь даже сам технологический гигант признал, что смартфон имеет ряд существенных недостатков. Однако южнокорейский новос...

Обойдемся без США. Глава Huawei о планах на будущее Давние проблемы между США и Китаем, которые затронули компанию Huawei, стали постоянной темой для обсуждения. Генеральный директор Huawei Рей Чжэнфэй (Rei Zhengfei) регулярно комментирует ситуацию, в которой оказалась компания после введения американских санкций. В одн...

Новая статья: Микроархитектура Zen 2: вот почему мы ждём Ryzen 3000 Через две недели с небольшим нас, по всей видимости, ожидает чудо. Такой вывод можно сделать, если обобщить все те предположения, которые высказывают пользователи в ожидании предстоящего анонса процессоров Ryzen третьего поколения. Но даже самые смелые высказывания о том, бу...

Bosch приступает к выпуску микросхем из карбида кремния Компания Bosch сообщила о запуске производства полупроводниковых изделий из карбида кремния (SiC), которые предназначены для электромобилей. По сравнению с кремниевыми аналогами, используемыми сегодня, они меньше, могут переключаться с большей скоростью и рассеивают гор...

Анонс процессора Kirin 985 ближе, чем ожидалось В конце прошлого года в сети появилась информация, что Huawei намерена в этом году выпустить два флагманских чипа. Помимо Kirin 990 компания пополнит ряд фирменных однокристальных систем платформой Kirin 985, которая должна стать разогнанной версией Kirin 980. А еще ест...

Квартальный отчёт Seagate: лучше, чем у Western Digital Квартальная выручка Seagate сократилась за год и за квартал до $2,7 млрд Прогноз выручки на первый квартал 2019 календарного года на уровне $2,3 млрд Квартальные поставки жёстких дисков почти на 25 % больше, чем у WDC Объёмы поставок жёстких дисков снижаются Как мы и предп...

HPE покупает компанию Cray Компания Hewlett Packard Enterprise (HPE) и компания Cray, специализирующаяся на выпуске суперкомпьютеров, объявили о заключении окончательного соглашения, в соответствии с которым HPE приобретет Cray. Покупатель заплатит 35 долларов за акцию, а общая стоимость сделки с...

Apple инвестировала $250 миллионов в производителя Gorilla Glass iPhone 11 Pro и iPhone 11 Pro Max Компания Apple давно работает с Corning, производителем качественных закалённых стёкол. Все iPhone, включая самый первый, выпущенный в 2007 году, оснащены стёклами этого производителя. Не стали исключением и новинки, также получившие защиту ...

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

Apple придумала, как снизить себестоимость iPhone Падение продаж iPhone, о котором Тим Кук предупредил инвесторов в преддверии отчетной конференции по итогам первого квартала 2019 финансового года, ударило по Apple даже сильнее, чем можно было себе представить. Об этом в своем докладе написал аналитик консалтинговой компан...

Huawei планирует потягаться с Samsung уже в этом году Недавно сообщалось, что китайский технический гигант Huawei поставил более 200 миллионов смартфонов с начала этого года, а общие поставки телефонов компании по итогам года могут составить 240-250 млн единиц. Основатель компании Huawei Рен Чжэнфэй (Ren Zhengfei) заявил в...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

AMD обещает выпустить Ryzen Threadripper с микроархитектурой Zen 2 в 2019 году В мартовской презентации для инвесторов компания AMD решила раскрыть дополнительные подробности о своих планах по выпуску новых поколений процессоров для десктопов, рабочих станций и ноутбуков. Среди ожидаемых в этом году новинок значатся не только десктопные Ryzen третьего ...

Huawei рвется на вершину рынка смартфонов Huawei планирует следующую ступеньку своего восхождения — поставить 250 млн смартфонов в 2019 году. В следующем году эта цифра должна составить 300 млн трубок. Амбиций и тщеславия Huawei не занимать. Словно и нет признаков того, что рынок стагнирует и продажи смар...

Первые подробности об Apple Watch Series 5: новая кнопка, но microLED в пролете Компания Japan Display будет поставлять OLED-экраны для новой модели Apple Watch 2019 года. Как пишет Reuters, это позволит Apple снизить зависимость от Samsung, которая на данный момент является единственным производителем таких экранов для iPhone. Но в то же время это озн...

Квартальный отчёт AMD: жизнь после криптовалютной лихорадки Нельзя сказать, что пресловутый «криптовалютный фактор» совершенно выпал из поля зрения тех, кто взялся разбирать сегодня свежий квартальный отчёт AMD, но его влияние во многих случаях оказалось выражено сильнее, чем ожидалось. С другой стороны, первый квартал этого года в с...

Huawei собирается выйти на рынок умных дисплеев Согласно представителям тайваньской цепочки поставок полупроводниковых изделий, компания Huawei стала больше интересоваться микросхемами для мультимедийных устройств и планирует сделать умные дисплеи вторым основным рынком после смартфонов. Источник отмечает, чт...

Накопительное обновление KB4493509 для Windows 10 вызывает проблемы при загрузке ПК Несмотря на то, что развертывание накопительного обновления в этом месяце изначально выглядело очень плавным, похоже, что после установки последних обновлений для Windows все больше устройств испытывают проблемы.Microsoft недавно признала ошибку в накопительном обновлении KB...

Samsung начала поставлять Apple гибкие матрицы Складные смартфоны только начали набирать обороты, но компания Samsung уже задумалась над тем, чтобы начать поставлять гибкие матрицы своим прямым конкурентам — Apple и Google. По данным издания ETNews, эти корпорации действительно могут быть заинтересованными в экран...

Поставки смартфонов во всем мире падают, но в Индии ... Согласно отчету аналитической компании Counterpoint Research, поставки смартфон в Индии в третьем квартале нынешнего года выросли. Спад мирового рынка мобильных устройств никак не сказывается на быстрорастущем локальном индийском рынке. В итоге за три предыдущих месяца рыно...

В чём процессоры Apple превосходят Intel В обозримом будущем все компьютеры Mac перейдут на процессоры собственного производства, полагает аналитик Минг Чи-Куо. Грандиозный переход должен состояться уже в 2020 году. По слухам, на чипы ARM сначала перейдут портативные решения — MacBook, MacBook Air и MacBook ...

Intel выходит из бизнеса модемов для смартфонов 5G, чипы iPhone 5G вообще не сделают Intel объявила о планах выхода из бизнеса модемов для смартфонов 5G, чтобы вместо этого сосредоточиться на возможностях модемов 4G и 5G в ПК, устройствах Интернета вещей и других устройствах, ориентированных на данные. Объявление было сделано через несколько часов после того...

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

OneWeb привлекла $1,25 млрд инвестиций для массового производства спутников В раунде финансирования, о котором было объявлено 18 марта 2019 года, участвовали SoftBank, Qualcomm, правительство Руанды, а также мексиканская группа компаний Grupo Salinas. В число других инвесторов также вошли Intelsat, Bharti Enterprises и Virgin Group."Этот раунд ...

Стало известно, у кого и когда Nvidia закажет выпуск первых GPU по технологии EUV По сообщению источника, компания Nvidia будет проектировать графические процессоры, выход которых запланирован на будущий год, в расчете на изготовление по 7-нанометровой литографии в жестком ультрафиолетовом диапазоне (EUV). Их выпуск будет заказан у компании Samsung. ...

На фоне подготовки к выпуску Ryzen 3000 производители материнских плат сетуют на проблемы Подготовка к выпуску настольных процессоров Ryzen 3000 (Matisse), основанных на микроархитектуре Zen 2, идёт полным ходом. Поэтому совершенно неудивительно, что в информационной среде появляется всё больше неофициальных подробностей об ожидаемых новинках. В преддверии анонса...

Huawei может остаться без фирменных чипов Kirin. ... Вчера показалось, что накал страстей вокруг Huawei должен немного поутихнуть и у компании есть передышка длинною в 90 дней для того чтобы попытаться найти выход из той ситуации, в которой она оказалась из-за санкций США. Но, проблемы продолжаются сыпаться на Huawei как из ро...

С какими проблемами может столкнуться Apple при разработке iPhone с 5G Один из главных трендов минувшей выставки MWC 2019 — это смартфоны с поддержкой сетей 5G. Если вам кажется, что это далекое будущее, то смеем вас заверить — это уже не так. Буквально несколько дней назад стало известно, что Южная Корея и США стали первыми страна...

Телевизоры в России в 2018 году стали немного доступнее Группа "М.Видео-Эльдорадо" подвела итоги развития рынка телевизоров в 2018 году и за последние шесть лет. Начиная с 2013 года, когда появился широкий спрос на телевизоры с поддержкой стандарта DVB-T2, россияне приобрели порядка 40 млн телевизоров на сумму около 700...

Samsung отложила выпуск Android 10 для своих смартфонов Android 10 для Galaxy S10 выйдет только в следующем году Обновления Android – это одна из немногих вещей, которые не имеют срока годности. Среди производителей смартфонов на базе этой операционки считается нормальным выпустить свежий апдейт через месяц, через квартал и даже ...

Рыночная стоимость кобот вырастет до 12,3 миллиарда долларов в 2025 году Доля рынка растет из-за потребности предприятий в автоматизации своих процессов, а также в следствие растущего дефицита рабочей силы на рынке труда.Коллаборативный робот (кобот) является более универсальным и гибким решением роботизации, чем традиционный промышленный робот. ...

Intel представил самый мощный процессор Core На мероприятии Kickoff в воскресенье, 26 мая, перед одной из главных выставок для производителей микроэлектроники потребительского сегмента — Computex, — компания Intel приоткрыла завесу тайны и рассказала, какой процессор будет флагманом линейки Core для настольных компьюте...

Поставщик камер Apple забил тревогу из-за слабых продаж iPhone Безусловно, Apple очень сильно зависит от сборщиков своих устройств — вспомнить только возникновение дефицита некоторых поколений iPhone из-за проблем с поставками комплектующих. Но поставщики, в свою очередь, также чувствительны ко всему, что происходит с «яблочной» ...

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

Умные часы от Google и другие носимые устройства могут скоро стать реальностью С приобретением мобильного подразделения HTC, Google надеется, что сможет разрабатывать и производить свои собственные смартфоны. Теперь компания из Mountain View также хочет заняться носимыми устройствами, о чем мы знали с прошлого года, сообщает ITbukva.com.Слухи о смарт-ч...

Поставки Mac снизились в первом квартале 2019 года на фоне мирового сокращения продаж ПК На фоне сокращения продаж ПК на мировом рынке на 4,6%, продажи Apple Mac также снизились на 2,5% в первом квартале 2019 года, согласно новым оценкам отгрузки ПК, предоставленным Gartner. По оценкам Apple, в этом квартале было продано 3,98 миллиона компьютеров Mac по сравнени...

Nissan готовится к массовому выпуску электрокаров и подключённых автомобилей Компания Nissan объявила о планах по переоборудованию своих заводов по всему миру в рамках подготовки к массовому производству электрифицированных, интеллектуальных и подключаемых автомобилей. Отмечается, что в эпоху электрификации и интеллектуальных систем автопроизводители...

Самый мощный суперкомпьютер мира будет использовать процессоры AMD с архитектурой, отличной от Zen 2 Компании AMD и Cray на этой неделе объявили, что к 2021 году запустят самую производительную суперкомпьютерную систему в мире, которая получила имя Frontier. Вполне ожидаемо, что заказчиком выступило Министерство энергетики США, хотя исполнительный директор AMD Лиза Су (Lisa...

Компания «Huawei» планирует полностью перейти на складные смартфоны с гибкими экранами Многие скептически относятся к складным смартфонам с гибкими экранами, но это только начало эпохи новых моделей. Некоторые производители уже решили, что именно гибкие смартфоны станут флагманскими моделями в компании. Такое заявление недавно сделала корпорация «Huawei», кото...

В чем заключаются главные препятствия для IoT в промышленности? Если в 2016 году 60% опрошенных компаний ожидали к 2020 году практического внедрения IoT у себя на производстве, то сейчас их доля сократилась до 50%, приводит "КоммерсантЪ" данные отчета.Кроме того, опрошенные заявили, что практическое применение таких технологий ...

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

Apple инвестирует в свой индийский бизнес 1 млрд долларов Компания Apple, согласно данным источника, намерена инвестировать в развитие своего бизнеса в Индии 1 млрд долларов. Конечно, делать это купертинский гигант будет через своих партнёров во главе с Foxconn. То есть деньги пойдут в первую очередь на развитие производств с...

Tesla сократит 3000 человек и сосредоточится на производстве Model 3 Tesla сокращает 7% своей рабочей силы. Компания сообщила об уменьшении численности персонала в письме всем сотрудникам, а также разместила информацию на своем веб-сайте. В электронном послании генеральный директор компании Илон Маск говорит, что основное внимание должно быт...

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

Аналитики Digitimes Research прогнозируют дальнейшее сокращение поставок однокристальных систем для смартфонов Аналитики Digitimes Research ожидают, что мировые поставки однокристальных систем для смартфонов в 2019 году сократятся. Это будет второй год сокращения подряд. Свой прогноз аналитики обосновывают ухудшением перспектив рынка из-за неопределенности в отношении затянувшег...

Apple начнёт тестовое производство наушников AirPods во Вьетнаме В прошлом месяце мы сообщали о том, что компания Apple собралась постепенно переносить производственные мощности за пределы Китая и для этих целей обратилась к своим поставщикам с просьбой просчитать последствия такого шага при условии переноса 15-30% мощностей. Если в...

Производство смартфонов в начале 2019 года сильно сократится Капитализация Apple находится на отметке в 711 млрд долларов. За последние несколько месяца Apple сильно обесценилась на фоне слабых прогнозов по продажам iPhone и постоянных слухов об очередных сокращениях производства. Можно подумать, что ситуация коснулась только Apple, ...

Только флагманы. OnePlus не созрела выпустить ... Некоторые поклонники OnePlus не перестают мечтать о том, что она выпустит смартфон среднего уровня. Несмотря на то, что компания не раз заявляла о том, что она намерена сконцентрироваться только на выпуске флагманов, фанаты надеются, что она пересмотрит свои планы и создаст ...

Еще больше Xiaomi Mi 9. Компании Foxconn в производстве нового флагмана помогает BYD Контракт на сборку флагманских смартфонов Xiaomi Mi 9 получил крупнейший китайский производитель компания Foxconn, на заводах которой выпускается огромное количество моделей популярных смартфонов. Однако спрос на Xiaomi Mi 9 оказался настолько большим, что Fox...

Завод Tesla Gigafactory 1 уже произвёл более 600 млн аккумуляторных батарей для электромобилей Как сообщает источник, завод Tesla Gigafactory 1, с которым у компании было немало проблем на момент постройки и запуска, сейчас превратился в один из самых главных активов Tesla. С момента запуска на Gigafactory 1 уже произведено более 600 млн аккумуляторных батарей д...

Samsung потребует от Apple выплатить штраф за то, что та заказывает мало дисплеев для iPhone Согласно материалу издания ET News, Samsung сильно раздосадована тем, что Apple не заказывает у нее достаточное количество дисплеев для iPhone. Теперь Samsung собирается наложить на «фруктовую компанию» штрафы за невыполнение этой квоты на заказ продукции, что может обернут...

Складной Huawei Mate X оказался заметно дешевле ожидаемого Во время анонса в феврале была объявлена цена Huawei Mate X и она оказалась шокирующе высокой — 2300 евро или 2600 долларов. Теперь китайские источники сообщают, что цена не превысит 2090 долларов в магазинах Китая.  Первая партия Huawei Mate X в размере 80 ...

По поставкам электрокаров в США у Tesla нет равных В мае руководитель компании Tesla Илон Маск призвал к жесточайшей экономии средств на развитие и производство. Показатели первого квартала оказались для компании провальными, если не катастрофическими. Из-за проблем с логистикой по доставке автомобилей Tesla в Европу и особе...

IDC пересмотрит свой отчет о поставках смартфонов ... На днях аналитическая компания IDC опубликовала отчет о поставках смартфонов в глобальном масштабе в период с января по март нынешнего года. Эксперты указали, что за три первых месяца 2019 года Xiaomi удалось поставить на рынок 25 млн трубок и спрос на ее продукты сократился...

Настольные 10-нм процессоры Intel могут вообще не увидеть свет В конце июля один из ведущих специалистов Intel по разработке центральных процессоров в интервью изданию Forbes заявил, что компания достаточно далеко продвинулась в разработке настольных 10-нм моделей. Следует признать, что пока о подобных процессорах не так много информаци...

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

AMD представила 7-нанометровые процессоры Epyc второго поколения (Rome): топовая 64-ядерная модель Epyc 7742 стоит всего $6950 Компания AMD серьезно теснит Intel на рынке настольных компьютеров, а сейчас нанесен очередной удар — на этот раз на серверном поприще. Компания официально представила процессоры Epyc второго поколения (семейство Rome), которые оказались ну очень дешевыми на фоне ...

LG Display существенно увеличит производство OLED для больших телевизоров Компания LG Display не смогла составить достойной конкуренции Samsung по выпуску небольших OLED для смартфонов, а снизившийся спрос на флагманские модели и вовсе грозит опустить доходы до предельно низкой отметки. Но в чём LG Display сильна, так это в производстве OLED для б...

Наконец-то. Струйная технология OLED находится на пороге серийного производства Технология производства дисплеев из органических светоизлучающих диодов (OLED) методом струйной печати должна войти в массовое производство в следующем году, а в период 2020 по 2024 год ожидается расширение соответствующих мощностей в 12 раз. Такими данными располагают ...

Apple увеличила объёмы производства iPhone, надеясь выгадать от санкций против Huawei Аналитики из компании Cowen повысили прогноз по объёмам производства и поставок Apple iPhone во втором квартале 2019 года. Если раньше предполагалось, что в период с апреля по июнь будет выпущено 39 млн «яблочных» смартфонов, то теперь ожидаемая цифра составила 40 млн штук. ...

Объём экспорта полупроводников из Южной Кореи восстановится в следующем году По сообщениям южнокорейских СМИ, поставки полупроводниковой продукции, являющейся ключевым элементом экспорта страны, восстановятся в следующем году. Это произойдёт вслед за восстановлением глобального спроса на полупроводники и приведёт к завершению этапа непрерывного сокра...

Коллаборация New Balance и Formlabs – скачок в производительности и кастомизации В 2017 году компания New Balance начала сотрудничать с Formlabs для создания производственной линии с использованием 3D-принтера, открывая для себя новые инновационные возможности в области внешнего дизайна с бесконечной свободой создания оптимизированных конструкций, перспе...

Торговая война между США и Китаем стимулировала спрос на жидкокристаллические панели большого размера По данным аналитической компании Digitimes Research, поставки жидкокристаллических панелей большого размера (9 дюймов по диагонали и более) тайваньскими производителями, исключая Sharp, всего за квартал выросли на 6,8% и во втором квартале 2019 года достигли 58,09 млн ш...

Глава Qualcomm рассказал, какие устройства будут после смартфонов Умные очки не заменят смартфоны полностью, но будут выполнять часть их функций Прямо сейчас рынок смартфонов претерпевает серьёзные изменения. Большинство пользователей больше не интересуют флагманские аппараты, и они покупают устройства среднего ценового сегмента, которые в...

Поставки ноутбуков всего за квартал выросли на 12% По подсчетам TrendForce, во втором квартале этого года поставки ноутбуков в мире выросли на 12,1% по сравнению с первым кварталом. Всего за квартал было отгружено 41,456 млн ноутбуков. Аналитики отмечают, что на увеличение поставок сработали три фактора. Во-первых, это ...

Intel может привлечь Samsung в качестве контрактного производителя своих дискретных GPU Раджа Кодури, возглавляющий проект Xe по созданию дискретных GPU в компании Intel, недавно посетил завод по производству кремниевых чипов компании Samsung Electronics. Напомним, буквально на днях Samsung заявила о грядущем запуске производства чипов по нормам 5-нанометрового...

Samsung увеличит цену своих мартфонов из-за новой упаковки Samsung Electronics может поднять цены на фирменные смартфоны в ближайшее время. Готовящееся повышение, вероятнее всего, затронет все аппараты вне зависимости от их текущей розничной цены. Это следует из материалов официального заявления компании, объявившей о намерении отк...

Intel опровергла слухи о возникших трудностях с производством 5G-модемов для Apple Несмотря на то, что в ряде стран коммерческие 5G-сети будут развёрнуты уже в этом году, Apple не спешит выпускать устройства, способные работать в сетях связи пятого поколения. Компания ждёт, когда соответствующие технологии получат широкое распространение. Аналогичную страт...

Apex Legends выйдет на смартфоны и планшеты Генеральный директор Electronic Arts Эндрю Уилсон (Andrew Wilson) заявил, что разработчики рассматривают целесообразность выпуска новой мегапопулярной игры Apex Legends на мобильные платформы iOS и Android, а также идею внедрения кроссплатформенного мультиплеера. Учитывая, ч...

IPhone производства Индии Apple сообщила, что начала экспортировать iPhone производства Индии на некоторые рынки в Европе.  В настоящее время Apple в основном производит старые айфоны, такие как iPhone 7, в Индии через своего производственного партнера Wistron. Некоторые аналитики говорят, что A...

В Naumen рассказали об итогах 2018 года и своем видении перспектив ИТ-рынка Цифровая трансформация, миграция сервисного подхода из ИТ в бизнес, а также роботизация рутинных процессов становятся все важнее для российских компаний. Компания Naumen провела пресс-конференцию, которая была в основном посвящена опыту реализованных в прошлом году проек...

Технологическая себестоимость 7-нм кристаллов Ryzen не превышает $15 Представители AMD в последнее время часто по своей риторике напоминают руководителей Intel в лучшие, с точки зрения литографии, годы. При малейшей возможности речь заходит о 7-нм технологии и её преимуществах, но руководителей AMD понять можно — долгие годы отсиживаясь на «в...

MediaTek проектирует 7-нм чип с поддержкой 5G Компания MediaTek в интервью ресурсу Android Authority сообщила о намерении представить в текущем году передовой мобильный процессор, при производстве которого будет применяться 7-нанометровая технология. Известно, что в продуктовом семействе MediaTek изделие расположится на...

Продажи Яндекс.Телефона завершены В ноябре прошлого года на суд публики был представлен Яндекс.Телефон. В качестве базовой модели был взят смартфон Arima Z2 от тайваньского ODM-производителя, который когда-то выпускал мобильники для Sony. Поскольку цена на смартфон была неадекватно высокой, а каких-то уника...

Китайский производитель отобрал у Samsung 11 % рынка гибких AMOLED С 2017 года, когда компания Samsung начала использовать в смартфонах гибкие (но тогда ещё не сгибающиеся) дисплеи AMOLED, ей принадлежал почти весь рынок подобных экранов. Точнее, если верить отчётам компании IHS Markit, то 96,5 % рынка гибких AMOLED. За прошедшее с тех пор ...

Tesla может войти в горнодобывающий бизнес ради ценных минералов для электрических батарей На ежегодном собрании акционеров компании генеральный директор Tesla Илон Маск заявил, что компания может заняться добычей полезных ископаемых, которые используются в батареях для электромобилей, если захочет расширить свою линейку продуктов и увеличить производство. Коммен...

Новая статья: Обзор процессора Intel Core i5-9400F: ненастоящий Coffee Lake Refresh Несмотря на явные трудности с производством 14-нм чипов в достаточных количествах, компания Intel продолжает планомерно расширять модельный ряд процессоров Core девятого поколения, известного под кодовым именем Coffee Lake Refresh. Правда, даётся ей это с переменным успехом....

Инсайдеры: беспроводная зарядка Apple AirPower уже запущена в производство По данным инсайдеров, Apple наконец начала производство беспроводной зарядки AirPower, которая была анонсирована ещё в сентябре 2017 года во время презентации iPhone X. Тогда компания обещала выпустить коврик в 2018 году, однако уже через несколько месяцев столкнулась с неко...

NVIDIA готовит загадочную видеокарту GeForce RTX T10-8 на базе флагманского GPU TU102 В очередную бета-версию популярной утилиты AIDA64, предназначенной для диагностики, тестирования и получения информации о системе, была добавлена информация о загадочном графическом ускорителе NVIDIA GeForce RTX T10-8, который ранее не был анонсирован и даже нигде не упомина...

Главной проблемой Tesla сейчас является не ограниченный спрос на электромобили Озвученная по итогам первого квартала статистика Tesla сформировала у многих инвесторов уверенность в том, что спрос на электромобили замедлил свой рост, а без прежних темпов реализации этого вида продукции у компании не так много шансов вернуться к безубыточности, воплотить...

Cyclone приходит на смену Swift (в Apple A7) Фил Шиллер назвал 64-битный процессор внутри Apple A7 “процессором настольного класса”, чуть позже ему возразил его коллега из Qualcomm, назвавший 64-битный процессор для мобильных устройств маркетинговым трюком (ему пришлось взять свои слова обратно), скандал – значит, App...

Samsung Display потратит на модернизацию производства 11 миллиардов долларов Компания столкнулась с перепроизводством ЖК-панелей из-за снижения спроса на телевизоры и смартфоны и переходом крупнейших заказчиков на OLED-панели. Компания Samsung Display до 2025 года планирует вложить в разработки технологий изготовления дисплеев и модернизацию произ...

AMD готовит шестиядерный Ryzen 5 3500X за 10 тысяч рублей Кажется, компания AMD действительно готовится порадовать своих поклонников ещё одним весьма привлекательным десктопным процессором семейства Ryzen 3000. Речь идёт о младшем шестиядернике Ryzen 5 3500X, страница про который появилась сегодня в каталоге китайского ритейлера JD...

Redmi не готова выпускать смартфон на Snapdragon 855 Китайская компания Redmi, которая с недавних пор стала отдельной компанией и больше не делает продукты под брендом Xiaomi, не планирует в ближайшем будущем выпускать смартфон на процессоре Qualcomm Snapdragon 855. Дело в том, что этот процессор стоит довольно больших денег и...

Целясь по Huawei, США ударили и по европейским производителям полупроводниковых изделий Источник утверждает, что европейские производители полупроводниковых изделий Infineon Technologies, AMS и STMicroelectronics пострадали от запрета США на поставку американской продукции китайской компании Huawei. Акции STMicroelectronics подешевели на 4%, Infineon &mda...

Надежный поставщик промышленного оборудования Разные сферы производства для эффективной и прибыльной работы в первую очередь требуют грамотной организации производственных линий. Профессиональное промышленное оборудование позволяет достигать больших результатов в работе и экономить время и соответственно деньги. Приобре...

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Тайваньские компании выводят производство модулей памяти из Китая Некоторые тайваньские производители модулей памяти срочно перемещают производственные линии с континентального Китая, чтобы защититься от новых тарифов на импорт китайской продукции в США. Как известно, повышение тарифов с 10% до 25%, то есть в два с половиной раза всту...

Аналитик: десятки миллионов геймеров скоро разочаруются в ПК Армия пользователей ПК, использующих свои системы для развлечений, в ближайшие несколько лет будет активно терять своих приверженцев. Ожидается, что за период до 2022 года порядка 20 миллионов геймеров по всему миру откажутся от использования ПК. Все они перейдут с компьютер...

Huawei уже сертифицировала свой первый телевизор, его производством займется BOE Поток новостей о телевизорах Huawei закономерно усох на фоне обострения конфронтации США и Китая по торговой сделке и включения Huawei в черный лист компаний, с которым североамериканским фирмам запрещено вести бизнес. Тем не менее, китайская компания не отказывается от...

Sony рассекретила планы по выпуску Android 10 для смартфонов Xperia Компания Sony объявила свои планы по выпуску обновлений до свежей операционной системы Android 10 для своих смартфонов Xperia.  Всего пока речь идёт о восьми моделях, для которых запланирован выпуск соответствующего апдейта. В первую очередь обновятся флагманский ...

SC19: Intel представила Ponte Vecchio — первый 7-нм GPU на архитектуре Xe, заточенный под HPC и ИИ Как и ожидалось, в рамках конференции SC19 компания Intel представила свой первый графический процессор на архитектуре Intel Xe HPC, который получил кодовое название Ponte Vecchio, в часть средневекового моста Понте-Веккьо в итальянской Флоренции. Компания Intel называет нов...

Прогноз CW: Что ждет Интернет вещей в 2019 году Журналисты издания собрали мнения экспертов о главных тенденциях, ожидаемых в сфере Интернета вещей, начиная от безопасности и заканчивая периферийными вычислениями и RPA Состояние рынка Интернета вещей уже далеко от взрыва, который наблюдался в 2017 году. Ситуация немног...

Google показала голосовой помощник Assistant следующего поколения Google Assistant — один из самых важных продуктов поискового гиганта на сегодняшний день. Во-первых, за подобными ИИ будущее, а во-вторых, он никак не привязан к операционной системе Android, то есть может позволить Google завоёвывать новые сегменты. Вчера на меро...

Ожидается, что к 2020 году Apple перейдет на линейку все OLED iPhone Apple заявляет, что Apple может отказаться от ЖК-дисплеев для своих телефонов iPhone 2020 в пользу линейки OLED. Из Wall Street Journal: Apple, скорее всего, вообще откажется от ЖК-дисплеев в линейке iPhone 2020 в пользу органических светодиодных дисплеев, которые обеспечива...

Компания LG Display в течение многих лет несла убытки, выпуская панели OLED для Apple Watch Компания LG Display рассматривает возможность вывода из эксплуатации одной из фабрик по выпуску панелей OLED. Фабрика E2 в южнокорейском городе Паджу работает с подложками 4,5G (730 х 920 мм). Изготавливаемые здесь панели OLED используются в смартфонах и умных часах. В...

Intel приносит извинения за задержки поставки процессоров Компания Intel уже не первый год имеет проблемы с поставками процессоров, которые вызваны дефицитом производственных мощностей. В августе компания убеждала, что кризис преодолён, и теперь самая активная работа ведётся по 10 нм технологии, но что-то пошло не так.

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Китайские разработчики процессоров пытаются снизить зависимость от США Майские заявления президента США о намерениях объявить бойкот китайскому гиганту Huawei вызвали обоснованные опасения местных производителей по поводу возможности выпускать компьютерное оборудование, основанное на американских компонентах. Сама Huawei недавно объявила, что с...

Квартальный отчёт Western Digital: хроники пикирующего бомбардировщика За год квартальная выручка WDC упала почти на 60 %. Выручка от флеш-продукции второй квартал превышает выручку от продаж HDD. Средняя цена реализации жёстких дисков снизилась до $62. С первого квартала 2019 календарного года компания сокращает выпуск кремниевых пласти...

Admatec представил 3D-принтер Admaflex 300 на Formnext 2019 Известный голландский производитель аддитивных машин Admatec на прошедшей во Франкфурте-на-Майне выставке Formnext 2019 продемонстрировал свой последний продукт - Admaflex 300 - открытую систему для крупногабаритной 3D-печати керамикой и металлом. С размером рабочей камеры 2...

TSMC планирует освоить выпуск 5-нм продукции во втором квартале 2020 года Руководство контрактного производителя полупроводниковой техники Taiwan Semiconductor Manufacturing Company на последнем квартальном отчете заявило, что компания готовится к массовому выпуску 5-нм продукции (N5) во втором квартале 2020 года. Ранее TSMC уже пообещала внедрить...

По оценке TrendForce, доля TSMC на рынке полупроводникового производства в этом квартале составит 48,1% Согласно последнему отчету TrendForce, темпы развития передовых производственных процессов падают из-за ослабления спроса на большинстве конечных рынков, включая смартфоны. Отрасль столкнулась с серьезной проблемой. Ожидается, что в текущем квартале продажи сократятся д...

Google подтверждает, что появятся больше планшетов Pixel Рынок Android-планшетов когда-то был гораздо более богатым, чем рынок iPad, но когда потребители переключили свое внимание на смартфоны с большими дисплеями, многие компании решили сократить свой портфель планшетов или вообще отказаться от него, сообщает ITbukva.com.Google п...

Huawei увеличит портфолио чипов сразу двумя ... До конца нынешнего года Huawei планирует представить две фирменные однокристальные системы. По инсайдерской информации, одна из них — Kirin 985 и дебютирует она в семействе Huawei Mate 30. Это будет первый чип, произведенный с использованием 7-нанометрового техпроцесс...

Американо-британская фирма IQE заключает новые сделки благодаря торговой войне между США и Китаем Бизнес американо-британской компании IQE Plc, занимающейся выпуском полупроводников для чипов, используемых в продуктах Apple, сильно пострадал из-за торговой войны между США и Китаем. В прошлом месяце компания предупредила инвесторов, что выручка в 2019 году будет ниже прог...

Илон Маск планирует запустить на орбиту прототип Starship в течение полугода Глава частной аэрокосмической компании SpaceX Илон Маск провёл на испытательном полигоне SpaceX вблизи местечка Бока Чика (штат Техас) мероприятие, приуроченное к годовщине первого успешного запуска компании — в 2008 году была отправлена в космос ракета Falcon 1. Участникам ...

Самая передовая мобильная платформа теперь не у Qualcomm, а у Mediatek. Представлена SoC MediaTek Helio M70 5G Только вчера компания Arm анонсировала новый процессор Arm Cortex-A77 и новый GPU Arm Mali-G77, а сегодня о создании однокристальной системы с этим набором компонентов заявила MediaTek. Новая SoC тайваньской компании называется MediaTek Helio M70 5G, и в ее обозначении ...

Российские ИБП – на экспорт История компании "Парус электро" неразрывно связана с развитием компании "Связь инжиниринг", которая работает на рынке с 1997 года и известна в первую очередь как производитель систем постоянного тока для телекоммуникаций. С 2011 года "Парус электро...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Samsung работает над новым чипом Exynos 9630 для смартфонов среднего уровня По сообщениям сетевых источников, следующей однокристальной системой среднего уровня южнокорейской компании Samsung станет Exynos 9630, которая в настоящее время находится на этапе разработки. Предположительно этот чип должен появиться в смартфонах Galaxy A51 и Galaxy A71. В...

В iPhone 2020 года могут появиться гибкие OLED-дисплеи LG Компания LG готовится к запуску производства гибких OLED-панелей, которое должно начаться уже в этом году в Южной Корее. По сообщениям сетевых источников, компания Apple заинтересована в сделке с южнокорейским производителем. В случае заключения партнёрского соглашения между...

Intel меняется: 18-ядерный Core i9-10980XE будет стоить дешевле $1000 Компания Intel готовится представить очередное поколение процессоров Core-X для платформы LGA 2066 уже на следующей неделе. Как стало известно из публикации сайта Videocardz.com, микропроцессорный гигант представит новое семейство HEDT-процессоров Cascade Lake-X уже 7 октябр...

Apple хочет выкупить у Intel модемный бизнес за 1 миллиард долларов Из-за судебных разбирательств с одним из крупнейших производителей процессоров — Qualcomm, Apple может не только отказаться от использования LTE-чипов этой компании в своих продуктах, но и даже ведет переговоры с Intel о покупке модемного производства. По слухам, стои...

В этом году HiSilicon может сместить MediaTek с позиции крупнейшего азиатского разработчика микросхем HiSilicon, дочерняя компания Huawei, специализирующаяся на разработке микросхем, может в текущем году стать крупнейшим азиатским поставщиком этой продукции, сместив с позиции лидера тайваньскую компанию MediaTek. Этот прогноз основан на планах китайской компании, предус...

Снова ходят слухи: Intel может купить AMD Наверное, сложно назвать более упорную борьбу, чем между Intel и AMD. И вот теперь, когда слухи о поглощении одной компании другой снова набирают силу, нам остается только разжигать дискуссию. CES завершилась и внезапное отсутствие генерального директора Intel, наряду с поз...

OLED плюс QLED. Samsung намерена в ближайшее время начать производство панелей QD-OLED На рынке телевизоров компания Samsung сделала ставку на технологию QLED, которая подразумевает использование обычных ЖК-панелей, но с дополнительным слоем из квантовых точек. В прошлом году корейский гигант представил технологию, объединяющую OLED и QLED, хотя подробно...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Спрос на игровые модели ноутбуков растет быстрее рынка Мощные модели для любителей PC-игр являются драйвером всей категории ноутбуков, указано в отчете, а их доля в общих продаж увеличилась в штуках до 8% и до 15% в деньгах. Позитивной динамике способствует общий рост популярности гейминга и развитие киберспорта, а также снижени...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

Рост продаж OCP-оборудования для дата-центров превзошел ожидания Платформа Open Compute Project создавалась социальной сетью Facebook для объединения усилий организаций, заинтересованных в совместном проектировании и свободном распространении решений для центров обработки данных – по аналогии с открытым исходным кодом для программн...

Новая статья: Обзор процессоров AMD Athlon 240GE, 220GE и 200GE: в нужном месте в нужное время Недавнее знакомство с процессорами Ryzen 5 2500X и Ryzen 3 2300X показало, что компания AMD считает необходимым усилить собственный модельный ряд крепкими недорогими предложениями, которые могли бы привлечь покупателей в эпоху дефицита доступных процессоров конкурента. И так...

Не Samsung, и не LG: в Huawei Mate 30 будут использоваться экраны OLED производства BOE Когда речь заходит об экранах для смартфонов топового уровня, то в числе ключевых поставщиков, как правило, значатся две корейские компании — Samsung Display или LG Display. Но в последнее время ситуация стала меняться — теперь все чаще среди поставщиков ком...

Tesla и Panasonic заморозили планы по расширению производственных мощностей Gigafactory 1 Как сообщает источник, компании Tesla и Panasonic заморозили планы относительно расширения производственных мощностей по выпуску автомобильных аккумуляторов завода Gigafactory 1. Судя по всему, причиной являются ожидания аналитиков Wall Street касательно ослабления спро...

Ждет ли Apple судьба Nokia? Снижение собственного прогноза Apple по своим доходам за первый квартал 2019 года может иметь для компании куда более серьезные последствия, чем полагает большинство отраслевых экспертов. С таким заявлением выступил аналитик банковского холдинга Goldman Sachs Род Холл. По е...

DigiTimes: Huawei приостанавливает разработку ноутбуков Китайская компания Huawei, по сообщению ресурса DigiTimes, вынуждена пересмотреть планы по разработке и выпуску портативных компьютеров. Изображения Huawei Причина — давление на Huawei со стороны Соединённых Штатов. После того как Министерство торговли США включило Huawei в ...

Nvidia разделит производство 7-нм GPU между Samsung и TSMC О планах Nvidia наладить выпуск графических процессоров следующего поколения на мощностях Samsung Electronics стало известно более полугода назад. В начале месяца представители «зелёного» чипмейкера впервые начали открыто говорить о расширении партнёрства с южнок...

HiSilicon готовится стать крупнейшим поставщиком ... Импортозамещение — это та стратегия, которой следует Huawei и это должно помочь ей выжить при попытке перекрыть ей «кислород» с поставкой импортных комплектующих. За достаточно короткий срок китайцы научились мастерить собственные мобильные процессоры и мод...

Renesas приостановит выпуск микросхем из-за снижения спроса в Китае Компания Renesas Electronics, выпускающая, в частности, микросхемы для автомобильной электроники, планирует в этом году приостановить производство на шести заводах в Японии на срок до двух месяцев. Так производитель реагирует на дальнейшее снижение спроса на китайском р...

ASUS надеется продать 1 млн хромбуков в 2019 году Отраслевые источники утверждают, что тайваньский производитель электроники ASUS намерен продать в текущем году не менее миллиона хромбуков. Во многом, благодаря тесным взаимоотношениям с компанией Google и регулярным поставкам контрактных производителей Pegatron и Quanta Com...

Samsung развернёт новые производственные мощности в Индии Южнокорейский гигант Samsung, по сообщениям интернет-источников, намерен сформировать два новых предприятия в Индии, которые займутся производством компонентов для смартфонов. Фотографии Reuters В частности, подразделение Samsung Display намерено ввести в строй новый завод в...

Из-за финансовых проблем Apple придётся уменьшить темпы расширения штата сотрудников Компания Apple сейчас находится не в лучшем положении. Ситуация такова, что главе компании даже пришлось официально обратиться к инвесторам с заявлением о том, что финансовые результаты квартала будут значительно хуже, чем Apple прогнозировала. Мы уже не раз писали о п...

Сильнее всего от проблем Huawei на рынке смартфонов выиграет Samsung Аналитик Мин-Чи Куо (Ming-Chi Kuo), который специализируется на прогнозах отноистельно Apple, в своём новом отчёте затронул компанию Huawei и ситуацию, в которой та оказалась. В частности, аналитик считает, что падение продаж китайского гиганта «конвертируется&ra...

Пользователи не сильно заинтересованы в обновлении Windows 10 19H2 Почти конец сентября, и согласно типичному графику выпуска Microsoft Windows 10, это время, когда софтверный гигант программного обеспечения должен завершить разработку нового обновления функции для своей операционной системы.Однако, в отличие от предыдущих крупных обновлени...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Минувший квартал оказался для Nikon убыточным, продажи камер сократились на 22% Компания Nikon опубликовала отчет за минувший квартал. Поскольку у нее финансовый год начинается 1 апреля, это был его третий квартал. Отчетный период принес известному производителю фототехники и оборудования для полупроводникового производства доход 190,5 млрд иен и ...

Будущие поколения очков дополненной реальности получат дисплеи microLED После выхода очков Google Glass в 2013 году большинство автономных AR-гарнитур использовали и продолжают использовать технологию Liquid Crystal on Silicon (LCoS), известную как «жидкие кристаллы на кремнии». Но похоже, для таких устройств лучше подходят другие технологии по...

На мировом рынке ПК сменился лидер В отчете Gartner учтены настольные ПК, ноутбуки и ультрамобильные премиальные устройства типа Microsoft Surface. При этом не учитываются Chromebook и iPad. Данные носят предварительный характер и относятся к тем ПК, которые поставляются по каналам дистрибуции.По итогам 2018 ...

Hot Chips 31: детали об Intel Nervana NNP-T или TSMC в помощь На конференции Hot Chips 31 компания Intel раскрыла ряд важнейших характеристик семейства ускорителей нейронных сетей Nervana NNP-T для машинного обучения (тренировки). Ускорители Nervana NNP-T, что важно знать для дальнейшего понимания, Intel разрабатывает вместе с китайски...

Электронные блокноты станут новой точкой роста E Ink Как и многие другие большие и маленькие тайваньские компании, производитель «бумагоподобных» экранов компания E Ink Holdings отметилась стендом на выставке Computex 2019. К сожалению для компании и поклонников дисплеев E Ink, эра букридеров склонилась к закату. Новой точкой ...

Гостиничный бум подтолкнул мебельный спрос Строительство новых и реконструкция существующих гостиниц к мировому футбольному чемпионату, стимулировали продажи на рынке мебели для гостиниц и отелей. И даже после окончания чемпионата, выяснилось, что тенденция по возведению новых гостиниц и переоборудования уже существ...

В следующем квартале LG Display удвоит выпуск прозрачных дисплеев OLED Южнокорейская компания LG Display намерена использовать интерактивное сенсорное управление и другие передовые функции в своих коммерческих дисплеях, чтобы увеличить технологический отрыв от конкурентов на этом рынке. Об этом сообщил источник со ссылкой на слова представ...

Мнение: Зачем Samsung устраивает презентации раньше Apple На днях Samsung раскрыла дату презентации нового смартфона Galaxy — как сообщается в тизере производителя, мероприятие состоится 20 февраля в ходе мероприятия Unpacked в Сан-Франциско. Таким образом, южнокорейский гигант специально решил провести свою презентацию на м...

Рынок полупроводников столкнулся с четвёртым по величине падением продаж за последние 35 лет По данным организации World Semiconductor Trade Statistics (WSTS), отрасль по производству полупроводниковой продукции столкнулась с одним из самых существенных снижений объёмов поставок за последние 35 лет. Отмечается, что в марте 2019 года совокупная выручка производителей...

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

У Huawei новый рекорд и она обошла Apple по важному ... Розничный бизнес Huawei достиг нового рекорда — общий доход от продаж продуктов составил 108,5 млрд долларов США, что на 21% больше по сравнению с результатом прошлого года. Отчет с разбивкой по категориям товаров компания не приводит, поэтому сколько принесли в казну ...

Новый флагман Samsung под угрозой. Производство Galaxy Note10 пострадало из-за торговой войны Кореи с Японией Затянувшиеся торговые трения между Южной Кореей и Японией уже сказались на Samsung Electronics. Компания была вынуждена снизить темпы производства однокристальных систем для будущего флагманского смартфона Galaxy Note10. Об этом сообщило корейское издание The Inves...

За квартал доля AMD на рынке дискретных видеокарт выросла на 10 процентных пунктов Агентство Jon Peddie Research, отслеживающее состояние рынка дискретных видеокарт с 1981 года, в конце прошлого месяца составило отчёт по второму кварталу текущего года. За прошедший период было отгружено 7,4 млн дискретных видеокарт на общую сумму около $2 млрд. Несложно оп...

Почему превращение Windows 10 19H2 в Service Pack действительно имеет смысл Теперь, когда Microsoft дорабатывает обновление Windows 10 May 2019 Update, которое планируется выпустить для производственных устройств в конце этого месяца, инсайдеры готовятся к следующему функциональному обновлению для Windows 10, которое планируется выйти уже этой осень...

Аналитики: Huawei продолжает наращивать свою долю на ... Как сообщила аналитическая компания Strategy Analytics, в третьем календарном квартале 2019 года доля поставок смартфонов компании Samsung на мировом рынке выросла до 21,3% с 20,1%, зафиксированных за аналогичный период прошлого года. А всего южнокорейскому гиганту удалось о...

AMD довольна тенденцией роста средних цен на свои процессоры С появлением процессоров Ryzen первого поколения начала увеличиваться норма прибыли AMD, с коммерческой точки зрения последовательность их выхода была выбрана верно: сперва в продажу поступили более дорогие модели, и только потом на новую архитектуру перешли более доступные....

Какое будущее ждет универсальные приложения Microsoft Office на платформе Windows Core OS? Компания Microsoft продолжает продвигать платформу универсальных приложений Windows, в том числе подобные приложения Office на Windows 10. Функциональность у них не такая обширная, как у классических программ Win32, но для многих людей этого более чем достаточно. Они меньше ...

AMD обещает представить мобильные 7-нм процессоры Как уже отмечалось при разборе квартальной отчётной конференции AMD, главе компании не удалось уйти от ответа на вопрос о сроках доступности 7-нм графических процессоров с более высоким быстродействием, и Лиза Су (Lisa Su) просто заверила публику, что такие продукты в семейс...

Очередная революция AMD. Следующее поколение CPU Epyc может получить способность выполнять до четырёх потоков на ядро Как известно, в следующем году нас ждут процессоры AMD с архитектурой Zen 3. Компания уже завершила её разработку, новый техпроцесс там использоваться не будет, так что никаких задержек не ожидается. Однако новые CPU, которые будут использовать Zen 3, могут оказаться ку...

Смартфон Galaxy Note10 первым получит 7-нанометровую SoC Samsung В сети появились новые подробности о различиях флагманских смартфонов серии Galaxy S10 и Galaxy Note10, чей выпуск ожидается ближе к осени.  По данным китайских источников, в основу Galaxy Note10 ляжет не Exynos 9820, а более быстрая и совершенная SoC. Платформа E...

BOE планирует за год утроить выпуск гибких дисплеев OLED Китайская компания BOE планирует значительно увеличить производство экранов OLED для смартфонов и расширить область применения экранов OLED за счет устройств интернета вещей, оборудования розничной торговли, транспортных средств и других перспективных направлений. ...

Будущие iPhone обзаведутся дисплеями microLED Вот уже который год в Сети циркулируют слухи о том, что Apple работает над новой перспективной технологией — экранами на основе microLED. Для этих целей Apple даже открыла секретный завод в Калифорнии, где компания тайным образом разрабатывает и тестирует дисплеи ново...

Huawei Mate 30 может стать первым смартфоном с процессором Kirin 985 Первым смартфоном Huawei на основе фирменного флагманского процессора следующего поколения HiliSilicon Kirin 985, вероятнее всего, станет модель Mate 30. По крайней мере, об этом сообщают веб-источники. Reuters По уточнённым данным, чип Kirin 985 дебютирует в третьем квартал...

Canalys: доля Samsung на рынке Европы составила 40,6% Как сообщила аналитическая компания Canalys, Samsung удается удерживать свои лидерские позиции на смартфонном рынке Европы. Специалисты подсчитали, что 40,6% мобильных устройств, поставленных на территорию европейских стран во втором квартале нынешнего года, пришлось на аппа...

Обзор рынка видеокарт по данным Steam на март 2019 В настоящее время на рынке графических процессоров наблюдается ряд интересных тенденций. NVIDIA продолжает попытки убедить геймеров, что трассировка лучей — это инновация, которая им несомненно необходима, а потому видеокарты поколения Turing — достойное вложение денег, несм...

Новости Android: Бунтарство Huawei и интересная информация от Google Как обычно, в конце недели мы предлагаем вам подборку самых интересных новостей из мира Android за прошедшие дни. Сегодня об очередном разбирательстве между Samsung и Apple, новом процессоре от Huawei и готовящемся Note 10. Samsung и APple снова что-то не поделили Согласно...

Спад на рынке SSD сменился ростом Рынок твердотельных накопителей в течение первых трех месяцев текущего года сократился на 8,4% в квартальном выражении. Всего было отгружено 52,8 млн устройств. Однако во втором квартале рынок вернулся к росту. За квартал было отгружено 57,9 млн накопителей, то есть рос...

Tesla договаривается с китайской компанией Lishen о поставке аккумуляторов Компания Tesla подтвердила, что получила от китайской компании Lishen предложение на поставку аккумуляторных батарей для новой шанхайской фабрики Tesla по производству электромобилей. Ранее агентство Reuters сообщило, ссылаясь на два источника, непосредственно знакомых ...

В 2020 году Китай захватит до 70 % глобального рынка смартфонов с 5G Технологии 5G стали началом очередного мощного скачка в телекоммуникационной отрасли, поэтому многие производители стараются успеть занять место на этом рынке. Лидирующую позицию в этом направлении уже в следующем году может занять китайский рынок. По мнению тайваньской комп...

MediaTek предположительно готовит флагманский процессор для игр Helio G90 Только вчера состоялся анонс улучшенной версии процессора Snapdragon 855 Plus, как сегодня стало известно, что похожий чип, с акцентом на игровых возможностях, готовит компания MediaTek. Как вы можете знать, раньше именно слабый видеоускоритель был главной проблемой систем ...

Helio P90 оказался производительнее Snapdragon 670 Две недели назад чипмейкер MediaTek представил очередное свое творение — Helio P90. В связи с отказом развивать линейку флагманских процессоров Helio X, этот чипсет стал самым мощным решением в модельном ряду тайваньской компании. Во время анонса MediaTek не стала гово...

Прогноз аналитиков IC Insights относительно поставок полупроводниковых изделий в 2018 году оказался точным В марте прошлого года специалисты аналитической компании IC Insights опубликовали прогноз, в котором было сказано, что за год впервые будет выпущено более 1 трлн полупроводниковых изделий. Этот прогноз включал интегральные микросхемы, оптоэлектронные приборы, датчики и ...

Поставки материнских плат Gigabyte падают и тянут за собой сокращение людей и расходов Сайт DigiTimes со ссылкой на рыночных наблюдателей сообщает, что компания Gigabyte Technology в первой половине 2019 года планирует сократить расходы на производство и маркетинг. Увольнению могут подвергнуться от 5 % до 10 % работников компании. Официально Gigabyte не комме...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

Представлены новые процессоры для смартфонов Новые чипы Snapdragon 665 и 730 должны прийти на замену SD660 и SD710, соответственно. Производитель позиционирует их как upper mid-range, то есть верх среднебюджетного сегмента. Однако в общей линейке «родственников» и по цене и по возможностям они отстоят достаточно далеко...

Apple хочет вывести на рынок собственные модемы 5G в 2021 году На днях Apple сделала важный шаг на пути к увеличению доли собственных чипов в смартфонах: компания выкупила бо́льшую часть модемного бизнеса Intel за сумму в $1 млрд. В соответствии с соглашением, 2200 сотрудников Intel перейдут в Apple; последняя также получит интеллектуал...

LG нескоро развернёт обновление Android Pie для своих смартфонов В прошлом году LG создала подразделение Software Upgrade и заявила, что будет инвестировать значительные ресурсы в расширение периода актуальности своих смартфонов с помощью выпуска постоянных и стабильных обновлений. Многие надеялись, что это внимание к программном...

Kaby Lake: Если “тик-так” не получается… Время от времени законы мироздания предоставляют нам случай узнать много нового о себе и о самих этих законах. Перед такими случаями, как правило, у тех кто на передовом крае науки и техники, возникает иллюзия всезнания. Они уверенно планируют достижения, рисуют стрелки на...

BCN3D и оптимизация производства Производство индивидуальных стелек для обуви от компании Zoles Компания Zoles производит индивидуальные стельки по всей Европе, используя 3D-принтеры BCN3D, которые позволяют ускорить весь процесс и сэкономить до 80% от общих производственных затрат с каждой пары. Zoles пре...

Французы предложили недорогую технологию производства экранов MicroLED любого размера Предполагается, что экраны с использованием технологии MicroLED станут следующим этапом развития дисплеев во всех проявлениях: от маленьких экранов для носимой электроники до больших телевизионных панелей. В отличие от LCD и даже OLED экраны MicroLED обещают лучшие разрешени...

Сгибающиеся экраны для iPhone 2020 будет поставлять LG В связи с тем, что в этом году LG готовиться начать производство своих гибких OLED-панелей в Корее, компания Apple заинтересована в заключении договора о поставках таких экранов для новых iPhone, о чем сообщают корейские СМИ. Осведомленные информаторы утверждают, что Ap...

Смартфоны в 2019 году сильно подорожают Не так давно на конференции Snapdragon Summit Qualcomm рассказывала о новых процессорах и технологии 5G, которую развивала еще с 1990-х годов. 5G может стать началом нового пути в развитии высоких технологий, разработчикам гаджетов для умного дома открываются новые возможно...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

Uber для машиностроителей На данный момент в рамках бета-тестирования сервиса на бирже размещены заказы общей стоимостью более 200 млн рублей. Новый сервис в онлайн-режиме позволяет разместить заявку на производство промышленной продукции и выбрать исполнителя на основе конкурентных предложений и рей...

LG будет заказывать OLED-экраны для смартфонов не у LG Display, а у BOE Китайский производитель дисплеев BOE постепенно завоевывает популярность на рынке OLED-дисплеев. Компания стала известна после того, как Huawei использовала ее дисплей для серии Huawei Mate 20. Есть также сообщения о том, что в моделях Huawei Mate X и P30 тоже использую...

Стало известно, когда выйдет 5G-смартфон Honor Все производители смартфонов в данный момент наперебой рассказывают о своих планах по выпуску мобильных устройств, которые смогут работать в сетях пятого поколения. Так, например, компания Oppo заявила, что именно она первой выпустит смартфон с поддержкой 5G, хотя не ф...

Поставщики Apple готовятся к массовому производству обновленных iPad и AirPods По сообщениям тайваньского сайта DigiTimes, компании в цепочке поставок Apple «готовятся к массовому производству» обновленных моделей iPad и AirPods. Фирмы по производству печатных плат Flexium Interconnect и Zhen Ding Technology готовятся к массовому производству устройст...

Аналитики ожидают более чем двукратное падение квартальной прибыли Samsung Electronics Как известно, еще недавно Samsung из квартала в квартал устанавливала все новые рекорды по выручке и прибыли благодаря уверенному росту объемов продаж полупроводниковой продукции и повышению цен на нее. Но в конце прошлого года Samsung столкнулась с финансовыми трудностями и...

В минувшем квартале выпуск смартфонов увеличился на 9,2% По подсчетам аналитиков TrendForce, объем мирового производства смартфонов в третьем квартале текущего года вырос на 9,2% по сравнению с предшествующим кврталом. На четвертый квартал аналитики прогнозирует незначительное снижение объемов выпуска этой продукции. Стремясь...

NVIDIA собирается агрессивно выдавливать AMD с рынка и готовит новые недорогие GPU Недавний выпуск графической карты GeForce GTX 1660 Ti отнюдь не перекрыл заготовленный компанией NVIDIA поток новинок, в которых используется новая архитектура Turing. Как сообщает информационное агентство Digitimes, NVIDIA в ближайшем будущем собирается выпустить ещё как ми...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)