Социальные сети Рунета
Четверг, 28 марта 2024

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

Intel готова к массовому производству энергонезависимой памяти MRAM Согласно отчету издания EETimes, компания Intel готова начать массовое производство магниторезистивной оперативной памяти SST-MRAM. Благодаря высокой стойкости и высокой прочности, STT-MRAM может стать будущей универсальной альтернативой традиционным DRAM и NAND. MRAM…

AMD анонсировала процессоры Ryzen Pro 3000-й серии Модельный ряд процессоров AMD Ryzen 3-го поколения сегодня пополнился решениями линейки Ryzen Pro, предназначенными для готовых компьютеров бизнес-класса. К примеру, их можно будет встретить в новых десктопах Lenovo ThinkCentre и HP EliteDesk. Всего...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Для новых iPhone уже готовы процессоры Компания TSMC (Taiwan Semiconductor Manufacturing) уже начала производство новых процессоров для смартфонов iPhone, чей анонс ожидается осенью. Об этом сообщило издание Bloomberg со ссылкой на осведомлённые источники.

Samsung готовит OLED-панели нового поколения для Galaxy S11 В следующем году компания Samsung должна представить революционную линейку Samsung Galaxy S11, в которую, если верить последней утечке модельных номеров, войдут три модели. Напомним, три грядущих смартфона проходят под модельными номерами Samsung SM-G981, SM-G986, SM-G9...

Официально: Японцы представят серийный электромобиль Honda Urban EV на Женевском автосалоне, производство и продажи стартуют до конца текущего года Японский автопроизводитель Honda впервые показал публике концепт электромобиля Honda Urban EV еще в 2017 году. В прошлом году на Женевском автосалоне компания заявила, что собирается запустить его в серийное производство до конца 2019 года. А сегодня представители Honda офиц...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Сокет LGA 4189 для процессоров Ice Lake-SP готов к производству О том, что серверные процессоры Ice Lake-SP перейдут на сокет LGA 4189, мы узнали ещё в прошлом году. Напомним, Xeon Scalable следующего поколения, согласно слухам и утечкам, получат восьмиканальный контроллер оперативной памяти, поддержку PCIe 4.0, а максимальное колич...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

Samsung разработала 10-нм DDR4 DRAM 3-го поколения Компания Samsung разработала новые микросхемы памяти 10-нм DDR4 DRAM третьего поколения без использования экстремальной ультрафиолетовой (EUV) литографии. Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого года. 10нм класс ( 1z-нм ) 8G...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

Президент Xiaomi заверил, что компания усердно работает над массовым производством продуктов со 100-ваттной зарядкой В марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт. Компания заявила, что данная технология позволит заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут, как показано...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Китайская компания BOE начала серийное производство micro-OLED панелей По сообщениям сетевых источников, китайская компания BOE в октябре этого года приступила к серийному производству панелей по технологии micro-OLED. Производство развёрнуто в городе Куньмин, который находится на территории провинции Юньнань. В сообщении говорится, что в насто...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Xiaomi запускает производство своего самого дорого смартфона Буквально несколько месяцев назад китайский гигант Xiaomi показал свой революционный Mi Mix Alpha с дисплеем, занимающим “180,6% передней панели”. Однако когда начнется массовое производство смартфона и когда он поступит в продажу было неясно. Сейчас же, по словам инсайдеров...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

TSMC верит в 7 нанометров Представители компании TSMC считают, что во второй половине 2019 года загрузка 7-нанометровой технологии возрастет. Дело в том, что некоторые крупные производители уже разрабатывают свои решения на данном технопроцессе и совсем скоро эти проекты запустят в производство. Учит...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Foxconn подтвердила предстоящий запуск массового производства iPhone в Индии Foxconn вскоре запустит массовое производство смартфонов iPhone в Индии. Об этом объявил глава компании Терри Гоу (Terry Gou), развеяв опасения по поводу того, что Foxconn предпочтёт Индии Китай, где она ведёт строительство новых производственных линий.

В России поставили на конвейер истребители Су-57 Глава Минпромторга сделал ещё одно заявление. На этот раз о серийном производстве истребителей пятого поколения Су-57. По его словам, один из авиационных заводов страны готов к этому.

Intel анонсировала свои первые массовые 10-нанометровые процессоры Ice Lake – они предназначены для ноутбуков Сегодня на специальном мероприятии в рамках выставки CES 2019 компания Intel официально анонсировала свои первые массовые CPU, произведенные по техпроцессу 10 нм. Правда, новинки именно анонсированы – массовое производство их стартует позже в этом году (вероятно, ...

Российская компания запустила серийное производство нейропроцессоров — конкурентов Nvidia Нейропроцессор НТЦ «Модуль» Российский научно-технический центр «Модуль» разработал новый нейропроцессор NM6408, причем создание чипа обошлось в 480 млн рублей. Сейчас он запущен в серийное производство. «Несколько тысяч процессоров уже у нас на складе, готовы к отгрузке, ...

Samsung начинает массовое производство первой флэш-памяти объемом 1 ТБ Компания Samsung объявила о начале массового производства первой флэш-памяти eUFS (embedded Universal Flash Storage) 2.1 емкостью 1 ТБ для мобильных устройств следующего поколения. ***

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

В России стартовало производство SSD GS Group с интерфейсом PCIe Центр разработки микроэлектроники в составе GS Group ― GS Nanotech ― приступил к производству первых в России твердотельных накопителей с интерфейсом PCIe и с поддержкой протокола NVMe. Разработка и производство новинок полностью локализованы в России в инновационном кластер...

Intel выпустила процессоры Core 10-ого поколения Компания Intel наконец-то запустила серийное производство 10 нм процессоров, правда пока лишь мобильных (архитектура ядра Sunny Cove). Новинки Core десятого поколения поддерживают графику Iris Plus 11-ого поколения и технологию Intel Deep Learning Boost (Intel DL Boost). ...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

В России появится новый универсальный процессор Baikal-M полностью готов к запуску в производство и уже совсем скоро может появиться в самых разных устройствах. Благодаря своим характеристикам, процессор будет интересен производителям рабочих станций, моноблоков, ноутбуков и компьютеров, а также серверов, планшетов и смар...

12 ядер и 24 потока при TDP в 65 Вт. Экономичный CPU Ryzen 9 3900 на подходе На днях AMD подтвердила, что новый флагман массовой платформы AM4 — Ryzen 9 3950X (16 ядер/32 потока, 3,5/4,7 ГГц) — и первые процессоры Ryzen Threadripper 3-го поколения выйдут в ноябре. Но еще в июле мы узнали о планах «красных» по расширению модельного ряда процессоров Ry...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

Производители ПК снова готовятся к нехватке процессоров Intel Производители ПК готовятся к повторению нехватки процессоров Intel, которая преследует рынок на протяжении нескольких кварталов с середины 2018 года. Ожидалось, что поставки улучшатся в этом квартале, но по последним сообщениям, поступающим из цепочки поставок, Intel не...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

Samsung будет выпускать для Intel процессоры Rocket Lake Не секрет, что компания Intel до сих пор полностью не справилась с дефицитом своих процессоров. В конце прошлого года Intel пришлось в связи с этой ситуацией отдать на аутсорсинг производство чипсетов — этим занялась TSMC. Также ходили слухи, что TSMC может начать...

Вслед за Ice Lake: Intel может скоро предсавить 10-нанометровые CPU Lakefield для бюджетных ультрабуков Intel выпустила процессоры Ice Lake всего 10 дней тому назад, но компания уже готовится представить следующее семейство мобильных 10-нанометровых CPU — Lakefield. В отличие от старших братьев, они будут предназначены для использования в доступных ультрабуках и уст...

16-дюймовый MacBook Pro будет использовать процессоры Intel 9-го поколения с поддержкой до 8 ядер 16-дюймовый MacBook Pro от Apple, по слухам, будет работать на процессорах 9-го поколения Coffee Lake Refresh от Intel в соответствии с 15-дюймовым MacBook Pro, выпущенным в мае. Если это точно, это означает, что 16-дюймовый MacBook Pro будет конфигурироваться с 8-ядерным п...

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

Apple запускает массовое производство iPad 7, сборка 16-дюймового MacBook Pro начнется в конце года Тайваньская компания Radiant Opto-Electronics, поставщик модулей подсветки для устройств Apple, раскрыла данные, о которых в американской компании предпочли бы молчать. Теперь, благодаря азиатской фирме, мы знаем, что массовое производство iPad нового поколения стартует...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Jetson Nano: $99 за маленький, но мощный компьютер NVIDIA CUDA-X для работы со всеми ИИ-моделями Маленький, но мощный компьютер для задач ИИ на базе CUDA-X™ обеспечивает производительность в 472 гигафлопс в сегодняшних приложениях для ИИ при энергопотреблении всего 5 Вт. Представленный на GPU Technology Conference генеральным директором NVIDIA Дженсеном Хуанго...

Подробности о процессорах AMD с архитектурой Zen 3 и Zen 4 На конференции Консультативного совета HPC-AI в Великобритании AMD обнародовала некоторые подробности о своих будущих архитектурах Zen 3 и Zen 4, а также установила временные рамки для процессоров следующего поколения Epyc Milan и Genoa. AMD собирается обновлять свою архит...

TSMC скоро начнет массовое производство 7-нм чипсета Snapdragon 855 Поскольку тайваньский производитель микросхем MediaTek уже вышел из рынка высокопроизводительных чипсетов, ожидается, что в этом сегменте будут доминировать флагманские телефоны, работающие на новейшем процессоре Snapdragon 855 компании Qualcomm. ***

США усомнились в возможностях России по производству новых истребителей Журналисты американского издания The Drive заявили, что постоянные попытки России найти покупателей истребителя пятого поколения Су-57 говорят о сложностях с самостоятельным серийным производством самолётов.

Apple уже начала производство процессоров A13 Уже начато производство процессоров для нового семейства iPhone, которые выйдут осенью этого года. Это однокристальная платформа Apple A13, выполненная по 7-нанометровому техпроцессу. Производством занимается компания TSMC (Taiwan Semiconductor Manufacturing). Пока нача...

Cooler Master выпускает универсальный держатель видеокарты ELV8 Cooler Master, мировой лидер в разработке и производстве инновационных компьютерных компонентов, представляет держатель универсальной видеокарты ELV8. Был разработан в сотрудничестве с известным производителем и разработчиком ПК TantricModz. ELV8 отличается элегантным диз...

Intel снимет с производства процессоры Skylake Компания Intel выпустила 6-е поколение процессоров Core Skylake в августе 2015 года. И вот, спустя 4 года, в Санта-Кларе решили прекратить их производство.

Запущено серийное производство первого сертифицированного отечественного SLM 3D-принтера Петербургская компания «Лазерные системы» первым из российских производителей получила сертификат происхождения СТ-1 и заключение Минпромторга о промышленном производстве промышленного 3D-принтера по технологии селективного лазерного наплавления (SLM) на территории России.По...

AMD: 16-ядерный Ryzen 9 3950X и первые процессоры Ryzen Threadripper 3-го поколения выйдут в ноябре На днях AMD назвала сроки выпуска следующих процессоров, которые имеют особое значение для компании и, вероятно, окажут, существенное влияние на рынок. Конечно же, речь о новом флагмане массовой платформы AMD AM4 — Ryzen 9 3950X (16 ядер/32 потока, 3,5/4,7 ГГц), и высокопрои...

CES 2019: Intel анонсировала шесть процессоров Coffee Lake и 10-нанометровые мобильные решения Ice Lake U Процессоры Coffee Lake появится в этом месяца, а производство Ice Lake U будет запущено позже.

10-нанометровый процессор Intel совершенно нового поколения впервые засветился в тесте Процессоры Intel Tiger Lake придут на смену поколению Ice Lake в следующем году. Первые CPU Tiger Lake появятся в мобильном сегменте — о настольном пока можно даже не упоминать. Несмотря на то, что поколение Ice Lake только начало появляться на рынке в готовых про...

Toyota представила электрический двухместный сити-кар Ultra-Compact BEV с запасом хода 100 км, серийная версия выйдет на рынок в 2020 году Японский автопроизводитель Toyota представил сразу шесть готовых к серийному производству электромобилей и более компактных электрических средств передвижения. Наибольший интерес представляет двухместный серийный электрический сити-кар с непритязательным названием Toyota Ult...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Samsung начинает производство модулей AiP для 5G mmWave В июле прошлого года компания Qualcomm представила первые в мире антенные модули (AiP) 5G NR mmWave и радиочастотные модули sub-6 ГГц для смартфонов и других мобильных устройств, а к октябрю специалисты Qualcomm смогли уменьшить антенные модули 5G NR mmWave на 25%. ...

В следующем поколении смартфонов Samsung будет 1 Тбайт памяти Компания Samsung запустила в массовое производство флеш-память Embedded Universal Flash Storage 2.1 (eUFS) на 1 Тбайт. Именно она будет использоваться во флагманских телефонах следующего поколения. Объем — не единственная технологическая особенность eUFS. Данная память пре...

«ЭЛТЕКС» запустила серийное производство Ethernet-коммутаторов агрегации 10G Компания «ЭЛТЕКС», разработчик и производитель телекоммуникационного и сетевого оборудования, запустила …

TSMC начнёт массовое производство 5-нм чипов в ближайшие месяцы Контрактный производитель полупроводников Taiwan Semiconductor Manufacturing Company (TSMC) близок к запуску 5-нанометрового конвейера, сообщает веб-издание China Times. Серийный выпуск микросхем по новой технологии он планирует наладить уже в первом квартале 2020 года. В...

У Adata готов модуль DDR4 DIMM обычной высоты объемом 32 ГБ В прошлом году компания Asus отклонилась от стандартов, чтобы увеличить емкость модулей памяти DDR4 DIMM, воспользовавшись возможностями чипсета Intel Z390. Она предложила модели Double Capacity DIMM или DC DIMM, которые отличались большим числом микросхем DRAM, для раз...

Lenovo показала прототип ноутбука с гибким экраном В рамках собственной выставки Accelerate компания Lenovo представила концепт складного ноутбука с линейки ThinkPad X1, который производитель планирует запустить в серийное производство в следующем году. В основе ноутбука лежит 13,3-дюймовый гибкий OLED-дисплей совместной...

Micron готова к выпуску 128-слойной памяти 3D NAND с технологией RG Micron Technology готова начать серийное производство новой 128-слойной памяти 3D NAND четвёртого поколения. В ней по-прежнему используется дизайн CUA (CMOS-under-array), а главной особенностью этой памяти стала новая технология Replacement Gate (RG). Подробнее об этом читай...

Наконец-то стартовало производство беспроводной зарядки Apple AirPower Apple анонсировала беспроводную зарядку AirPower в сентябре 2017 года, и с тех пор в Сети регулярно появлялись слухи о том, что выпуск зарядки откладывается. И тому называли массу причин. Но, судя по всему, все проблемы с AirPower уже решены, и зарядное устройство готов...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Intel начала отгружать 10-нанометровые процессоры Ice Lake производителям готовых устройств с опережением графика Во время подведения итогов работы во втором квартале текущего года представители Intel заявили, что компания уже начала отгружать 10-нанометровые процессоры Ice Lake производителям готовых устройств — ноутбуков. Причем случилось это еще во втором квартале — ...

Официально: Анонс серийного электромобиля Renault City K-ZE состоится через неделю на Шанхайском автосалоне, новинка должна получить запас хода 250 км и ценник $8000 Французский автопроизводитель Renault сообщил, что официальный анонс бюджетного серийного электромобиля Renault City K-ZE состоится через неделю, 16 апреля 2019 года, в рамках Шанхайского автосалона (Shanghai Motor Show). Новинка представляет собой серийную версию шоу-кара R...

Поддержка PCIe 4.0 дешево: в следующем году выйдут системные платы на чипсетах AMD B550 и A520 для процессоров Ryzen 3000 Ожидаемые многими процессоры AMD Ryzen 3000 сопровождены только одним новым чипсетом – AMD X570. Он раскрывает потенциал новых CPU целиком и полностью, но готовые решения на его базе стоят прилично: даже дешевые модели едва ли опустятся ниже планки в $200. Что дел...

Крупный производитель процессоров нанял 8 тыс человек для создания чипов новейшего поколения TSMC зачастую в последнее время появлялась в разговорах как компания, занимающаяся разработкой 5-нанометровой технологии для производства чипсетов. Но как стало известно буквально только что, производитель уже сейчас размышляет о технологии следующего поколения — 3-нанометро...

Ноутбук Dell XPS 13 получил процессоры Intel Core 10-го поколения (Ice Lake-U), а HP Envy X360 15, ASUS ZenBook Duo и ZenBook 15 — Core i7-10510U (Comet Lake-U) Производители мобильных ПК принялись переводить устройства на процессоры Intel нового поколения. Как известно, модельный ряд новейших мобильных процессоров Intel Core десятого поколения  представлен двумя линейками: 10-нанометровыми Ice Lake-U и 14-нанометровыми Comet Lake-U...

Samsung начала массовое производство оперативной памяти LPDDR4X на 12 Гбайт В прошлом месяце Samsung представила новые флагманы с объемом оперативной памяти 12 Гбайт. Сегодня компания объявила о старте массового производства оперативной памяти LPDDR4X объемом 12 Гбайт для премиальных смартфонов. Подробнее об этом читайте на THG.ru.

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

BOE готова начать массовое производство LCD-дисплеев со встроенным сканером отпечатков пальцев С момента появления экранного сканера отпечатков пальцев, производители смартфонов стали оснащать им свои флагманские устройства. Данная технология работает только с OLED-дисплеями, что значительно ограничивает возможности ее использования. Но вскоре ситуация может изменитьс...

Yangtze Memory начинает массовое производство 64-слойной памяти 3D NAND Китайская государственная полупроводниковая компания Yangtze Memory Technologies (YMTC), основанная в 2016 году, заявила о начале массового производства 64-слойной флэш-памяти.

Samsung начинает массовое производство накопителя eUFS 3.0 емкостью 512 Гб Компания Samsung Electronics объявила о начале массового производства встраиваемого накопителя Universal Flash …

TSMC запустит серийное производство по нормам 2 нм уже в 2024 году Компания TSMC сообщила о том, что она начала стадию исследований и разработок, связанных с 2-нанометровым технологическим процессом. TSMC первой на рынке сделала подобное заявление. В настоящее время конкретные детали процесса не разглашаются. Соответствующий завод рас...

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

SK Hynix приступает к массовому производству 128-слойной 4D NAND Компания SK Hynix начала массовое производство первой в мире 128-слойной памяти типа 4D NAND.

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Samsung выпустила шестое поколение флэш-памяти 3D V-NAND со 136 слоями Корпорация Samsung Electronics отчиталась об успешном запуске в производство 136-слойных микросхем флэш-памяти TLC 3D V-NAND шестого поколения. Говорится о массовом производстве 256-гигабитных (32 ГБ) чипов. Также до конца этого года с конвейера начнут...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Уже не концепт. Xiaomi запустила дорогущий Mi Mix Alpha в производство Компания Xiaomi представила недешевый смартфон Mi Mix Alpha несколько месяцев тому назад, тогда он носил статус концептуальной разработки, но с четко обозначенной рыночной перспективой. И вот как пишет инсайдер в Twitter, аппарат готов к серийному производству. Собстве...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Беспроводные наушники Apple AirPods 3 в новом дизайне красуются на фотографиях На этой неделе компания Apple представила смартфоны iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max, новое поколение фирменных умных часов Appe Watch и доступный iPad, но о следующем поколении AirPods компания не сказала ни слова. Однако слухи указывают на то, что бе...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

AMD представила новые процессоры Ryzen Pro и Athlon Pro для ноутбуков Компания AMD представила второе поколение мобильных процессоров Ryzen Pro и Athlon Pro для ноутбуков. Оба модельных ряда оснащаются графикой Radeon Vega.

Производство 16-дюймового MacBook Pro стартует в сентябре Новинка получит процессор Intel 9-го поколения и новую клавиатуру

AMD утверждает, что её следующие CPU Epyc будут лучше решений линейки Intel Ice Lake-SP по соотношению производительности на ватт Анонсированные недавно серверные процессоры AMD Epyc второго поколения прямых конкурентов в стане Intel по многим параметрам попросту не имеют. Ранее AMD заявляла, что разрабатывала такие CPU с прицелом на конкуренцию с 10-нанометровыми серверными процессорами Intel. Но...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Серийное производство китайского ответа Tesla начнётся в июле Китайский производитель электромобилей Byton, столкнувшийся с проблемами финансирования расширения производства и рядом кадровых перестановок в связи с уходом соучредителя и бывшего гендиректора Карстена Брайтфельда (Carsten Breitfeld), сообщил, что получил более 50 000 пред...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

AMD: в нынешнем поколении не будет гибридных процессоров с отдельным кристаллом GPU К сожалению, AMD пока что не готова предложить гибридный процессор с мощной графикой, которая была бы размещена на отдельном кристалле. Не исключено, что такие APU когда-то появятся, но не в ближайшем будущем. Те же гибридные процессоры на Zen 2 будут, скорее всего,...

Гибридные процессоры AMD Ryzen 4000 стали на шаг ближе к выходу Согласно дорожной карте AMD, опубликованной в Сети ранее, вслед за последней новинкой этого года, процессорами Threadripper 3000, в самом начале следующего года дебютируют мобильные гибридные процессоры Ryzen 4000. Судя по всему, у AMD все идет по плану, раз поддержка A...

Производители NAND внедряют 120/128-слойную память 3D NAND По информации DigiTimes многие производители стали ускорять переход своих производств на выпуск 120/128-слойной памяти 3D NAND, чтобы начать её массовое производство в 2020 году.

Российская частная космическая компания готова к серийному производству ракетных двигателей с 3D-печатными деталями Российская частная космическая компания «НСТР Ракетные Технологии» завершила цикл огневых испытаний и заявила о готовности перейти к серийному производству ракетных двигателей собственной разработки с напечатанными на 3D-принтере компонентами.Подробнее...

Intel “сворачивает” производство седьмого поколения процессоров Core В ближайшее время практически все процессоры семейства Kaby Lake “уйдут на пенсию” (Core i3, Core i5, Core i7, Pentium и Celeron). В список попали по-прежнему востребованные модификации, например, Core i7-7700K, Core i5-7600K, Pentium G4560, а также «ветераны»: ...

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

Nvidia разделит производство 7-нм GPU между Samsung и TSMC О планах Nvidia наладить выпуск графических процессоров следующего поколения на мощностях Samsung Electronics стало известно более полугода назад. В начале месяца представители «зелёного» чипмейкера впервые начали открыто говорить о расширении партнёрства с южнок...

Начало производства компьютерных материнских плат в России. производство Российских материнских плат формата MicroATX на архитектуре процессоров INTEL

Материнские платы от GIGABYTE на базе чипсетов X470 и B450 готовы к процессорам AMD Ryzen следующего поколения Владельцам материнских плат 300-й серии придётся немного подождать.

Advantech выпустила безвентиляторный компьютер MIC-770 на базе 8 поколения процессора Intel Компания Advantech, поставщик интеллектуальных систем и платформенных решений, объявила о запуске производства высокопроизводительного, компактного, безвентиляторного компьютера MIC-770, оборудованного новейшим процессором Intel Core i ...

Samsung сертифицировала инструменты для 5-нм производства Компания готовится к производству 5-нм процессоров

Умные часы Apple Watch с экраном microLED могут выйти в следующем году Источник сообщает, что компания Apple ведёт переговоры с тайваньским производителем дисплеев относительно поставок экранов microLED для будущих умных часов. Сейчас, напомним, Apple Watch используют экраны OLED производства LG. Массовое производство необходимого ...

Samsung инвестирует $11 млрд в производство дисплеев на ... Сегодня корпорация Samsung сделала официальное объявление о своих планах инвестировать $11 млрд (13,1 трлн вон) в разработку и производство дисплеев следующего поколения с квантовыми точками. Такое решение принято в попытке справиться с падением спроса на LCD-матрицы, растущ...

Массовое производство процессора Kirin 985 начнется в 3 квартале 2019 года Компания Huawei представила чипсет Kirin 980 на международной выставке технологий IFA 2018 в качестве первого 7-нм процессора в мире. ***

Следующее поколение смартфонов Samsung получит 1 ТБ встроенной памяти Компания Samsung Electronics начинает массовое производство первого в отрасли встроенного модуля флеш-памяти Embedded Universal Flash Storage 2.1 (eUFS) емкостью 1 ТБ, предназначенного для использования в мобильных устройствах следующего поколения.

Samsung начинает массовое производство 12 ГБ оперативной памяти LPDDR4X для смартфонов Компания Samsung уже представила смартфоны Galaxy S10+ и Galaxy Fold с 12 ГБ оперативной памяти в прошлом месяце. Сегодня она объявила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ.  ***

Comet Lake — десятое поколение процессоров Intel для новых MacBook Внутри процессора Intel Comet Lake Не все из чипов нового поколения уже объявлены. Это необычное поколение: выпускаются они по двум технологическим процессам (14 нм++ и 10 нм+), на двух микроархитектурах из разных эпох. Эта статья про 14-нм чипы Comet Lake. На мой взгляд, он...

Samsung планирует начать массовое производство 3-нм чипов в 2021 году Южнокорейская корпорация Samsung поделилась планами начать серийное производство 3-нм полупроводниковой продукции с новым типом транзисторов GAAFET (gate-all-around FET) в 2021 году. Технологию GAAFET разрабатывается Samsung и другими компаниями с начала 2000 годов. Она...

«Тизер Gigabyte Aorus о запуске AMD 27 мая» Утечка тизера от Gigabyte Aorus, похоже, подтверждает, что объявление, связанное с AMD, будет сделано 27 мая на открытии Computex. Это может быть процессоры Ryzen 3000 или чипсет X570. В тексте тизера написано «Сохранить дату» и «Следующее поколение встречает следующий урове...

Китайский производитель Haier открыл в Татарстане индустриальный парк по производству бытовой техники на базе Интернета вещей В Набережных Челнах открылся индустриальный парк для серийного производства бытовой электроники и завод стиральных машин китайского производителя Haier. В мероприятии приняли участие полпред Президента РФ в ПФО Игорь Комаров ...

Массовое производство чипа Kirin 985 от Huawei начнется во втором квартале 2019 года В прошлом году компании Hisilicon, которая принадлежит Huawei, удалось опередить всех своих конкурентов, выпустив первый в мире 7-нм процессор Kirin 980. ***

Процессоры Intel Coffee Lake Refresh перейдут на новый степпинг в мае Производители материнских плат уже готовы к этому.

До 10 ядер, поддержка Hyper-Threading даже у Pentium и новый разъем LGA1200. Какими будут новые 14-нм настольные процессоры Intel 10-го поколения (Comet Lake-S) В минувшие выходные ресурс WCCFTech опубликовал большую порцию слайдов Intel, раскрывающих массу технических подробностей о будущем обновлении массовой настольной платформы. Речь о грядущем семействе 14-нм процессоров Comet Lake-S, которые выйдут в первой половине следующего...

Раскрыты ключевые характеристики смартфона Samsung Galaxy A51 В начале этого года компания Samsung выпустила смартфон Galaxy A50. В августе появилась обновлённая версия Galaxy A50s. Теперь же компания готова перейти к использованию следующего номера в названии модели. В базе данных Geekbench зафиксированы результаты тестирования смартф...

Intel готова начать массовое производство память MRAM Компания Intel показала, что она может вывести на рынок совершенно новый продукт. Накопители Optane уже достаточно распространены, хотя речи о замене обычных SSD, конечно, не идёт. Как сообщает источник, Intel уже готова к массовому производству ещё одного нового проду...

Renault Kangoo Z.E. Concept демонстрирует, как будет выглядеть серийный электрофургон 2020 модельного года Компания Renault обновила линейку коммерческих автомобилей (LCV, Light Commercial Vehicles), представив модели MASTER, TRAFIC, ALASKAN и Kangoo Z.E. Concept. Естественно, в первую очередь нас интересует концепт электрического Kangoo Z.E., который задает направление развития ...

Intel заявила, что готова к производству встраиваемой MRAM Новое не за горами.

Российский Aliexpress станет «автосалоном» Помимо модельного ряда Chery Tiggo, на страничке продавца будут размещены комплектующие и фирменные аксессуары. Открытие новой локальной категории на Aliexpress приурочено к крупной распродаже в конце марта. За оставшееся до нее время аналитики площадки планируют оценить спр...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

Процессор Intel Core i9-10980XE демонстрирует характеристики на снимке экрана CPU-Z Это ещё инженерный образец, но серийные процессоры появятся уже в следующем месяце.

Все проблемы позади. Электромобиль Faraday Future FF91 готов к массовому производству Изначально компания Faraday Future планировала выпустить свой первый полностью электрический автомобиль в 2017 году, а позже расширить модельный ряд еще несколькими впечатляющими автомобилями. Однако в итоге компании пришлось столкнуться с проблемами, с отсутствием фина...

[Перевод] Samsung SSD 860 QVO 1 ТB и 4 ТB: первый потребительский SATA QLC (1 часть) А внедрение флэш-памяти NAND с четырьмя битами на ячейку (QLC) продолжается, свидетель тому — первый потребительского SATA SSD с QLC NAND от Samsung. Новый 860 QVO поднимает планку «начального уровня» в очень успешном семействе продуктов SSD от Samsung. В отличие от предыдущ...

Процессор AMD Ryzen Threadripper засветился в Geekbench Очевидно, AMD начала тестирование процессора Ryzen Threadripper 3-го поколения. Так, AMD Sharkstooth представляет собой 32-ядерный/49-поточный процессор с номинальной тактовой частотой 3,60 ГГц, который имеет достаточно длинный модельный номер AuthenticAMD Family 23 Model 49...

AMD выпустит встраиваемые процессоры RX-8125, RX-8120 и новый A9-9820 Следующее поколение процессоров AMD Ryzen окажется далеко не единственными новинками AMD в этом году. И недавно в базе данных 3DMark нашлось упоминание о новых процессорах AMD RX-8125, RX-8120 и A9-9820. Подробнее об этом читайте на THG.ru.

Hardware-стартап для трансформации рабочих мест запустил производство Компания GETMOBIT запустила серийное производство и продажи аппаратно-программной платформы GM Smart System. Решение предназначено для построения и …

Intel NNP-I — ускоритель искусственного интеллекта, созданный на основе процессора Ice Lake В 2016 году Intel приобрела компанию Nervana Systems, специализирующуюся на технологиях, связанных с искусственным интеллектом. Позже Intel представила платформу Nervana для приложений ИИ, а в 2017 году пообещала выпустить первую в отрасли микросхему для обработки нейро...

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

Трения между Кореей и Японией отражаются на производстве смартфонов Samsung По данным инсайдеров, Samsung пришлось на 10% снизить запланированный объем производства мобильных процессоров Exynos, которыми будут укомплектованы новые смартфоны Note 10.

Huawei начинает пробное производство 5-нм процессора Kirin 1000 Новейшими процессорами компании Huawei являются Kirin 990 и Kirin 990 5G. На данный момент они установлены внутри флагманов Mate 30/Mate 30 Pro и их 5G версий. ***

Samsung запускает в массовое производство мобильного чипа памяти на 12 ГБ Компания Samsung объявила о начале массового производства чипа LPDDR4X DRAM емкостью 12 ГБ для смартфонов, который дает возможность предлагать смартфонам больше оперативной памяти, чем многие ультратонкие ноутбуки. Чип емкостью 12 ГБ имеет толщину 1,1 мм и позволяет про...

TSMC готова к серийному выпуску 7-нм продуктов второго поколения Они будут использовать EUV-литографию.

Intel объявила Core i9-9900KS, лучшим процессором для игр Сегодня Intel объявила о полной информации и доступности нового процессора Intel Core i9-9900KS Special Edition 9-го поколения. Предлагая полностью готовую частоту до 5,00 ГГц для максимального игрового опыта, Intel Core i9-9900KS 9-го поколения будет доступен с 30 октября, ...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

iPhone в 2020 году получат 5-нм процессоры Тайваньская компания по производству полупроводников (TSMC) объявила о создании инфраструктуры для 5-нм техпроцесса, которая уже находится в стадии предварительного производства. Компания планирует инвестировать $25 миллиардов в объём производства к 2020 году. Микросхемы, в…

Слух: TSMC приступает к производству новых процессоров для смартфонов Apple Линейка «айфонов», которую представят нынешней осенью, будет оснащена более совершенным процессором Apple А13.

В следующем году TSMC будет готова к выпуску 6-нм графических процессоров AMD или NVIDIA. А может быть, Intel?

Xiaomi выпустит смартфон с поддержкой 100-ваттной зарядки Super Charge Turbo только в 2021 году Еще в марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт и позволяетт заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут. В апреле президент Xiaomi Лин Бин (Lin Bin) з...

Подбираем лучшие геймерские процессоры зимы 2020 года Зима пришла как-то уж очень быстро. Intel погрязла в дефиците процессоров и оказалась неспособно обеспечить производителей готовых сборок и ноутбуков достаточным количеством своих чипов. AMD всячески пользуется возможностью, но не

Утилита HWMonitor тоже готова к выходу процессоров AMD Ryzen Threadripper третьего поколения И даже серверных Intel Xeon Ice Lake, которые выйдут через год.

Компания Intel напомнила о процессоре с 56 ядрами и TDP 400 Вт Корпорация Intel объявила, что процессоры Intel Xeon Scalable следующего поколения (известные под кодовым названием Cooper Lake) будут насчитывать до 56 процессорных ядер и иметь встроенные средства ускорения обучения ИИ. Эти процессоры, рассчитанные на «стандартн...

Производители увеличивают число слоев флеш-памяти 3D NAND, надеясь сократить выпуск и повысить цены По сообщению источника, производители флеш-памяти ускоряют освоение серийного производства кристаллов 3D NAND со 120 или 128 слоями. Они рассчитывают начать массовый выпуск этой продукции в 2020 году. При этом Toshiba и Western Digital планируют выпускать 128-сл...

[Перевод] Intel Comet Lake-U и Comet Lake-Y: до 6 ядер для тонких и легких ноутбуков Подводя итоги нескольких весьма напряженных недель, Intel анонсировала вторую половину своего стека процессоров Core 10-го поколения с низким энергопотреблением. С новым именем Comet Lake, процессоры мощностью до 15 Вт основаны на существующей архитектуре процессора Intel Sk...

Владелец AliExpress запустил производство собственных процессоров Новый чип получил название Xuantie 910. Он предназначен для реализации передовых технологий, таких как периферийные вычисления и беспилотные транспортные средства.

Процессоры Comet Lake с Hyper-Threading В связи с конкуренцией со стороны AMD, просочилась информация что Intel будут выпускать линейку процессоров Comet Lake с Hyper-Threading, а именно i3 и i5-ого поколения для настольных ПК. Процессор Intel Core i3 должен получить 4 ядра 8 потоков, а i5 6 ядер 12 потоков....

Оптимизм MediaTek. Компания хочет отгрузить в следующем году 60 млн SoC с поддержкой 5G Компания MediaTek первой на рынке представила однокристальную систему с интегрированным модемом 5G. Решение называется MediaTek 5G SoC, но оно до сих пор не появилось в серийных продуктах. Ожидается, что массовое производство SoC начнётся до конца текущего года, хотя н...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

Yangtze Memory начала массовое производство 64-слойных микросхем 3D NAND Китай стремится к технологической независимости, вкладывая значительные средства в полупроводниковую промышленность, что позволит уменьшить зависимость от иностранного импорта и технологий. Для достижения этой цели в 2016 году была создана компания Yangtze Memory Technologie...

Уже скоро в iPhone появится мощный 5-нанометровый процессор По данным сайта DigiTimes, основной эксклюзивный производитель чипов Apple A-серии, компания TSMC, к 2020 году будет готова перейти на 5-нанометровый техпроцесс. Это значит, что и процессор A14 может быть создан по самой современной технологии.

Платы EVGA X299 готовы к выходу Intel Core 10-го поколения Американская компания EVGA сообщила о совместимости материнских плат на базе чипсета Intel X299 с процессорами Cascade Lake-X, выход которых запланирован на следующий месяц. В соответствующем пресс-релизе вендор отмечает продвинутую систему охлаждения цепей VRM,...

Названы сроки выхода первого российского электромобиля Глава Минпромторга России сообщил, что сертификация первого российского серийного электромобиля Zetta находится на финальном этапе. Скоро начнётся массовое производство машины.

GETMOBIT запустила серийное производство и продажи GM Smart System Компания GETMOBIT, российский разработчик в сфере «умных» корпоративных рабочих пространств, запустила серийное …

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Samsung начала выпуск первой в отрасли памяти eUFS 3.0 объёмом 512 Гбайт Компания Samsung Electronics объявила о начале серийного производства первых в отрасли чипов памяти eUFS 3.0 ёмкостью 512 Гбайт. Новые чипы памяти ориентированы на использование в "следующем поколении мобильных устройств" и обеспечивают вдвое большую скорость работы по сравн...

Процессор AMD Sharkstooth замечен в базе Geekbench По сообщениям сетевых источников, компания AMD в настоящее время осуществляет тестирование процессоров Ryzen Threadripper HEDT 3-го поколения. Полученные по результатам тестирования данные недавно появились в базе бенчмарка Geekbench. В сообщении говорится о 32-ядерном проце...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Официально: Следующее поколение кроссовера Porsche Macan будет полностью электрическим, серийное производство стартует в 2021 году в Лейпциге Немецкий автопроизводитель Porsche официально объявил, что следующее поколение компактного кроссовера Porsche Macan будет исключительно электрическим. Таким образом, электрическая модель Porsche Taycan выйдет на рынок уже в конце текущего года, при этом спустя весьма коротки...

Революция близко: Xiaomi уже занимается массовым производством 100-Ваттных зарядок Недавно компания Xiaomi опубликовала ролик, где продемонстрировала зарядное устройство мощностью 100 Ватт, с помощью которого аккуулятор емкостью 4000 мАч можно зарядть до 100% за 17 минут. На тот момент подробностей о том, предназначена ли эта технология для массового рынк...

Производители ждут дебюта Ryzen 3000: материнские платы на чипсете AMD X570 будут готовы к концу апреля Анонс новых процессоров уже не за горами.

TSMC готова к массовым поставкам 7-нм продуктов второго поколения AMD ещё нет.

TSMC начнет производство 5-нм чипов в марте 2020 года Ранее в 2019 году компания приступила к массовому производству микросхем по технологическому процессу 7 нм+ второго поколения.

AMD может запустить графические процессоры RDNA 2 следующего поколения на CES 2020 AMD предположительно собирается провести мероприятие на CES 2020, чтобы продемонстрировать свое следующее видеокарту Radeon. Ожидая огромного успеха с графическими процессорами первого поколения «RDNA», AMD продемонстрирует улучшенную линейку, используя новую улучшенную архи...

Официально: 16-ядерный CPU Ryzen 9 3950X и новое поколение Ryzen Threadripper представят в ноябре Компания AMD опубликовала заявление относительно выхода новых настольных процессоров. Согласно этому заявлению, 16-ядерный процессор Ryzen 9 3950X и новое поколение Ryzen Threadripper мы увидим лишь в ноябре, хотя ранние слухи указывали на то, что Ryzen 9 3950X выйдет 3...

Первый электромобиль Aston Martin — Rapide E — готов к производству На автосалоне в Шанхае компания Aston Martin Lagonda представила готовую к производству итерацию своего первого полностью электрического автомобиля — Rapide E. Напомним, новинка была анонсирована в сентябре прошлого года, а уже в январе текущего года прототип Rap...

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

Процессоры Intel используются в 470 системах списка TOP500 Как мы уже сообщали, к проходящей в эти дни конференции по суперкомпьютерам SC19 приурочена публикация 54 редакции списка самых быстрых суперкомпьютеров мира. Список возглавляют системы Summit и Sierra на процессорах IBM Power9. Однако в целом процессоры IBM используют...

Huawei начала пробное производство 5-нм SoC Kirin 1000 Компания Huawei уже начала пробный этап производства новых флагманских чипов. По предварительным данным, данные SoC получат название Kirin 1000 и будут построены по 5-нм техпроцессу. Ожидается, что первыми смартфонами на базе этих процессоров окажутся флагманские Huawei Mate...

AMD анонсировала 7-нм процессоры Ryzen 3000 Как и предполагалось, в рамках пресс-конференции на Computex 2019 компания AMD представила миру 7-нанометровые процессоры серии Ryzen 3000, построенные на архитектуре Zen 2. Новинки будут совместимы со старыми материнскими платами на сокете AM4, однако для поддержки PCIe 4....

TSMC развернёт массовое производство 5-нм продуктов в марте 2020 года Второе поколение 7-нм продуктов уже попало на конвейер.

Asus встретит процессоры AMD Ryzen 3000 двенадцатью моделями материнских плат на чипсете AMD X570 Официальная премьера процессоров AMD Ryzen 3000 состоится в конце мая – начале июня, вероятнее всего на выставке Computex 2019. Одновременно с новыми CPU выйдут и системные платы для них — на базе чипсета AMD X570. Разные производители предложат свои вариант...

В России возобновили производство знаменитой советской техники Речь идет о длиннофокусных фотообъективах «Рубинар», основным применением которых является съёмка удалённых объектов. В производстве современного поколения фототехники задействовано цифровое оборудование и передовые технологии обработки оптических и механических деталей.

Comet Lake-U 1 сентября!!! Intel представит процессоры Comet Lake-U 1 сентября. В распоряжении источника появились подробности о процессорах Intel Comet Lake-U — вторых представителях семейства Intel Core 10 поколения после Ice Lake. Официальная премьера этих процессоров случится 1 сентября. В семей...

Новый iPad mini и недорогой iPad можно ожидать до середины лета Тайваньский ресурс DigiTimes подтвердил слухи о том, что компания Apple готовит к выпуску пятое поколение планшета iPad mini и новый недорогой iPad в первой половине 2019 года.  Об этом говорят ожидаемые объёмы поставок сенсорных панелей от поставщиков компании Ap...

Huawei Mate X уже готов к продажам Huawei уже начала массовое производство Mate X. В продажу смартфон должен поступить к концу октября. Но смартфон появится в Китае и ограниченным тиражом. В сети появилось видео распаковки Huawei Mate X. Также в комплекте идет необычный футляр для складного смартфона вместо...

В новом поколении устройств Microsoft Surface могут прописаться процессоры AMD и Qualcomm В начале своего жизненного пути планшетные устройства Microsoft семейства Surface уже пробовали использовать ARM-совместимые процессоры в сочетании с операционными системами Windows, и тот давний опыт не был очень удачным из-за сырости программной экосистемы. Сейчас Windows ...

ДФВТ планирует инвестировать в «умных роботов» Серийное производство и центр по разработке искусственного интеллекта хотят запустить во Владивостоке.

Наконец-то. Струйная технология OLED находится на пороге серийного производства Технология производства дисплеев из органических светоизлучающих диодов (OLED) методом струйной печати должна войти в массовое производство в следующем году, а в период 2020 по 2024 год ожидается расширение соответствующих мощностей в 12 раз. Такими данными располагают ...

Foxconn начала подготовку к массовому производству новых iPhone Если верить недавним слухам, то официальный анонс нового поколения iPhone состоится 10 сентября. Во второй половине месяца Apple должна начать продавать свои новинки. Сейчас же партнеры яблочной компании готовятся к старту производства новых моделей. Например, компания Foxco...

AMD представила новые мобильные процессоры Ryzen Pro и Athlon Pro Партнёры AMD выпустили уже немало ноутбуков и хромбуков на мобильных процессорах компании. И сегодня американский производитель наконец-то представил второе поколение мобильных процессоров AMD Ryzen Pro для ультратонких бизнес-ноутбуков и процессор AMD Athlon Pro 300U для об...

Intel готовится к массовому производству 5G-модемов Корпорация Intel начнёт работать над инженерными проектами в рамках организации массового производства 5G-модемов уже в следующем квартале. По крайней мере, об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Изображения Intel В конце прошлого года, напомним...

Samsung получила заказы на производство процессоров от Intel Компания Intel в очередной раз сообщила, что она испытывает проблемы с объёмами производства процессоров. Гонка с AMD за количество ядер привела к увеличению физического размера чипов, а значит, уменьшению их числа на одной пластине и дальнейшему росту дефицита.

Intel снимает с производства мини-ПК NUC на 10-нм процессоре Cannon Lake Intel приняла решение снять с производства некоторые модели мини-ПК NUC на 10-нм процессорах Cannon Lake. А в частности, речь идёт о процессоре Core i3-8121U. Подробнее об этом читайте на THG.ru.

Загадочный процессор AMD «Flute» засветился в интернете. Возможно это SoC для игровой приставки Microsoft следующего поколения Некоторые данные нового процессора от AMD

Новые подробности о процессорах AMD Milan: до 80 ядер и HBM память Следующее поколение процессоров может оказаться невероятным

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

Электрический внедорожник Fisker Ocean будет стоить менее 40 000 долларов Компания Fisker ранее сообщала, что планирует выпустить относительно доступный электрический внедорожник в 2021 году. Согласно новым сведениям, машина будет называться Fisker Ocean и выйдет на рынок раньше, чем ожидалось. Производитель решил пропустить этап выпуска демо...

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

Intel представила процессоры Core 9-го поколения для ноутбуков Спустя полгода после выхода десктопных процессоров Core 9-го поколения Intel представила мобильные процессоры для ноутбуков и других подобных мобильных устройств. Новый модельный ряд процессоров Intel Core 9-го поколения представлен чипами i5, i7 и i9. По заявлениям Intel…

Samsung начала массовое производство чипсетов 5G Samsung Electronics объявил, что его коммуникационные решения 5G находятся в массовом производстве для новейших мобильных устройств премиум-класса. Коммуникационные решения 5G включают в себя Exynos Modem 5100, Exynos RF 5500 (новый однокристальный радиочастотный прием...

AMD выпустит новые HEDT-процессоры Ryzen Threadripper с большим числом ядер Сегодняшнее выступление главы AMD Лизы Су было полностью посвящено 7-нм продуктам компании для массового рынка, включая процессоры Ryzen 3-го поколения и видеокарты Radeon RX 5700 (Navi) на базе прогрессивной архитектуры RDNA, однако совсем...

Процессоры Intel Core i5 10-го поколения «догонят» Core i7 8000-й серии В обозримом будущем корпорация Intel выведет на рынок семейство настольных процессоров Comet Lake-S вместе с массовой платформой LGA1200. Возглавлять новую линейку будет 10-ядерный чип Core i9, а остальные продукты получат неплохой прирост быстродействия...

Intel снимает с производства мини-ПК NUC на 10-нанометровых процессорах Cannon Lake Компания Intel сообщила о прекращении выпуска нескольких мини-ПК NUC, включая модели на процессорах Cannon Lake. Говоря точнее, на процессоре Core i3-8121U. Как известно, этот процессор не имеет интегрированной графики, так что в конфигурацию мини-ПК включены GPU AMD Ra...

Компания General Motors представила новую платформу Компания General Motors (GM) представила совершенно новую электронную платформу, на которой будет построено следующее поколение ее транспортных средств. Платформа объединяет средства активной безопасности, информационно-развлекательные и коммуникационные функции, а такж...

Детали мобильных процессоров Intel Core серии H 9-го поколения Intel по ошибке разместила информацию о своих 9-го поколения мобильных процессоров Intel Core серии H в онлайн-файле PDF. Он был удален вскоре после того, как производитель чипов осознал свою ошибку. Модельный ряд состоит из шести мобильных процессоров серии H, будет д...

Thales Alenia Space запускает серийную 3D-печать спутниковых деталей Ведущий европейский производитель спутников Thales Alenia Space начинает серийное аддитивное производство компонентов космических аппаратов. Напечатанными на 3D-принтере металлическими деталями будут оснащаться спутники связи на основе универсальной платформы Spacebus Neo.По...

AMD представила 7-нм процессоры Ryzen третьего поколения Компания AMD представила третье поколение десктопных процессоров Ryzen. Хотя назвать это событие презентацией довольно сложно, и американский производитель оказался очень скуп на подробности о новых процессорах. Подробнее об этом читайте на THG.ru.

Новейшие процессоры Intel Core X поступят в продажу позже, чем ожидалось Процессоры Cascade Lake-X компания Intel представила в начале октября. Новая линейка включает четыре модели и выделяется в первую очередь ценой. Технически данные CPU мало отличаются от своих предшественников, но новинки вдвое дешевле, так что намного привлекательнее д...

Начато производство новой Skoda Octavia Skoda Компания Skoda сообщила о старте производства Octavia нового поколения в городе Млада-Болеслава, Чехия. На данный момент собирается лишь универсал, однако уже в следующем месяце компания запустит сборку и лифтбека. Skoda рассчитывает, что предприятие в Млада-Болес...

Привет, Хабр, мы Advantech Рады представиться, мы — компания Advantech, производитель огромного числа решений для автоматизации, промышленности, здравоохранения, ритейла и телекоммуникаций, со штаб-квартирой на Тайване. Наши продукты используются по всему миру, в том числе в системах федерального ма...

Серийное производство электроники в России. Как мы делали телеметрию для вендинга Часто встречаемся с мнением, что производить в России электронику – занятие бессмысленное. Дорого, долго, плохо и вообще лучше делать в Китае. В этой статье мы бы хотели поделиться опытом разработки и серийного производства коммерческой электроники – модулей МТУТА. Мы ра...

Представлены графический процессор ARM Mali-G57 на архитектуре Valhall и нейронные процессоры Ethos для устройств начального и среднего уровней Ранее в этом году ARM представила графическую архитектуру следующего поколения под названием Valhall, которая дебютировала с ускорителем Mali-G77. Этот GPU должен появиться во флагманских мобильных чипсетах в следующем году. Теперь компания анонсировала новые чипы на той же ...

Специалистам EOSRL, похоже, удалось совершить прорыв в технологии micro-LED Подразделение Electronic and Optoelectronic System Research Laboratories (EOSRL) института Industrial Technology Research Institute (ITRI), в ноябре 2017 года взявшееся за разработку дисплеев micro-LED в сотрудничестве с PlayNitride, Macroblock и Unimicron, похоже, сове...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Представлен дизайн первого процессора RISC-V для европейских суперкомпьютеров будущего Разработкой процессоров и платформ в рамках инициативы по созданию новых суперкомпьютеров на базе европейских компонентов занимается консорциум European Processor Initiative (EPI). На днях EPI представил Еврокомиссии первый дизайн процессора, который и станет основной для бу...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Бюджетный прорыв. Huawei показала смартфон со сканером отпечатков пальцев под LCD На конференции для разработчиков Huawei Developer Conference 2019 компания Huawei продемонстрировала прототип смартфона со сканером отпечатков пальцев, встроенным под экран, изготовленный по технологии LCD.  До настоящего момента производители смартфонов были выну...

Предварительный обзор Corsair i145. Мощный игровой ПК В последнее время компактные готовые компьютеры стали пользоваться повышенным спросом и это позволило производителям выпускать все больше и больше моделей. В итоге мы получаем действительно крутые решения, которые за свои деньги спокойно уделывают даже сборки от профессионал...

В ожидании процессоров Snapdragon 865/875 После выпуска процессора Snapdragon 855 Plus, который отличается высокой производительностью, компания Qualcomm готовит еще две новинки, одна из которых будет основана на 5-нм техпроцессе. Известно, что производством займутся на мощностях TSMC, а также на...

Смартфон TCL Plex с 6,53-дюймовым FHD дисплеем и Snapdragon 675 представлен официально Китайский компания TCL входит в число ведущих мировых производителей телевизоров, а также имеет опыт производства телефонов для брендов BlackBerry и Alcatel. Теперь он наконец-то готов выпустить смартфон под собственной торговой маркой. ***

Kaby Lake: Если “тик-так” не получается… Время от времени законы мироздания предоставляют нам случай узнать много нового о себе и о самих этих законах. Перед такими случаями, как правило, у тех кто на передовом крае науки и техники, возникает иллюзия всезнания. Они уверенно планируют достижения, рисуют стрелки на...

Полностью электрический внедорожник Fisker Ocean дебютирует в январе 2020 года Весной этого года было объявлено о намерении компании Fisker выпустить относительно доступный полностью электрический внедорожник к 2021 году. Теперь же автопроизводитель объявил о том, что электромобиль получит имя Fisker Ocean. Также стало известно, что компания решила отк...

Samsung Display готова уменьшить производство LCD панелей Сегодня южнокорейская компания Samsung Display сделала официальное заявление о том, что рассматривает возможность приостановления одной из линий, занятой производством жидкокристаллических панелей. Причины — снижение цен на рынке, высокая конкуренция и перепроизводство...

MSI работает над платой Creator TRX40 для чипов Ryzen Threadripper 3000 В следующем месяце компания AMD официально представит третье поколение процессоров Ryzen Threadripper. Подобно CPU Ryzen 3000 для массовой платформы AM4, новинки базируются на 7-нм микроархитектуре Zen 2 и несут поддержку интерфейса PCI Express...

Новые процессоры Intel Ice Lake — маленькие и производительные Еще в 2016 году Intel упомянула новое семейство процессоров Ice Lake, построенных на 10-нм техпроцессе. Но тогда реализовать задумку не удалось, а получилось это сделать только сейчас. Поэтому на CES 2019 американский производитель наконец показал следующее поколение своих ч...

«Яндекс» и Hyundai представили прототип беспилотника на базе Sonata 2020 модельного года В компании отмечают, что собрали готовый беспилотник всего за месяц — и готовы дорабатывать другие машины.

Samsung увеличила скорость работы накопителей в смартфонах Samsung Electronics объявила о начале массового производства первого в отрасли встраиваемого накопителя Universal Flash Storage (eUFS) 3.0 емкостью 512 ГБ для мобильных устройств нового поколения. В соответствии с требованиями спецификаций eUFS 3.0, представленное ре...

Intel уже готова к массовому производству памяти MRAM, сочетающей в себе лучшие возможности DRAM и NAND По данным осведомлённых источников, компания Intel уже готова приступить к массовому производству памяти MRAM (Magnetoresistive Random-Access Memory). Память MRAM является энергонезависимой. Она способна сохранять данные даже в случае неожиданного прекращения энергоснабжения...

Процессорный мегазапуск Intel — пополнение рядов За последние две недели компания Intel анонсировала выпуск целых 35 процессоров практически во всех сегментах: десктопном, мобильном и ультра мобильном (процессоры Intel Xeon Scalable второго поколения для серверов были запущены чуть ранее). Чтобы не привставать несколько ...

Volkswagen запустит производство мобильных зарядных станций для электромобилей Автопроизводитель Volkswagen (VW) намерен начать выпуск аккумуляторов для электромобилей, а также зарядных станций у себя на родине в Германии, поскольку он готовится к старту массового производства электромобилей. Также будет проведена реорганизация подразделения по произво...

Настольные процессоры Intel Rocket Lake получат до восьми ядер и графику Gen12 В начале следующего года корпорация Intel выведет на рынок массовую платформы LGA1200 вместе с 14-нм процессорами Comet Lake-S. Эти CPU, как мы уже знаем, предложат вплоть до 10 ядер с поддержкой Hyper-Threading. Вслед...

Видеокарты NVIDIA с 7-нм GPU увидят свет в 2020 году Как сообщают источники, NVIDIA планирует заказывать производство своих 7-нм чипов будущего поколения не у традиционного партнёра, TSMC, а у компании Samsung. Утверждается, что такой выбор вызван тем, что Samsung в рамках 7-нм процесса сможет предложить EUV-литографи...

Kirin 990 может стать последним чипсетом от Huawei Как вы уже знаете, под давлением правительства США многие крупнейшие американские и британские компании вынуждены прекратить сотрудничество с Huawei. Несмотря на все заверения главы Huawei о том, что ничего страшного не произошло, для китайской компании последствия могут быт...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

AMD поручила ASMedia разработку массовых чипсетов 500-й серии Этим летом Advanced Micro Devices планирует вывести на рынок третье поколение центральных процессоров Ryzen, несущих с собой поддержку PCI Express 4.0 в массовый настольный сегмент. Вместе с ними в продажу поступят новые системные...

Samsung начала массовое производство микросхем памяти LPDDR5 DRAM плотностью 12 Гбит для флагманских смартфонов Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о начале массового выпуска первых в отрасли микросхем памяти LPDDR5 DRAM плотностью 12 Гбит. Новая оперативная память производится по технологии 10-нанометрового класса второго поколения (1y нм). Она пре...

Флагманский Honor V30 может стать первым смартфоном со сканером под ЖК-экраном Индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) на своей страничке в Twitter опубликовал порцию новой информации о следующем флагмане компании Honor. Мы уже публиковали фотографию, на которой был запечатлен экран смартфона Honor V30, который получил сдвоенную фр...

TSMC начала производство процессоров Apple A13 для iPhone 2019 Тайваньский производитель микросхем TSMC открыл производство новых процессоров для грядущих iPhone 2019 года, которые будут традиционно представленных осенью, – сообщает издание Bloomberg, ссылаясь на компетентных инсайдеров. Тестовое производство Apple A13 было начато TSMC…

Intel представит процессоры Comet Lake-U 1 сентября В распоряжении источника появились подробности о процессорах Intel Comet Lake-U — вторых представителях семейства Intel Core 10 поколения после Ice Lake. Ожидается, что официальная премьера этих процессоров случится 1 сентября, в День знаний. В семействе Intel Com...

Обзор и тестирование материнской ASUS Prime X570-Pro: к процессорам Ryzen 3000 готова Набор системной логики AMD X570 спровоцировал производителей на выпуск новых моделей всех мастей, дабы удовлетворить потребности как обычных пользователей, так и требовательных геймеров. Версия ASUS предназначена для первой категории. И оснащение новинки как бы намекает, что...

SK Hynix начинает серийный выпуск первой в мире 128-слойной флеш-памяти 4D NAND Компания SK Hynix объявила о начале серийного выпуска первых в мире кристаллов 128-слойной флеш-памяти типа TLC 4D NAND плотностью 1 Тбит. Такой кристалл представляет собой наиболее сложное изделие в своем роде: он насчитывает более 360 миллиардов ячеек, каждая из котор...

Galaxy Note 10 становится быстрее и эффективнее Компания Samsung начала массовое производство чипов для мобильных DRAM 12 ГБ LPDDR5. Samsung говорит, что эти модули на 12 ГБ предназначены для использования в телефонах высокого класса. Вполне вероятно, что один из таких пакетов DRAM сможет обеспечить питание для Galaxy N...

Гонки электрокаров Formula E помогают совершенствовать гражданские электромобили Участие автопроизводителей в гонках «Формулы 1» преследует не только имиджевые цели, но и позволяет отрабатывать передовые инженерные решения для дальнейшего внедрения в массовом сегменте. По словам ресурса Bloomberg, зародившиеся в 2014 году гонки электрокаров Formula E исп...

Предварительный обзор Alienware Aurora. Мощный игровой ПК В последнее время крупные производители все больше стали выпускать готовых компьютеров. Это удобно в том случае, если вы сами собрать компьютер из комплектующих не можете, а поиграть хочется. В такой ситуации покупка готового ПК кажется наиболее оптимальным решением — достал...

После Ice Lake. В Сеть попали результаты тестирования процессора Intel Tiger Lake Пока процессоры Intel Ice Lake ещё не появились в серийных продуктах, в Сеть попадает всё больше информации об их преемниках. О поколении Tiger Lake процессорный гигант рассказал ещё в мае. Нам известно, что эти CPU будут ориентированы на мобильные ПК и выйдут в двух ли...

TSMC инвестирует $19,5 млрд для выпуска 3-нм процессоров c 2023 года В следующем году TSMC планирует начать производство чипов по 5-нм техпроцессу. Первым 5-нм чипом, скорее всего, станет Snapdragon 875, который выйдет в 2021 году. Возможно, что и Apple A14 также будет разрабатываться пр 5-нм техпроцессу. 3-нм техпроцессТеме не менее…

TSMC освоила 5-нм производство Компания подготовила проектную инфраструктуру и готова поделиться ей

Представлен «честный» смартфон Fairphone 3: ремонтопригодность на первом месте Задумывались ли вы когда-нибудь о том, что желание получить процессор «помощнее» и камеру «получше» негативно отражается на экологии. Производители используют все больше материалов для производства новых моделей, а старые должным образом не перерабатываются. Компания Fairph...

«ЭЛТЕКС» запустила в серийное производство беспроводную точку доступа с LTE «ЭЛТЕКС» запустила в серийное производство беспроводную точку доступа с LTE. WB-15-W — это всепогодная беспроводная …

Успех новых iPhone может привести к дефициту видеокарт и процессоров Новые iPhone оказались настоящим хитом как на российском рынке, так и на глобальном. Apple даже решила увеличить производство новинок на 10%. Однако эксперты уже бьют тревогу: всё это может привести к проблемам для производителей процессоров и видеокарт для компьютеров....

Обзор и тестирование материнской платы ASUS Prime X570-Pro: к процессорам Ryzen 3000 готова Набор системной логики AMD X570 спровоцировал производителей на выпуск новых моделей всех мастей, дабы удовлетворить потребности как обычных пользователей, так и требовательных геймеров. Версия ASUS предназначена для первой категории. И оснащение новинки как бы намекает, что...

18-ядерный процессор Intel Cascade Lake-X будет на 3 - 7% более производительным Возможно, Intel ещё не совсем готова продемонстрировать свои 14-нм процессоры Cascade Lake-X (CSL-X), но в различных бенчмарках активно появляются результаты их тестирования. Подробнее об этом читайте на THG.ru.

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Samsung начала массовое производство модемов 5G, а Apple собрала команду из более 1000 инженеров для разработки собственного 5G-модема Компания Samsung заявила о начале массового производства собственных чипов для обеспечения поддержки связи 5G. Речь идёт о многорежимном чипсете Exynos Modem 5100, который используется в смартфоне Galaxy S10 5G. Чип Samsung Exynos Modem 5100 был впервые анонсирован в августе...

GE налаживает серийную 3D-печать лопаток для авиадвигателей GE9X Авиационное подразделение корпорации General Electric заказало 27 промышленных 3D-принтеров производства шведской компании Arcam AB. Электронно-лучевые системы планируется использовать в производстве турбинных лопаток из алюминида титана для турбовентиляторных двигателей пов...

Microsoft обновляет Surface Book новыми процессорами Основным нововведением, является встроенный процессор Intel Core i5-8350U: четырехъядерный процессор 8-го поколения с максимальной тактовой частотой 3,6 ГГц. Кроме того, Microsoft продолжает придерживаться 8 ГБ оперативной памяти. Модель с i5-7300U процессором не исчезнет...

Массовое производство чипсета MediaTek S900 MediaTek анонсировал свой интеллектуальный чипсет, названный S900. Его особенностью является возможность декодировать 8K видео. Массовое производство чипа уже началось на литейных заводах TSMC, которые также являются производителем для таких компаний, как Apple, Qualcomm и N...

Samsung начинает массовое производство 12 Гб памяти LPDDR5 Переход на мобильную связь пятого поколения требует от устройств всё больших объёмов памяти.

Intel наращивает мощности, признав, что процессоры остаются «в крайнем дефиците» Intel объявила, что расширяет использование внешних полупроводниковых производств помимо увеличения собственных производственных мощностей, чтобы решить проблему продолжающейся нехватки ее 14-нм процессоров для настольных ПК и ноутбуков. В письме ...

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Анонс 7-нм HEDT-процессоров AMD Ryzen Threadripper 3000 отложен до четверга Как стало известно, анонс 7-нм процессоров Ryzen Threadripper 3-го поколения (семейство Castle Peak) и нового флагмана массовой платформы AM4 — Ryzen 9 3950X, который должен был состояться сегодня, 5 ноября, перенесли. Сразу оговорим, что долго ждать обновления высокопроизво...

Пикап Hyundai запустят в производство в 2021 году Hyundai Впервые пикап Santa Cruz был представлен в начале 2015 года в виде концепт-кара. Сейчас же стало известно о срока начала производства серийной модели — как объявила Hyundai, машину начнут собирать в 2021 году, на заводе в Монтгомери, штат Алабама, США. Перед зап...

Samsung выпустит 3-нм процессоры в 2021 году С каждым годом флагманские SoC, составляющие основу современных смартфонов, становятся всё меньше. На данный момент доступны чипы, изготовленные по 7-нм техпроцессу, а в следующем году выйдут и 5-нм чипы. Тем не менее, Samsung объявила о прорыве в производстве микросхем, кот...

Названо время выхода первого смартфона Huawei на собственной операционной системе Последние месяцы компания Huawei находится в очень подвешенном состоянии. Из-за американских санкций, она не может быть уверена в надежности использования американских разработок при производстве смартфонов. И если от процессоров от Qualcomm компания частично все же смогла о...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Планы AMD: CPU с архитектурой Zen 4 — до 2022 года, GPU с архитектурой RDNA2 — до 2021 года Компания AMD опубликовала документ для инвесторов, в котором можно найти данные о ближайших планах производителя. Они в целом совпадают с тем, что мы уже знали, но есть немного новой информации. Итак, до 2022 года года мы увидим процессоры Ryzen не то...

Контрактный производитель Jabil вдвое увеличил производственные мощности завода в Ужгороде Сегодня, 15 марта, компания Jabil, оказывающая услуги по контрактному производству разного рода электроники, открыла новую площадку на производстве в Ужгороде. Введение нового объекта в эксплуатацию увеличивает мощности завода вдвое. Сколько было инвестировано в расширение, ...

Samsung выпускает оперативную память LPDDR5 на 12 ГБит Samsung Electronics объявила о начале массового производства первой в отрасли 12-гигабитной мобильной DRAM-памяти LPDDR5, оптимизированной для поддержки функций 5G и AI. Помимо этого, в конце текущего месяца, южнокорейский производитель планирует начать массовое производство...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Intel снимет с производства процессоры Skylake-X Этой осенью корпорация Intel выведет на рынок обновлённую платформу LGA2066 вместе с процессорами Cascade Lake-X. В рамках подготовки к этому событию чипмейкер снимает с производства 14-нм решения семейства Skylake-X, дебютировавшие пару лет назад...

Intel: AMD сократила отрыв, но у нас по-прежнему самые быстрые игровые процессоры Релиз процессоров AMD Ryzen 3-го поколения можно смело назвать главным событием лета в сегменте настольных ПК. «Красные» не только нарастили число ядер в CPU для массовой платформы, но и ощутимо подняли их игровую...

Процессоры Ryzen 3000 смогут работать с памятью DDR4-3200 без разгона Перспективные 7-нм процессоры AMD Ryzen 3000-й серии на базе архитектуры Zen 2 смогут работать с модулями оперативной памяти DDR4-3200 прямо из коробки, без дополнительного разгона. Об этом изначально сообщил ресурс VideoCardz, получивший информацию от одного из производител...

Samsung Display потратит на модернизацию производства 11 миллиардов долларов Компания столкнулась с перепроизводством ЖК-панелей из-за снижения спроса на телевизоры и смартфоны и переходом крупнейших заказчиков на OLED-панели. Компания Samsung Display до 2025 года планирует вложить в разработки технологий изготовления дисплеев и модернизацию произ...

За год Intel увеличила производство 14-нм пластин на 25% В середине прошлого года Intel столкнулась с дефицитом собственных процессоров для серверного и массового рынка. С тех пор руководство корпорации ищет пути решения данной проблемы, но последствия дефицита проявляются и сегодня. Корпорация уже...

У Huawei уже готовы процессоры для смартфонов 2020 года У Huawei нашёлся сторонник — тайваньская компания TSMC, крупнейший в мире контрактный производитель процессоров. Её представители заявили, что не видят причин для прекращения сотрудничества с Huawei.

Процессоры AMD Ryzen Pro 3000 обеспечат полное шифрование ОЗУ Несколько дней назад AMD анонсировала процессоры Ryzen Pro 3-го поколения, предназначенные для OEM-производителей готовых компьютеров бизнес-класса. Линейка Ryzen Pro варьируется от скромного двухъядерного Athlon Pro 300GE до 12-ядерного «монстра» Ryzen 9 Pro 390...

Pegatron инвестирует до 1 миллиарда долларов в индонезийскую фабрику по производству чипов для iPhone Поставщик Apple Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple, сообщил во вторник представитель министерства Индонезии (через Reuters). Тайваньский производитель дал обещание в подписанном письме пра...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

Готова спецификация PCIe 5.0, обеспечивающая пропускную способность 32 ГТ/с. Это вдвое больше возможностей PCIe 4.0 Как известно, представленные на днях семинанометровые настольные процессоры AMD Ryzen 3000, кроме прочего, выделяются поддержкой интерфейса PCIe 4.0 с удвоенной пропускной способностью по сравнению с предыдущим поколением — до 2 ГБ в секунду. Для видеокарт возможности данног...

Foxconn готов увести производство iPhone из Китая Но вряд ли это серьезно поможет Apple избежать последствий торговой войны.

Foxconn готов при первой необходимости перенести производство iPhone из Китая Представители одной из главных компаний-производителей техники Apple заявили, что у них достаточно возможностей и ресурсов для того, чтобы начать сборку iPhone за пределами Китая. Возможно, производство даже может быть перенесено в США, если торговая война Штатов с Китаем н...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

Дорогие процессоры AMD оказались востребованнее дешевых 12-ядерный процессор Ryzen 9 3900X производства AMD уже много месяцев как официально представлен и поступил в продажу, но несмотря на это, устройство все еще находится в заметном дефиците, в первую очередь из-за своего соотношения цена/мощность.

У Intel теперь есть свой T1000. Компания представила специализированные процессоры платформы Nervana Компания Intel за последние месяцы представила два специализированных процессора платформы Nervana: NNP-I и NNP-T. Первый показали в июне, а второй — в августе. Сегодня Intel решила представить новинки ещё раз, но уже более конкретно. Итак, на самом деле решения ...

«Рикор» запустил производство СХД в России Российский инновационный холдинг «Рикор» объявил об окончании разработки и начале производства опытной партии …

Китай запускает производство полноценного электромобиля Ora R1 ценой 9000 долларов Китайский автопроизводитель компания Great Wall Motors объявила о начале серийного производства малолитражного электромобиля Ora R1 с дальностью пробега до 310 км. Выпуск новинки субсидируется государством, что наверняка сделает ее доступной для миллионов потенциальных владе...

BBC: британский производитель чипов ARM приостановил работу с Huawei Решение британской компании может отразиться на производстве процессоров Huawei.

Intel опровергла слухи о возникших трудностях с производством 5G-модемов для Apple Несмотря на то, что в ряде стран коммерческие 5G-сети будут развёрнуты уже в этом году, Apple не спешит выпускать устройства, способные работать в сетях связи пятого поколения. Компания ждёт, когда соответствующие технологии получат широкое распространение. Аналогичную страт...

Intel рассказала про Ice Lake: перспективный 10-нм процессор для ПК Компания Intel рассказала первые подробности о своих перспективных процессорах Ice Lake-U, которые будут выпускаться по 10-нм технологическому процессу и появятся в готовых системах к концу этого года. Нас ждёт новая микроархитектура вычислительных ядер, новое графи...

«Ростех» возьмет трубку. Корпорация готова поставить радиостанции и планшеты силовикам и другим бюджетникам Как стало известно “Ъ”, входящий в «Ростех» концерн «Созвездие» может принять участие в проекте создания сети мобильного интернета для МЧС, МВД, Росгвардии и социально значимых объектов. Концерн готов заняться производством планшетов, радиостанций, а также операторского обор...

Apple готова к производству новых iPad и AirPods 25 марта компания Apple должна будет провести презентацию, на которой будет представлен новый сервис видеостриминга, а также несколько аппаратных новинок. Источники уже начали передавать информацию о ...

BBC: британский производитель чипов ARM решил отказаться от работы с Huawei Решение британской компании может отразиться на производстве процессоров Huawei.

Процессоры Intel 10 поколения пришли для ноутбуков Вчера Intel сообщила, что уже готова запускать в массы процессоры Core 10 поколения, предназначенные для ноутбуков и прочих компактных устройств. Благодаря им устройствам будут доступны все новые технологии, о которых говорилось на Computex 2019: Wi-Fi 6, Thunderbolt 3, по...

Процессор Intel Lakefield засветился в базе данных 3DMark Будущий процессор Intel с кодовым названием Lakefield был замечен в базе данных 3DMark. Intel Lakefield станет первым процессором с использованием трёхмерной компоновки Foveros. Напомним, что Foveros - это технология, которая, по сути, позволяет Intel размещать микросхемы од...

Маск пообещал инвесторам начать массовое производство Model Y в следующем году Массовое производство электрического кроссовера Tesla Model Y, о котором глава американской компании Илон Маск впервые рассказал еще в 2015 году, начнется к концу 2020 года, говорится в корпоративном письме, разосланном акционерам компании на этой неделе в среду, сообщает п...

Основой консоли Sony PlayStation 5 будет APU AMD, способный выполнять 16 потоков и на аппаратном уровне поддерживающий трассировку лучей Как мы уже сообщали, компания Sony анонсировала выпуск игровой консоли PlayStation 5. Источники опубликовали дополнительные сведения об этом устройстве. В частности, о процессоре, на котором будет построена консоль. Это будет полузаказной гибридный процессор (APU...

Микроархитектура AMD Zen 3 принесёт как минимум 8% увеличение IPC После выпуска 7-нм процессоров Zen 2 компания AMD приступила к активной работе над их преемниками с микроархитектурой Zen 3. Производитель пока не готов открыто говорить об особенностях новых CPU, но, как мы уже...

Дебют мобильных чипов Intel Core 9-го поколения намечен на апрель Модельный ряд и основные характеристики ноутбучных процессоров Coffee Lake-H Refresh уже давно не являются секретом. Для них характерен 45-ваттный теплопакет и до восьми вычислительных ядер, что вновь поднимет планку быстродействия игровых лэптопов на...

Новые проекты от компании Intel Сегодня Intel провела свою пресс-конференцию CES, объявив о линейке не менее шести новых процессоров 9-го поколения. Компания рассказала про новую платформу, которая все еще находится в разработке, под кодовым названием Lakefield, которая, как ожидается, будет запущена в...

Двигатель РД-191 для ракеты «Ангара» подешевеет в полтора раза Пермское предприятие «Протон-ПМ» (входит в НПО «Энергомаш») рассчитывает снизить стоимость двигателя РД-191 в полтора раза после начала серийного производства. Об этом, как сообщает ТАСС, рассказал исполнительный директор «Протон-ПМ» Дмитрий Щенятский. Изображения НПО «Энерг...

Выходцы из Soylent привлекли 5 млн USD на производство никотиновых жвачек Один из соучредителей Soylent Д. Рентельн спустя два года после ухода из стартапа запустил проект Lucy. Новая компания специализируется на производстве никотиновой жвачки.

Цифра дня: Сколько потратят на оборудование для сетей 5G c российским шифрованием? Минпромторг готов направить 28 млрд рублей на создание российского оборудования и программного обеспечения для сетей 5G и интернета вещей. Об этом говорится в проекте целевой программы «Развитие производства промышленной продукции сетей пятого поколения и интернета вещей в Р...

В РФ начали производство аналога винтовки AR-15 Полуавтоматическая винтовка AR-15 является одним из самых популярных образцов американского стрелкового оружия. Как сообщает РИА Новости со ссылкой на официального представителя ORSIS, компания запустила серийный выпуск полуавтоматических винтовок ORSIS-AR15J. Ранее их собир...

Huawei Mate 30 может стать первым смартфоном с процессором Kirin 985 Первым смартфоном Huawei на основе фирменного флагманского процессора следующего поколения HiliSilicon Kirin 985, вероятнее всего, станет модель Mate 30. По крайней мере, об этом сообщают веб-источники. Reuters По уточнённым данным, чип Kirin 985 дебютирует в третьем квартал...

Официально: следующее поколение GPU Nvidia будет выпускаться Samsung Слухи о причастности Samsung к следующему поколению графических процессоров Nvidia появлялись и ранее, а теперь эту информацию подтвердила и сама Nvidia. Подробнее об этом читайте на THG.ru.

Google и Huawei готовы заплатить владельцам Nexus 6P за сбои в ... Высокие стандарты качества, соблюдение которых декларирует Huawei, иногда дают сбой. Это проявляется в тех проблемах, которые владельцы смартфонов компании испытывают на себе после покупки. Но одно дело, когда эти случаи являются единичными, и совершенно другое, когда они ст...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

AMD Ryzen 5 3500: готовится к выходу шестиядерный конкурент Core i5-9400F Семейство 7-нм процессоров Ryzen 3000 пользуется высокой популярностью среди тех, кто готов платить за самые новые продукты. Согласно статистике Яндекс.Маркет, за первый месяц продаж эти процессоры заняли почти треть ассортимента реализуемых в России изделий семейства Ryzen ...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Новым iPhone и iPad — новые антенны Аналитик Минг-Чи Куо (Ming-Chi Kuo) утверждает, что в этом году Apple откажется от использования антенн, созданных на базе технологии LCP (жидкокристаллический полимер). Такой материал был использован для антенн iPhone XS, iPhone XS Max и iPhone XR. В новых смартфонах 2...

Следующее поколение процессоров Intel Atom получит кэш-памяти третьего уровня Уже известно, что Intel работает над процессорами семейства Intel Atom нового поколения. Как полагается, перед запуском нового продукта обновляется некоторая документация, и одно из таких обновлений раскрыло некоторые особенности строения процессоров, использующих микроархит...

Новый iGPU Intel действительно неплох 10-нанометровые процессоры Intel Ice Lake уже доступны в серийных ноутбуках, но пока тестов таких CPU очень мало. Источник протестировал Dell XPS 13 2-in-1 7390 с процессором Core i7-1065G7, сконцентрировавшись на производительности GPU. Напомним, G7 — топовое гр...

Intel рассказала о преимуществах процессоров Core 2019 модельного года На выставке CES 2019, которая проходит с 8 по 11 января в Лас-Вегасе, компания Intel представила новое поколение процессоров Ice Lake, изготовленные по 10-нанометровому техпроцессу.

Energizer Power Max P18K с аккумулятором на 18 000 мАч не поступит в массовое производство Energizer Power Max P18K был одним из самых обсуждаемых продуктов на MWC 2019, во многом благодаря его невероятной емкости батареи на 18 000 мАч и здоровенному 22-миллиметровому профилю. Телефон появился на краудфандинговом сервисе IndieGoGo, который используется для сб...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Intel выпустит 14-ядерный десктопный процессор Core i9-9990XE с частотой до 5 ГГц Американская Intel готовится выпустить новый высокопроизводительный десктопный процессор Core i9-9990XE. Этот CPU не появится на потребительском рынке и, по данным AnandTech, будет доступен лишь производителям готовых систем. Подробнее об этом читайте на THG.ru.

Материнская плата Gigabyte Z390 Aorus Master: функциональная и красивая модель на чипсете Intel Z390 Материнские платы, основанные на чипсете Intel Z390, являются очевидным выбором для тех, кто намерен использовать процессоры Intel Core 8-го и 9-го поколений, причем не готов ограничиваться их штатными возможностями. Z390 — старший чипсет в арсенале Intel на сегодняшний день...

Samsung Display начинает расширять применение AMOLED Компания Samsung Display объявила, что планирует расширить производство дисплеев AMOLED, чтобы охватить основные сегменты рынка ИТ. К достоинствам дисплеев AMOLED производитель относит «выдающуюся цветопередачу», низкое энергопотребление, малую толщину и ма...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Росатом выйдет на серийное производство промышленных 3D-принтеров со следующего года Структуры государственной корпорации «Росатом» налаживают производство лазерных металлопорошковых 3D-принтеров промышленного класса. Согласно стратегии Росатома, выручка от новых направлений бизнеса, в том числе аддитивных технологий, к 2030 году должна составлять 30-40% от ...

Intel меняется: 18-ядерный Core i9-10980XE будет стоить дешевле $1000 Компания Intel готовится представить очередное поколение процессоров Core-X для платформы LGA 2066 уже на следующей неделе. Как стало известно из публикации сайта Videocardz.com, микропроцессорный гигант представит новое семейство HEDT-процессоров Cascade Lake-X уже 7 октябр...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

У Apple была готовая партия AirPods 2 еще в сентябре. Но что-то пошло не так Мы уже делились с вами любопытной информацией о том, что Apple планировала представить AirPods второго поколения еще прошлой осенью. Эти сведения поступили от надежного источника, оснований не доверять которому у нас не было. Но теперь та история приобрела новый оборот, пол...

Процессоры Intel Pentium Silver следующего поколения получат L3-кэш Помимо производительных процессоров семейства Core (а также Pentium Gold и Celeron на их базе) в ассортименте Intel присутствуют энергоэффективные однокристальные системы Pentium Silver и Celeron. Они берут свое начало с линейки процессоров Atom...

Вслед за Apple топовые мировые производители планируют перенести производство из Китая Согласно новому докладу, оказалось, что Apple не единственная крупная компания, которая намерена перенести часть своего производства из Китая. Подобную возможность рассматривают и такие известные производители, как HP, Dell, Microsoft, Google, Amazon, Sony, Lenovo, Acer, Asu...

Samsung представила процессор Exynos 990 и модем 5G Exynos Modem 5123 В сентябре в модельном ряду чипов Samsung появилась новинка Exynos 980, ставшая первым процессором производителя со встроенным 5G-модемом. А сегодня состоялся анонс его продолжения — Exynos 990. Но здесь решено отказаться от интеграции модуля связи для сетей пятого пок...

Производством Snapdragon 865 займется Samsung, а Snapdragon 875 — TSMC Недавно стало известно, что в случае с Snapdragon 865, анонс которого состоится в конце нынешнего года, Qualcomm сменит партнера по его производству. Выбор вновь пал на Samsung — одного из крупнейших производителей электроники в мире.   С конвейера южнокорейског...

Процессор AMD Athlon XP 2500+ поколения Barton разогнался до 2745 МГц под фреоном Второе место в модельном зачёте SuperPI 32M.

Qualcomm готов к началу продаж Snapdragon 865 Информация о новом процессоре от Qualcomm

Предварительный обзор Vivo X27 Pro. Крутая выдвижная камера В последнее время крупным производителям смартфонов очень тяжело привлечь к себе внимание аудитории — нужно постоянно придумывать что-то новое и необычное, показывать какие-то невероятные технологии, дизайнерские решения. Получается далеко не у всех, но есть бренды, которые ...

Готовая ОС Huawei появится этой осенью Huawei собирается выпустить собственную операционную систему уже в августе. Китайская версия будет называться ОС, а зарубежная Oak OS. Генеральный директор Huawei по потребительскому бизнесу Ричард Ю подтвердил, что новая ОС компании будет готова этой осенью. Huawei не ...

Материнские платы на AMD B550 уже готовы к дебюту Менеджер по продукции Biostar Вики Ван (Vicky Wang) дала интервью корейскому изданию Brainbox, в котором рассказала о готовящихся материнских платах компании на новых чипсетах AMD и Intel. Интересно, что вскоре после публикации интервью компания Biostar заявила, что информац...

Sharp перемещает производство из Китая вслед за другими поставщиками Apple Sharp стала одним из последних поставщиков Apple, который объявил о планах вывести часть производства продукции из Китая в связи с продолжающейся торговой войной между Вашингтоном и Пекином. REUTERS/Toru Hanai Генеральный директор Apple Тим Кук (Tim Cook) в ходе недавнего кв...

Intel прекращает поставки процессоров Skylake-X: дорогу Cascade Lake-X! Процессоры семейства Cascade Lake-X будут представлены осенью этого года — это подтвердила на Computex 2019 компания Intel, отказавшись вдаваться в дополнительные подробности вроде технических характеристик и способности работать в существующих материнских платах. Грегори Бр...

SK Hynix начала массовое производство 128-слойной памяти 3D NAND TLC SK Hynix в официальном пресс-релизе сообщила о запуске масштабного производства 128-слойных микросхем флэш-памяти типа 3D NAND TLC ёмкостью 1 Тбит (128 ГБ). В маркетинговых материалах данная память называется 4D NAND, что на самом...

Процессоры Intel Gen Core X Cascade Lake выйдут в 7 октября Следующий понедельник должен стать довольно горячим. Седьмого октября компания AMD выпустит видеокарты серии Radeon RX 5500, а Intel официально представил HEDT-процессоры 10-го поколения Core X Cascade Lake.

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

LG Chem покупает у DuPont технологию, необходимую для изготовления панелей OLED методом струйной печати LG Chem, дочерняя компания LG Group, приобрела у американской химической компании DuPont ключевые технологии, используемые для производства «растворимых органических светоизлучающих диодов» (soluble OLED). Эти технологии необходимы для изготовления дисплеев ...

WSJ: Apple запустила производство AirPower еще в начале года В сети продолжает появляться информация о фирменной яблочной зарядной станции. По словам журналистов авторитетного издания The Wall Street Journa, купертиновцам удалось полностью справиться с проблемами в AirPower и Apple уже готова начать продажи зарядной станции.Читать дал...

AMD откладывает выпуск Ryzen 9 3950X, но обещает новый Ryzen Threadripper уже в этом году Многие энтузиасты с нетерпением ожидают пополнения семейства массовых процессоров с микроархитектурой Zen 2 флагманской моделью — 16-ядерным процессором Ryzen 9 3950X. Во время представления семейства Ryzen 3000 в июле его выход был обещан на сентябрь. Однако сегодня AMD раз...

Гибридные процессоры AMD Renoir показали свою производительность в тестах 3DMark 11 AMD Renoir представляет собой следующее поколение гибридных процессоров с улучшенной производительностью iGPU и CPU по сравнению с 12-нм гибридными процессорами Picasso. А сегодня в сети появились результаты тестирования AMD Renoir APU в бенчмарке 3DMark 11 в составе инженер...

Китайский процессор Hygon Dhyana C86 (Zen) отличился в криптографическом тесте SiSoft Sandra В прошлом году для внутреннего рынка Китая начался выпуск центральных процессоров Hygon Dhyana на базе микроархитектуры Zen. Компания AMD лицензировала собственные наработки через совместное предприятие, за счет чего было налажено производство широкой номенклатуры...

Intel имела возможность не допустить столь успешного реванша AMD Самое первое поколение процессоров Intel Core вышло десять лет назад. Эти CPU имели исполнение LGA 1156 и в максимальной конфигурации располагали четырьмя ядрами. Первые шестиядерные процессоры Intel в обычном настольном сегменте появились лишь с приходом поколения Coff...

Razer закрыла уязвимость в процессорах Intel Некоторое время назад на просторах интернета появилась информация об уязвимости процессоров компании Intel. Это случилось в 2018 году и тогда новость всколыхнула рынок, ведь эта уязвимость давала возможность следить за процессором и так далее. Вскоре крупные производители ре...

Nikon поможет Velodyne в выпуске лидаров для робомобилей За исключением одного автопроизводителя (у главы Tesla есть возражения по этому поводу), большинство компаний в целом согласны с тем, что лидар является жизненно важным компонентом оборудования, необходимого для обеспечения определенного уровня автономности автомобиля. Тем н...

AMD анонсировала мобильные процессоры Ryzen, Athlon и A-Series для ноутбуков всех сегментов рынка Накануне открытия выставки CES 2019 компания AMD анонсировала линейку мобильных процессоров модельного ряда 2019 года. Причём, одновременно анонсированы разные серии чипов для ноутбуков всех сегментов. Так, для ультратонких и игровых ноутбуков предназначена линейка мобильных...

Destiny 2 не работает на процессорах Ryzen третьего поколения Первые владельцы 7-нм центральных процессоров Ryzen начали сталкиваться с проблемами свежей платформы. Сообщается, что пользователи связок X570 + Ryzen 3000 не могут запустить многопользовательский шутер Destiny 2 на своих ПК. Исполняемый файл Destiny...

Представлен ноутбук RedmiBook 14 Enhanced Edition на процессоре Intel 10-го поколения В мае был представлен ноутбук RedmiBook 14, оснащённый процессором Intel 8-го поколения. А сегодня Redmi анонсировала новый RedmiBook 14 Enhanced Version, который работает на базе новейших процессоров Intel 10-го поколения. Подробнее об этом читайте на THG.ru.

Samsung отдаст китайцам производство пятой части своих смартфонов Компания Samsung с недавних пор пользуется услугами ODM-производителей для выпуска некоторых своих смартфонов. Но по итогам текущего года лишь около 10% аппаратов корейского гиганта будут произведены подобным образом. Однако уже в следующем году их доля вырастет...

Смартфон Oppo с подэкранной камерой выйдет только в 2020 году Oppo обещает показать смартфон с подэкранной камерой 26 июня Через несколько дней компания Oppo покажет прототип смартфона, оснащенного подэкранной фронтальной камерой, которая скрыта под поверхностью дисплея. Это произойдет на мероприятии Mobile World Congress 2019...

Samsung откладывает выпуск телевизоров QD-OLED По данным источника, компания Samsung Display (SDC) недавно вложила значительные средства в покупку запатентованных технологий QD-OLED и micro-LED у одной из южнокорейских компаний. Ранее компания Samsung продвигала технологию QLED, в которой жидкокристаллические панели...

Redmi, а не Xiaomi первой предложит смартфон с поддержкой зарядки мощностью 100 Вт На вчерашний неформальный анонс технологии быстрой зарядки Xiaomi Super Charge Turbo, обеспечивающей передачу до 100 Вт мощности, отреагировал глава бренда Redmi Лю Вейбинг (Lu Weibing). Причем его сообщение в соцсети Weibo сложно трактовать неоднозначно. Дословно сооб...

Серийное производство iPhone SE 2 стартует в январе В сети продолжает появляться новая информация, касающаяся преемника iPhone SE. По словам аналитика Tianfeng Securities Го Минхао, серийное производство нового недорого яблочного смартфона стартует в январе следующего года.Читать дальше... ProstoMAC.com.| Постоянная ссылка |...

Компактные ноутбуки ASUS обновились до процессоров Intel Core 10-го поколения Компания ASUS объявила о начале выпуска ноутбуков с процессорами Intel Core 10-го поколения. Речь идет о моделях AsusPro B9, ZenBook Duo, ZenBook 13/14/15 и ZenBook Flip 14/15. Новые процессоры обладают улучшенной энергоэффективностью и...

Volvo Cars и Uber представили серийный автомобиль с автопилотом Volvo Cars и Uber стали ещё на один шаг ближе к выводу самоуправляемых транспортных средств на коммерческий рынок: компании представили серийный автомобиль, оснащённый технологией автономного вождения. Им стал специально доработанный внедорожник Volvo XC90. В оснащение машин...

ЗРС «Витязь» успешно прошла госиспытания Как сообщает РИА Новости, запущено производство первого серийного комплекта, который сдадут в этом году. По словам Криворучко, система заменит в Вооруженных силах С-300 «Фаворит». ЗРК С-350 — зенитный комплекс средней дальности с дальностью полета ракет до 200 км. Состоит из...

Intel отмечает прогресс в уровне выхода годных 10-нм продуктов Но в серийных ПК процессоры этого поколения появятся только к концу года.

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Volkswagen готовится к запуску производства мобильных зарядных станций для электрокаров Немецкий концерн Volkswagen планирует заняться выпуском аккумуляторных блоков и зарядных станций для автомобилей на электрической тяге. Производство будет запущено автопроизводителем в Германии.

Инженерный образец 64-ядерного серверного CPU AMD Epyc работает на базовой частоте 1,4 ГГц Только вчера мы знакомились с параметрами прототипа 32-ядерного серверного CPU AMD Epyc нового поколения, как сегодня появилась информация о 64-ядерной модели. Напомним, 32-ядерный образец работал на частотах 1,7-2,4 ГГц. У 64-ядерной модели частоты ожидаемо ниже. Катал...

Высокий спрос на 7-нм и 5-нм чипы поможет росту TSMC в 2020 году TSMC планирует в 2019 году достичь ещё одного рекорда, но из-за разочаровывающих результатов в первой половине года чистая прибыль вряд ли побьёт результаты 2018 года. Однако, как считают источники, в 2020 году снова наметится рост общегодовой выручки и прибыли тайваньской п...

Samsung представила новый мобильный процессор и 5G-модем Компания Samsung Electronics анонсировала свой новый мобильный процессор и 5G-модем. В них используется передовая 7-нанометровая (нм) технология фотолитографии в глубоком ультрафиолете, обеспечивающая беспрецедентную производительность. В процессоре Exynos 990 встроен первы...

Процессоры AMD Ryzen 4000-ой серии анонсируют в начале 2020 года Лиза Су официально заявила, что следующее поколение центральных процессоров Ryzen анонсируют в начале 2020 года. Начнут с мобильных решений, первые ноутбуки на их базе вполне вероятно будут показаны на выставке CES (в январе). Кроме того, в грядущем году мы ждем появления ...

Apple переведет Mac на собственные процессоры в следующем году Ссылаясь на достоверные источники, агентство Bloomberg сообщает, что уже в 2020 году компания Apple планирует отказаться от процессоров Intel в своих компьютерах. Ожидается, что производитель переведе...

Наушники Apple AirPods Pro запущены в производство. Фото То, о чем так много говорилось в последнее время, произошло. Apple запустила в серийное производство наушники AirPods Pro. Ключевым отличием этой модели от других станет поддержка системы шумоподавления. Один из пользователей Weibo уже опубликовал фото футляра этой моде...

Новейший суперкомпьютер Cray будет использовать 14-нм процессоры Intel Xeon с 56 ядрами Он изначально готов к их тепловыделению.

Представитель Nubia подтвердил, что смартфон 5G появится в этом году Некоторые китайские производители смартфонов уже подтвердили, что выпустят смартфоны 5G в этом году. Xiaomi, Samsung, Vivo и Huawei были первыми, кто предпринял реальные шаги в этом направлении. Сегодня компания Nubia также объявила, что выпустит телефон 5G в этом году и, во...

Ryzen Pro второго поколения вот-вот появятся в ноутбуках Представлены процессоры Ryzen 7 Pro 3700U с четырьмя ядрами, восемью потоками и частотой 2,3 ГГц, Ryzen 5 Pro 3500U (четыре ядра/восемь потоков, 2,1 ГГц) и Ryzen 3 Pro 3300U (четыре ядра/четыре потока, 2,1 ГГц). Одна из причин, вызывавших недовольство у клиентов, которые...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

Samsung Galaxy M30s был замечен на сайте Google Entertprice Interface Несмотря на то, что рынок смартфонов сокращается, продажи Samsung растут и она уверенно лидирует. В этом южнокорейскому гиганту новая линейка Galaxy M нижнего ценового диапазона помогает. Смартфоны хорошо продаются, поэтому и не удивительно, что компания и дальше будет расши...

Toyota и Panasonic запустят совместное производство призматических аккумуляторов Японские корпорации Toyota Motor и Panasonic подписали соглашение о создании совместного производства призматических автомобильных аккумуляторов.

Новые процессоры AMD Epyc могут получить 15-кристальную компоновку Как известно, в своих современных процессорах компания AMD активно использует многокристальную компоновку. Это позволяет упростить и удешевить процесс производства и разработки. Кроме того, это позволяет активнее наращивать количество ядер. Уже в следующем году нас ждут...

На CES 2019 Samsung привезет OLED-дисплей, издающий звук На прошедшей в мае нынешнего года выставке The Society of Information Displays (SID) гигант Samsung продемонстрировал 6,22-дюймовую OLED-матрицу, издающую звук, а помогает в этом вибрация и технология костной проводимости. За прошедшее время инженеры усовершенствовали собств...

GeForce RTX 2070 возвращается. Nvidia готова к перезапуску этой видеокарты Слухи о том, что Nvidia вернет в производство 3D-карту GeForce RTX 2070, появились еще в августе, но только сейчас, как пишет источник, все готово к перезапуску: «поставки чипов на данный момент хорошо налажены». Nvidia прекратила производство GeForce RTX 2...

AMD анонсировала процессоры Ryzen Threadripper 3960X и 3970X Компанией AMD было анонсировано два процессора Ryzen Threadripper 3960X и 3970X. Обе новинки являются представителями третьего поколения процессоров серии Threadripper и, по заявлению AMD, являются самыми быстрыми процессорами на рынке. Подробнее об этом читайте на THG.ru.

Snapdragon 865 засветился в тестах на производительность Новый процессор Qualcomm был представлен, кажется, настолько недавно, что это словно случилось вчера. Но на самом деле это случилось в середине прошлого месяца. Однако с тех пор на базе Snapdragon 855 Plus уже успело выйти полдюжины вполне себе реальных устройств. Среди так...

Сотрудники Intel подтверждают переход компьютеров Apple на ARM-процессоры Несколько анонимных сотрудников компании Intel подтвердили журналистам Axios переход Apple на процессоры с архитектурой ARM собственного производства уже в 2020 году для использования в компьютерах Mac. Данное решение вызывает некоторые опасения касательно уровня…

Toshiba Memory запустила в производство XL-FLASH Компания Toshiba Memory Europe (TME) объявила о запуске в производство нового решения в области памяти класса хранилища (Storage Class Memory, SCM) — XL-FLASH. Оно создано на основе собственной инновационной технологии TME — ...

AMD работает над 32-ядерным серверным процессором Epyc 7452: фото и характеристики AMD да и простые информаторы с неохотой делятся информацией о следующем поколении серверных процессоров Epyc. Тем интереснее выглядит последняя утечка, благодаря которой мы можем увидеть фотографии и узнать некоторые характеристики нового Epyc 7452. Подробнее об этом читайте...

OneWeb привлекла $1,25 млрд на массовое производство спутников для покрытия Земли интернетом Компания запустила свои первые спутники в космос в феврале 2019 года.

В этом году AUO планирует построить линию 6G по выпуску панелей OLED методом струйной печати По данным отраслевых источников, компания AU Optronics (AUO) планирует до конца 2019 года построить линию по выпуску панелей OLED методом струйной печати, рассчитанную на положки 6G. В текущем полугодии тайваньский производитель установит тестовую линию 3,5G. AUO разра...

Анонс процессоров AMD Ryzen Threadripper 3960X и 3970X состоится 5 ноября В следующем месяце компания AMD официально представит третье поколение HEDT-процессоров Ryzen Threadripper, базирующееся на 7-нм микроархитектуре Zen 2. Согласно информации, полученной веб-ресурсом VideoCardz из конфиденциальных документов чипмейкера, это произойдёт уже пято...

Как не промахнуться с бюджетом на серийное производство корпусов-2: цены на мелкосерийное литьё пластика В этой статье на Хабре мы привели 20 примеров цен на изготовление партий корпусов. Добавляем ещё несколько реальных случаев: это цены на мелкосерийное производство литьём пластика, от 25 до 50 штук. Возможно, теперь вы более лучше (с) представите, сколько «это» будет стоить....

Apple готов заплатить миллиард за модемный бизнес Intel О планах Apple поглотить бизнес по разработке и производству модемов Intel было известно давно. Теперь стало понятно, во сколько это может обойтись.

Обзор и тестирование ноутбука Acer Aspire 5 A515-52G на базе процессора Intel Core i5-8265U и видеокарты GeForce MX150 Сложности Intel, связанные с отладкой производства 10-нанометровых процессоров, вынуждают синего гиганта заполнять дорожную карту промежуточными поколениями CPU. По сути, речь идет о многократных доработках 14-нанометровых CPU, впервые представленных еще в 2015 году. Очередн...

Поставщики Apple готовятся к производству новых iPad и AirPods По данным DigiTimes, производители гибких печатных плат Flexium, Zhen Ding Technology, Compeq и Unitech наращивают объемы поставок деталей для будущих новинок Apple. В частности, Flexium и Zhen Ding Technology займутся производством печатных плат для для моделей планшетов iP...

Apple не воспользуется услугами Samsung при создании процессора A13 Официальная премьера смартфонов высокого класса от Apple не состоится до осени. На данный момент компания усиленно работает над iPhone (2019), чтобы своевременно обеспечить свои модели необходимыми аппаратными компонентами. Одним из ключевых улучшений, которые мы увидим в см...

Foxconn готова перенести производство iPhone из Китая Производство iPhone и iPad перенесут в США либо Индию из-за торговой войны

Стартовало массовое производство экранов для смартфона Samsung Galaxy Fold Компания Samsung Display приступила к массовому производству экранов для гибкого смартфона Galaxy Fold. Генеральный директор компании 9 апреля провёл мероприятие с целью отметить старт производства. На мероприятии присутствовало около 100 руководителей Samsung различны...

Новая версия iOS замедлит процессоры прошлогодних iPhone На этой неделе, примерно в одно время с новым поколением iPhome, Apple выпустила операционную систему iOS 13. Ожидается, что на следующей неделе свое обновление получат и прошлогодние устройства, правда это уже будет iOS 13.1, которая, судя по всему, научится замедлять работ...

Гибридный процессор AMD Renoir получит поддержку памяти LPDDR4X-4266 В новых патчах Linux было обнаружено упоминание о гибридных процессорах AMD следующего поколения с кодовым названием Renoir. В частности было сказано о поддержке памяти LPDDR4X-4266. Подробнее об этом читайте на THG.ru.

Intel выпускает мало процессоров В прошлом году появилась информация о том, что компания Intel испытывает серьезные проблемы с переходом на 10 нм процесс, что вызвало настоящий дефицит процессоров на рынке. Однако, одно дело геймеры, которые получили более высокую цену на тот же процессор, а совсем другое —...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Intel готовится рассказать о совершенно новых процессорах В начале года Intel представила уникальные процессоры Lakefield. Они не похожи ни на одни другие потребительские CPU. Напомним, это пятиядерные процессоры, которые содержат одно ядро Sunny Cove (Ice Lake), четыре ядра Tremont (следующее поколение «атомных» C...

Бизнес на 3D печати. Реальный кейс от SHOKOBOX - Влог 11 Возможно ли внедрить 3D печать в производство и получить реальный профит? Мы запустили серию влогов, где на примерах конкретных бизнесов и производств расскажем, как компании внедряют 3D печать.Подробнее...

AMD вынудила Intel снизить цены на Core i9 и серверные процессоры Относительная производительность CPU на один доллар после анонса нового поколения сравнительно дешёвых серверных процессоров Intel Cascade Lake-X (W-2200) в октябре 2019 года. Источник: Intel AMD официально подтвердила, что высокопроизводительные процессоры Ryzen Threadrip...

Samsung начала производство 1 Тбайт памяти eUFS 2.1 для смартфонов Корейская Samsung начала серийное производство первого в отрасли встроенного модуля флеш-памяти Embedded Universal Flash Storage 2.1 (eUFS) ёмкостью 1 Тбайт, предназначенного для использования в мобильных устройствах следующего поколения. Подробнее об этом читайте на THG.ru.

ASRock готова к выходу процессора AMD Ryzen 9 3950X BIOS уже обновился.

В процессоре Intel Core i5-9400F используется не припой, а термопаста В процессорах Intel Core 9-го поколения производитель вернулся к использованию припоя в качестве теплового интерфейса (STIM), передающего тепло между кристаллом процессора и металлической крышкой, играющей роль теплораспределителя. Энтузиасты предпочитают такой вариант ...

В Sharp задумались о переносе производства из КНР В компании готовы пойти на это, если США выполнят угрозу и обложат 25-процентными пошлинами импортируемые из КНР товары стоимостью еще 300 млрд долларов.

Lenovo готова к возможному увеличению пошлин США Компания может частично перенести производство за пределы Китая, чтобы избежать дополнительных пошлин, заявили в недавних интервью СМИ топ-менеджеры Lenovo.

«Ростех» запустит серийное производство «умных» приборов учета Интеллектуальные счетчики будут определять показатели потребления точнее, чем традиционные средства измерения. Аппаратура сможет считывать и сохранять информацию в режиме реального времени, оповещать о потерях и осуществлять мониторинг качества коммунальных ресурсов.

330 смартфонов Redmi Note 7 Pro в минуту. Фотографии с производства смартфона Компания Xiaomi прославилась как производитель смартфонов с оптимальным соотношением цены и качества, однако компанию давно преследуют проблемы с обеспечением стабильных поставок новых смартфонов в магазины. Поклонников интересует, что именно компания предп...

Onda B320-IPC — плата Thin Mini-ITX для гибридных процессоров AMD AM4 Китайская компания Onda, специализирующаяся на выпуске самой разнообразной электроники, готова предложить покупателям материнскую плату B320-IPC, выполненную в форм-факторе Thin Mini-ITX. Новинка совместима с гибридными процессорами в конструктиве AMD AM4 (вплоть до Ryzen 24...

Samsung начинает массовое производство чипов памяти eUFS 3.0 ёмкостью 512 ГБ Samsung начала массовое производство eUFS 3.0 объемом 512 ГБ, который состоит из восьми 512 Гбит V-NAND кристаллов пятого поколения с интегрированным высокопроизводительным контроллером.Он может похвастаться последовательной скоростью чтения 2100 МБ/с и скоростью записи 410 ...

На месте завода Ford во Всеволожске могут открыть автосервис Из-за убытков, которые приносят американскому производителю предприятия Ford на территории России, к 2020 году их могут и вовсе закрыть – об этом сообщили в профсоюзе «Рабочая ассоциация». Дальнейшая судьба предприятий решается на переговорах с европейским департаментом For...

Очередная революция AMD. Следующее поколение CPU Epyc может получить способность выполнять до четырёх потоков на ядро Как известно, в следующем году нас ждут процессоры AMD с архитектурой Zen 3. Компания уже завершила её разработку, новый техпроцесс там использоваться не будет, так что никаких задержек не ожидается. Однако новые CPU, которые будут использовать Zen 3, могут оказаться ку...

Panasonic прекращает производство LCD к 2021 году Panasonic объявляет о том, что к 2021 году выйдет из производства ЖК-дисплеев. Компания была ведущим производителем телевизоров, но из-за острой конкуренции со стороны китайских и южнокорейских производителей, ранее прекратила производство ЖК-телевизоров в 2016 году. Вместо...

Названы сроки следующего рывка в производительности компьютерных процессоров Разработчик Джим Келлер (Jim Keller), известный созданием архитектуры AMD Zen, на основе которой работают процессоры Ryzen, рассказал о будущем сверхпроизводительном поколении CPU. Похоже, нас ждёт возрождение закона Мура.

AMD с партнёрами создали мини-ПК на базе Ryzen для конкуренции с Intel NUC Компания AMD объявила о создании открытой экосистемы для OEM-производителей, в рамках которой они смогут создавать собственные мини-ПК на базе процессоров AMD Ryzen Embedded. Данная инициатива явно направлена на создание конкуренции самым известным компактным настольным комп...

У Nvidia могут возникнуть трудности с производством графических чипов следующего поколения в 2020 году. При чем здесь AMD? Любопытная теория, которая не может быть правдой

Asus и ASRock уже готовы к выходу процессора Intel Core i9-9900KS Ещё с конца августа.

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

В России появится первое отечественное производство СВЧ-переключателей для спутников Каждый спутник несет на борту до 20 усилителей сверхвысокочастотных сигналов, а также приемопередающее оборудование. Вся аппаратура связывается между собой СВЧ-переключателями, обеспечивающими передачу энергии с минимальными потерями. Основными потребителями СВЧ-переключател...

AMD показала прототип Ryzen 3000 на архитектуре Zen: восемь ядер и +15 % к производительности Компания AMD сегодня представила не только новую видеокарту Radeon VII, но и показала образец будущего процессора Ryzen, основанного на архитектуре Zen 2. В нём не нашлось шестнадцати ядер, но демонстрация всё равно добавила оптимизма поклонникам AMD: следующее поко...

Производители материнских плат готовы к выпуску процессора Ryzen 9 3950X Главное не спалить свою старую материнскую плату

Intel прекращает выпуск практически всех настольных процессоров Core 7-го поколения Компания Intel опубликовала очередное «Уведомление об изменении продукта», получившее номер 117180-00. В нем производитель сообщает о прекращении выпуска практически всей серии процессоров Core 7-го поколения для настольных ПК (Kaby Lake). Это относится к пр...

Выход нового поколения AMD Threadripper отложен на 2020 год Выход новых процессоров AMD Ryzen 3000 ожидается в третьем квартале этого года. Вместе с ними должно было дебютировать и следующее поколение высокопроизводительных Ryzen Threadripper, но, похоже, AMD изменила свои планы. Подробнее об этом читайте на THG.ru.

Предварительный обзор OPPO Reno 10X. Смартфон нового поколения Длительное время пользователи весьма пренебрежительно относились к смартфонам китайских компаний считая, что они делают хуже именитых брендов. Сейчас этот миф разве в пух и прах, ведь китайские компании легко уделывают своих потенциальных конкурентов в плане качества, произв...

Covestro нарастит производство фотополимеров для 3D-принтеров Carbon Немецкая химическая компания Covestro AG поможет американскому производителю скоростных стереолитографических 3D-принтеров Carbon с наращиванием производства специализированных фотополимерных смол, используемых в качестве расходных материалов.Подробнее...

Apple подготовилась к побегу из Китая Компания Foxconn, главный подрядчик Apple, заявила, что готова перенести производство iPhone и других устройств за пределы Китая, если это будет необходимо. Так Apple пытается обезопасить себя в торговой войне между США и Китаем.

AMD работает над процессором Ryzen 5 3500 и новыми Ryzen Pro Вполне ожидаемо, что вслед за релизом первых 7-нм процессоров Ryzen 3000-й серии компания AMD продолжит расширение модельного ряда не только «вверх», выпустив 16-ядерный Ryzen 9 3950X, но и «вниз», подготовив доступные продукты с...

Intel наносит ответный удар: процессор Core i5-8265UC обходит по производительности AMD Ryzen 5 3500U В сегменте настольных процессоров пока чаша весов склонилась в пользу AMD с ее процессорами Ryzen 3000 – они выглядят очень убедительно в сравнении с аналогами Intel как в плане производительности, так и цены. Но вот в мобильном сегменте Intel смогла ответить AMD ...

Meizu Note 9 c 48-мегапиксельной камерой появится в феврале Meizu Note 9 c 48-мегапиксельной камерой может появиться в следующем месяце. Китайский производитель Meizu готовится к пресс-конференции в Пекине, где выпустит ожидаемую Meizu Note 9. Стало известно, что смартфон будет оснащен процессором Snapdragon 675, 48MP Samsung GM 1 ка...

“Орион” пустил в серию российскую “всевидящую” камеру Благодаря увеличенному размеру матрицы и различным усовершенствованиям в производственном процессе, SWIR-камера, созданная московским НПО «Орион», способна «видеть» в дыму, тумане, а также при прочих ограничениях видимости. Помимо промышленного варианта модели, существует гр...

SK Hynix хочет производить 3D-NAND с 800 слоями На саммите по флэш-памяти в Санта-Кларе, корейский производитель SK Hynix представил новые продукты и объявил о планах на будущее. В настоящее время SK Hynix работает над 128-слойным 3D-nand, и его массовое производство должно начаться в четвертом квартале этого года. Компан...

Airbus прекратит производство самых больших авиалайнеров А380 в 2021 году Компания Airbus объявила о прекращении производства крупнейшего серийного пассажирского авиалайнера в мире А380 в 2021 году сразу после отгрузки последнего борта авиакомпании Emirates в рамках ранее подписанного соглашения. Причина принятия такого решения заключается в сокра...

AMD представила 7-нм процессоры Ryzen 9 3900 и Ryzen 5 3500X, но они предназначены для OEM-сегмента Компания AMD наконец-то расширила ассортимент 7-нм процессоров Ryzen 3000-й серии (Matisse) двумя долгожданными экономичными моделями: 12-ядерной Ryzen 9 3900 и 6-ядерной Ryzen 5 3500X. Увы, Ryzen 5 3500X и Ryzen 9 3900 будут распространяться по OEM-каналам, то есть, их можн...

Promobot с человеческим лицом Компания "Промобот" (Promobot) запустила серийное производство роботов-двойников человека. Антропоморфная машина двигает глазами, бровями, губами и поддерживает разговор. По словам производителей, робот может интегрироваться в бизнес-процессы и обладать любой внешн...

Раскрыты характеристики и модельный ряд новой линейки процессоров Intel Core X Стали известны особенности очередного поколения процессоров Core X от Intel, известных под названием Cascade Lake-X. Наиболее интересны в них цены.

Расходы, связанные с ИИ на производстве, к 2025 году возрастут до 13,2 млрд долларов Как мы уже сообщали, по прогнозу IDC в этом году расходы на системы искусственного интеллекта вырастут до 35,8 млрд долларов, а в период с 2018 по 2021 год прогнозируется среднегодовой рост на 38,0%. Свой прогноз, касающийся искусственного интеллекта, практически однов...

Семейная Tesla 2020 модельного года оказалась быстрее гоночного электромобиля Porsche В ближайшем будущем Tesla планирует выпустить новое поколение своего популярного седана Tesla Model S. Вероятней всего, произойдет этого уже в 2020 году. Но несмотря на то, что автомобиль еще даже не появился в продаже, его уже успели сравнить с гоночным электромобилем произ...

В Японии на долю процессоров AMD приходится более 2/3 рынка самостоятельной сборки компьютеров и менее 15% рынка готовых систем Японский рынок самостоятельной сборки компьютеров продемонстрировал высокий уровень доверия к новым процессорам AMD Ryzen. Согласно данным отчёта PC Watch, в этом сегменте рынка на долю чипов AMD приходится 68,6% всех продаж в крупных розничных сетях. Данные были получены от...

В процессорах Intel снова нашли проблемы Месяц назад в процессорах Intel Apollo Lake сначала обнаружили проблемы, а затем оказалось, что никаких проблем нет. Но теперь вот источники сообщают, что проблемы всё же есть. Только с другими «атомными» CPU Intel — Gemini Lake. Эти процессоры были пр...

AMD готова решить проблему с Boost-частотой процессоров Ryzen 3000 После довольно продолжительного молчания AMD, наконец, публично призналась, что некоторые пользователи не могут добиться максимальных частот с процессорами AMD Ryzen 3000. Кроме того, компания сообщила, что выпустит обновление для BIOS, которое позволит решить данную проблем...

Samsung создала LPDDR5 DRAM емкостью 12 Гбит для 5G-флагманов Samsung официально заявила о старте массового производства первого в мире высокоскоростного микрочипа памяти LPDDR5 DRAM емкостью 12 Гбит. Изделие предназначено для установки в мобильниках с поддержкой сетей пятого поколения, а также гаджетах со средствами искусственного инт...

Авиалайнеры Airbus A350 XWB получат серийные 3D-печатные детали Авиастроительный концерн Airbus начнет оснащать широкофюзеляжные авиалайнеры A350 XWB серийными 3D-печатными титановыми деталями производства компании Liebherr, а заодно обеспечит своих инженеров настольными 3D-принтерами Ultimaker S5.  Подробнее...

Самоуправляемые автомобили Waymo будут выпускаться в Детройте Компания Waymo, входящая в холдинг Alphabet, выбрала завод в Детройте для организации серийного производства самоуправляемых автомобилей. Генеральный директор компании Джон Крафчик (John Krafcik) сообщил в своем блоге, что Waymo будет сотрудничать с American Axle & ...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

Сумеют ли китайцы догнать и перегнать Intel? Новые процессоры готовы к бою Китайские компании настроены решительно

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

Natura Siberica решила заняться производство бутилированной воды Компания Natura Siberica анонсировала строительство на Курильских островах завода по производству бутилированной воды. В реализацию данного проекта производитель косметики планирует вложить более 200 млн рублей.

Phison представила SSD контроллер с шиной PCI-e 4.0 Компания AMD заявила о готовности к производству процессоров с поддержкой шины PCI-e 4.0, однако лидирующий производитель контроллеров для твердотельных накопителей сообщает, что уже имеет необходимое оборудование, которое он продемонстрировал в ходе CES 2019.

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Samsung освоила 5-нм производство Первый 5-нм процессор достанется смартфону Galaxy S11

Процессоры AMD EPYC обходятся в производстве в полтора раза дешевле, чем Intel Xeon Вот что творит чиплет всемогущий!

MediaTek выпустит чип Helio G90 для игровых смартфонов Компания MediaTek опубликовала тизер-изображение, говорящее о подготовке нового процессора семейства Helio для мобильных устройств. Чип получит название Helio G90. Он будет ориентирован на смартфоны игрового уровня и аппараты топового сегмента. Анонс изделия состоится в теку...

Core i9-10980XE — 18-ядерный флагман новой линейки процессоров Intel HEDT поколения Cascade Lake-X (+ результат теста Geekbench для 10-ядерного Core i9-10900X) В следующем месяце Intel должна представить новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000 (Cascade Lake-X). От нынешних Skylake-X новые Cascade Lake-X будут отличаться весьма незначительно: ни архитектура, ни техпроцесс не изменятся, ли...

Samsung начинает массовое производство памяти LPDDR4X uMCP емкостью 12 ГБ Компания Samsung Electronics, объявила о начале массового производства первого в отрасли многочипового пакета на базе UFS (uMCP) с 12-гигабайтной (ГБ) и двойной скоростью передачи данных 4X (LPDDR4X) с низким энергопотреблением. Samsung представляет решение uMCP-модулей на...

Faraday Future привлекла в партнёры для выпуска электрокара разработчика мобильных игр Компания Faraday Future, столкнувшаяся с проблемами с финансированием своего амбициозного проекта по выпуску электромобиля FF91, нашла нежданного спасителя в лице китайского производителя онлайн-видеоигр The9 Limited. В воскресенье было объявлено, что Faraday Future и The9 L...

ECS готова к выходу гибридных процессоров AMD Athlon 300GE и Athlon 320GE Ещё с июня этого года.

Платы на базе AMD X570 будут готовы раньше, чем процессоры Ryzen 3000 Это закономерно.

Предварительный обзор HP Pavilion Gaming Desktop. Мощный игровой ПК Крупные производители уже достаточно давно выпускают на рынок готовые персональные компьютеры, так как это шикарная возможность не переживать о сборке и сразу играть после того, как открыли коробку. Сегодня мы поговорим о достаточно интересном устройстве, которое отлично спр...

Смартфон Energizer с аккумулятором на 18 000 мАч не выйдет Впервые смартфон Energizer Power Max P18K Pop с огромной батареей ёмкостью 18 000 мАч показали на MWC 2019. Тогда компания Avenir Telecom, лицензирующая торговую марку Energizer, планировала запустить устройство в массовое производство до конца лета текущего года. После…

Материнские платы Gigabyte X470 и B450 готовы к выходу процессоров AMD Zen 2 Gigabyte Technology примкнула к числу производителей материнских плат, объявивших о выпуске прошивок UEFI с поддержкой центральных процессоров AMD Ryzen 3000 (Matisse/Zen 2). В настоящий момент подготовиться к предстоящему апгрейду могут владельцы изделий на...

Представлена Intel Pohoiki Beach — система из 64 нейроморфных процессоров Loihi В сентябре 2017 года компания Intel представила Loihi — «самообучаемый нейроморфный процессор», основанный на архитектуре, принципы работы которой напоминают работу человеческого мозга. Даже в параметрах Loihi указаны не ядра или исполнительные блоки, ...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

Vivo X30 получит чип Exynos 980 В начале сентября Samsung представила флагманский процессор Exynos 980 со встроенным 5G-модемом. Массовое производство чипа стартует к концу нынешнего года, а первые смартфоны с ним появятся уже в 2020 году.   Не сложно было предположить, что новая однокристальная сис...

Apple представит свои очки дополненной реальности в 2020 году Как сообщают информированные аналитики, компания Apple планирует представить свои долгожданные очки дополненной реальности уже во втором календарном квартале следующего года. Массовое производство нов...

ASRock готовит по меньшей мере девять материнских плат на AMD X570 В базе данных Евразийской экономической комиссии (ЕЭК) обнаружились записи о регистрации компанией ASRock ряда материнских плат на основе новой системной логики AMD X570. Всего упоминается девять системных плат, среди которых присутствуют модели как начального, так и среднег...

Yangtze Memory наладила массовый выпуск 64-слойных микросхем 3D NAND Китайский чипмейкер Yangtze Memory Technologies Company (YMTC) приступил к массовому производству 64-слойных микросхем памяти 3D NAND TLC вместимостью 256 Гбит. Об этом сегодня сообщило тайваньское веб-издание DigiTimes, ссылаясь на отраслевые источники. В новых...

ASRock подготовила материнскую плату X570 Taichi для новых процессоров AMD Уже на следующей неделе начнётся выставка Computex 2019, в рамках которой AMD представит процессоры Ryzen, а вместе с ними будут анонсированы и материнские платы на новом чипсете AMD X570. Компания ASRock также представит свои новинки, в частности, материнскую плату верхнего...

Core i9-10000 — название новой линейки процессоров Intel HEDT Пару дней назад мы писали о процессорах Intel HEDT поколения Cascade Lake-X. От текущих Skylake-X они будут отличаться весьма поверхностно — в основном всё ограничится лишь повышением частот. Благодаря Asus мы теперь знаем, что процессоры Cascade Lake-X сформируют...

AMD представила свой самый производительный 64-ядерный процессор В начале августа AMD представила новые серверные процессоры Epyc. Новое поколение перешло на семинанометровый техпроцесс и архитектуру Zen 2. И на данный момент у этих CPU попросту нет прямых конкурентов из стана Intel. Особенно, если вспомнить, что даже топовый 64-ядер...

Дальше — хуже. По данным Digitimes Research, дефицит процессоров Intel в следующем квартале усугубится Со ссылкой на аналитиков Digitimes Research источник утверждает, что нехватка процессоров Intel во втором квартале усилится по сравнению с первым. Причиной назван растущий спрос на хромбуки, в которых чаще всего используются процессоры Intel начального уровня. Больше вс...

Intel снизила цены на процессоры девятого поколения Intel не только представила новейшее поколение процессоров Xeon W и Core X, но и объявила об изменениях в ценовой политике. С сегодняшнего дня стоимость уже представленных на рынке моделей Intel Core девятого поколения снизилась.

Apple купила часть Intel за 1 млрд долларов Apple выкупила у американского производителя процессоров Intel большую часть бизнеса по производству модемов для мобильных устройств. Кроме патентов, оборудования и различной интеллектуальной собственности, Apple перейдут и более 2 тыс сотрудников выкупленного отдела. Сумма ...

Стартовало производство опытной серии 1-Гбит STT-MRAM: ёмкость выросла вчетверо Компания Everspin Technologies, которая единственная в мире выпускает чипы магниторезистивной памяти в коммерческих объёмах, некоторое время назад сообщила о начале опытного производства нового поколения микросхем STT-MRAM. Серийная продукция Everspin, которую по её заказу в...

Назван год, когда смартфоны 5G возьмут верх Со ссылкой на отраслевых наблюдателей источник обрисовал наиболее вероятный сценарий распространения смартфонов с поддержкой 5G. Как утверждается, китайские производители второго эшелона, следуя примеру ведущих поставщиков, начнут выпускать модели с поддержкой сотовых с...

AMD впервые в истории удалось обойти Intel по продажам процессоров в Южной Корее По сообщению источника, в минувшем квартале AMD впервые в истории удалось обойти Intel по продажам процессоров в составе готовых ПК в Южной Корее. Как свидетельствует статистика, 51,3% всех готовых ПК, проданных в течение квартала, были построены на процессорах AMD, а о...

Samsung приступила к массовому производству смартфонов Galaxy S10 Мы пока еще довольствуемся всевозможными слухами и утечками относительно флагманских смартфонов Samsung Galaxy S10, но для самой компании уже все решено — аппараты запущены в массовое производство. Причем, по данным корейского источника, стартовало оно еще пять дн...

6 причин посетить Autodesk University Russia 2019, если вы увлекаетесь цифровым производством Autodesk University Russia 2019 — ежегодная конференция Autodesk, собирающая российских и зарубежных экспертов в области технологий проектирования и производства промышленных изделий. В течение двух дней, 2 и 3 октября, участники смогут узнать, как создавать цифровые двойник...

SK Hynix начала производство микросхем 4D QLC NAND объёмом 1 Тбит Компания SK Hynix приступила к производству 96-слойных микросхем памяти 4D QLC NAND объёмом 1 Тбит. На данный момент начаты поставки образцов этих микросхем крупным разработчикам контроллеров для твердотельных накопителей. А это означает, что до массового производства этих м...

Intel официально отказывается от Itanium Без лишнего шума компания Intel сняла с производства процессор Itanium.

TSMC планирует приступить к производству 5-нанометровых процессоров для Apple Речь идет о чипа Apple A14, которые появятся в 2020 году.

Samsung снизит затраты на производство смартфонов с помощью Китая Сетевые источники сообщили, что в следующем году компания Samsung намерена нарастить объёмы ODM-производства смартфонов. То есть значительное количество устройств южнокорейского гиганта будут собраны сторонними китайскими компаниями.

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

Тестирование высокоэффективной расширяемой СВО Alphacool Eisbaer Extreme В последнее время Alphacool предпринимает попытки влиться в сообщество производителей «водянок», ориентированных на массового покупателя, и получается это с переменным успехом. Настало время тяжелой артиллерии, способной раз и навсегда поставить точки над «i». С ее выпуском ...

Совместная работа Carbon и Lamborghini Carbon – пионер в области высоких технологий, а именно непрерывной жидкостной интерфейсной печати. Данная компания заключила контакт на предмет сотрудничества с производителем спорткаров, известных своей роскошью, – Lamborghini. Результатом партнёрства станет серийное произв...

Материнские платы Asustek тоже готовы к выходу процессоров Intel Cascade Lake-X Не Gigabyte единым!

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

Графический процессор PlayStation 5 сможет работать с частотой до 2,0 ГГц Вслед за подробным списком характеристик консоли Xbox следующего поколения в Сети появились и новые подробности о будущей консоли PlayStation 5. Известный и довольно надёжный источник утечек с псевдонимом Komachi опубликовал информацию о тактовой частоте графического процесс...

Выгодные цены на автомобильные чехлы Приобрести автомобильные чехлы в Москве по выгодной цене можно на сайте компании «Ковчег М». На этом производстве можно заказать чехлы на определенную модель автомобиля. Компания имеет официальный сайт https://www.avtochehly-kovcheg.ru/category/renault-sandero/ на нем можно ...

Samsung может начать производство GPU для дискретных видеокарт Intel На этой неделе Раджа Кодури (Raja Koduri), курирующий производство GPU в Intel, побывал на заводе Samsung в Южной Корее. Учитывая недавнее объявление Samsung о начале производства 5-нм чипов с использованием EUV, некоторые аналитики посчитали, что этот визит может быть неслу...

Intel представляет микроархитектуру Tremont Intel представила первые архитектурные детали, связанные с Tremont. Новейшая и самая передовая микроархитектура процессора Intel x86 следующего поколения с низким энергопотреблением, обеспечивает значительное увеличение производительности IPC (количество команд на цикл) по...

В России освоили модульную сборку беспилотников Новая концепция подразумевает создание линии производства беспилотников, которая будет включать в себя все этапы сборки аппаратов, включая финальные испытания готовых изделий. «Модульный метод позволяет осуществлять быструю транспортировку производства в любую точку мира и ...

64 ядра, 128 потоков, 288 МБ кэш-памяти и TDP 280 Вт. Процессор AMD Ryzen Threadripper 3990X выйдет в 2020 году Сегодня, как мы упоминали, должны начаться продажи третьего поколения HEDT-процессоров Ryzen Threadripper. Анонсируя новое семейство Castle Peak, производитель решил ограничиться двумя моделями с 24 и 32 ядрами Zen 2, не проронив ни слова о фигурировавшем в утечках 64-ядерно...

TSMC тестирует 5-нм производство Серийное производство запланировано на первую половину 2020 года

Intel готовится к серийному производству 5G-чипов Компания рассчитывает на заказы от Apple.

Стали известны параметры видеокарт от Radeon 610 до Radeon RX 640 С выходом видеокарт поколения Navi компания AMD снова сменила формат названий адаптеров, что в последние годы делала уже не раз. Однако позже нам пообещали, что в ближайшие годы AMD будет придерживаться новых принципов именования карт. Таким образом, следующее поколени...

Canon отмечает необычный юбилей В день, когда все прогрессивное человечество отмечает 102-годовщину Великой Октябрьской социалистической революции, компания Canon рассказала о своем интересном юбилее. Оказывается, в этом году исполняется 50 лет со дня выпуска первого в мире потребительского сменного о...

Thermaltake запускает в производство новую мышь для любителей игр Лучший оптический сенсор в паре с ARM процессором и максимальной частотой опроса 2000 Гц

Утекли спецификации процессоров Intel 10-го поколение Comet Lake После того, как начались продажи процессоров AMD Ryzen 3000, компания Intel решила напомнить о себе и своих будущих процессорах 10-го поколения Comet Lake. Вероятно, поэтому, через день после релиза AMD, спецификации Comet Lake «случайно» попали в Сеть.

Видео дня: президент Xiaomi демонстрирует складной смартфон с гибким экраном, превращающийся в планшет Слухи, которые, как обычно, знают все, приписывали Xiaomi работу над складным смартфоном, однако компания их никак не комментировала. И вот сегодня вдруг неожиданно появилось официальное видео, в котором официальный президент Xiaomi Лин Бин (Lin Bin) демонстрирует в рук...

Процессоры AMD Ryzen Threadripper нового поколения отметились в CPU-Z и Geekbench Во время сессии вопросов и ответов на Hot Chips в этом месяце генеральный директор AMD Лиза Су (Lisa Su) дала понять, что о новом поколении Ryzen Threadripper компания готова рассказать ещё в этом году, но вывести их на рынок сможет в течение ближайших двенадцати месяцев. Эт...

Samsung сократила производство Exynos из-за вражды между Южной Кореей и Японией «В этом месяце Samsung сократила целевое производство мобильного процессора Exynos на 10 процентов, который будет использоваться для Note 10. Недостающие 10 процентов будут выпущены в следующем месяце», - цитирует чиновника Samsung местная телекомпания JTBC. Последняя торг...

Volvo Cars и Uber представляют беспилотный автомобиль Uber и Volvo подписали соглашение о совместных инженерных разработках в 2016 году и с тех пор разработали ряд прототипов для создания беспилотного автомобиля. Представленный сегодня внедорожник Volvo XC90 стал первым серийным автомобилем, который в сочетании с программным об...

Уровень брака при производстве 7-нм процессоров AMD не превышает 30% И это адекватный показатель на фоне изделий конкурентов.

12-ядерному процессору AMD Ryzen нового поколения приписывают «очень высокие» частоты Пару дней назад известный своими утечками относительно AMD инсайдер сообщил, что обнаружил данные о 16-ядерном процессоре AMD Ryzen нового поколения, которые работал на частотах 3,3-4,2 ГГц, при этом будучи инженерным образцом. Во-первых, это подтверждение тому, что топ...

Процессор AMD Ryzen Threadripper нового поколения засветился в Geekbench Слухи и утечки относительно процессоров AMD Ryzen Threadripper третьего поколения появлялись в сети еще до официального выпуска. Теперь на Geekbench появились предполагаемые оценки производительности процессора HEDT, а также информация, касающаяся его спецификации. Моде...

Представлена Samsung Exynos 980 — первая SoC Samsung со встроенным модемом 5G Компания Samsung Electronics представила свою первую мобильную однокристальную систему с интегрированной поддержкой сетей 5G, которая получила название Samsung Exynos 980. Samsung Exynos 980 производится по нормам 8-нанометрового технологического процесса и включает два...

Redmi 8: бюджетка Xiaomi уже доступна на AliExpress Презентацию своего нового смартфона Redmi 8 компания Xiaomi назначила на 9 октября. Тем не менее, на AliExpress страничка устройства появилась на несколько дней раньше, и продавцы уже принимают заказы, не дожидаясь официального дебюта модели. Изображения аппарата на сайте ка...

Процессоры AMD EPYC станут основой мощнейшего суперкомпьютера Европы Advanced Micro Devices станет поставщиком процессоров для ещё одного суперкомпьютера. Накануне стало известно, что 7-нанометрвые чипы EPYC послужат основой системы Archer2, которую запустят в Эдинбургском университете к маю следующего года. С пиковой вычислительной...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)