Социальные сети Рунета
Четверг, 25 апреля 2024

Французы предложили недорогую технологию производства экранов MicroLED любого размера Предполагается, что экраны с использованием технологии MicroLED станут следующим этапом развития дисплеев во всех проявлениях: от маленьких экранов для носимой электроники до больших телевизионных панелей. В отличие от LCD и даже OLED экраны MicroLED обещают лучшие разрешени...

Компания Panasonic первой в отрасли разработала технологию массового производства микрофлюидных приборов методом литья стекла Компания Panasonic сообщила о разработке совместно со специалистами института микрохимических технологий (IMT) технологии массового производства микрогидродинамических или микрофлюидных приборов методом литья стекла. Эта технология обеспечивает снижение стоимости приме...

Система струйной печати TEL Elius 500 Pro предназначена для производства дисплеев OLED Компания Tokyo Electron (TEL) объявила о выпуске системы струйной печати Elius 500 Pro, предназначенной для производства дисплеев на органических светодиодах (OLED). В последние годы были достигнуты значительные успехи в технологии производства OLED методом струйной пе...

Китайцы готовятся выпускать первую разработанную в стране память DRAM На днях с подачи интернет-ресурса DigiTimes мы сообщили, что китайская компания ChangXin Memory Technologies (ранее ― Innotron Memory) собирается в четвёртом квартале приступить к производству 19-нм 8-Гбит чипов LPDDR4 (или DDR4, в этом мнения разделились). Чуть подробнее о ...

Canon отмечает необычный юбилей В день, когда все прогрессивное человечество отмечает 102-годовщину Великой Октябрьской социалистической революции, компания Canon рассказала о своем интересном юбилее. Оказывается, в этом году исполняется 50 лет со дня выпуска первого в мире потребительского сменного о...

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

Nikon будет выпускать лидары Velodyne Lidar Компания Velodyne Lidar объявила о соглашении с компанией Nikon, в соответствии с которым японский производитель будет серийно выпускать лидары, созданные специалистами Velodyne. Выпуск должен начаться во втором полугодии. «Массовое производство наших выс...

Samsung откладывает выпуск телевизоров QD-OLED По данным источника, компания Samsung Display (SDC) недавно вложила значительные средства в покупку запатентованных технологий QD-OLED и micro-LED у одной из южнокорейских компаний. Ранее компания Samsung продвигала технологию QLED, в которой жидкокристаллические панели...

SK Innovation представит на CES 2019 пленку для гибких дисплеев складных смартфонов Южнокорейская компания SK Innovation завершила разработку полиимидной пленки для гибких дисплеев. Проект был начат в 2016 году, и в настоящее время компания готовится к серийному производству этого материала. Во втором квартале текущего года на коммерциализацию разработ...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

В Бельгии начали разрабатывать сверхъяркие тонкоплёночные светодиоды и лазеры Сверхъяркие светодиоды и лазеры прочно вошли в нашу жизнь и используются как для обычного освещения, так и в разного рода измерительной электронике. Перевести эти полупроводниковые приборы на новый уровень могли бы технологии производства с использованием тонкоплёночных стру...

В Japan Display создан прототип дисплея micro-LED Компания Japan Display объявила о разработке прототипа дисплея micro-LED. Технология micro-LED считается наиболее вероятным кандидатом на использование в дисплеях следующего поколения. Производитель покажет прототип на выставке FinTech JAPAN 2019, которая пройдет в Япон...

В этом году AUO планирует построить линию 6G по выпуску панелей OLED методом струйной печати По данным отраслевых источников, компания AU Optronics (AUO) планирует до конца 2019 года построить линию по выпуску панелей OLED методом струйной печати, рассчитанную на положки 6G. В текущем полугодии тайваньский производитель установит тестовую линию 3,5G. AUO разра...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Наконец-то. Струйная технология OLED находится на пороге серийного производства Технология производства дисплеев из органических светоизлучающих диодов (OLED) методом струйной печати должна войти в массовое производство в следующем году, а в период 2020 по 2024 год ожидается расширение соответствующих мощностей в 12 раз. Такими данными располагают ...

Applied Materials выпустила оборудование для массового производства MRAM, ReRAM и PCRAM Компания Applied Materials ― один из ведущих поставщиков производственного оборудования для выпуска полупроводников ― начала поставлять передовые и уникальные машины для обработки кремниевых пластин. Это установки Endura Clover и Endura Impulse. Каждая из них представляет со...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

BOE станет поставщиком OLED-дисплеев для смартфонов LG Сетевые источники сообщают о том, что компания LG Electronics планирует начать использовать в своих смартфонах экраны на органических светодиодах (OLED) производства китайской BOE. Фотографии Reuters OLED-дисплеи BOE уже применяет Huawei в своих аппаратах Mate 20 Series. Кро...

SK Hynix хочет производить 3D-NAND с 800 слоями На саммите по флэш-памяти в Санта-Кларе, корейский производитель SK Hynix представил новые продукты и объявил о планах на будущее. В настоящее время SK Hynix работает над 128-слойным 3D-nand, и его массовое производство должно начаться в четвертом квартале этого года. Компан...

LG Display сократит четверть руководящего и офисного персонала В пятницу южнокорейская компания LG Display сообщила о предстоящем масштабном разовом сокращении персонала. «Перегруппировке и оптимизации» подверглись руководящие и деловые кадры ― инструменты по принятию и проведению решений в жизнь производителя. Работы в компании лишится...

Британские пассажирские поезда теперь оснащаются 3D-печатными подлокотниками и поручнями ЖД Великобритании воспользовались технологией Stratasys, разработав запчасти для железнодорожного транспорта. В британских пассажирских поездах теперь используются 3D-печатные подлокотники и поручни, и вскоре, возможно, появятся аналогичные сиденья. Стоит отметить, чт...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

SDK скоро начнет поставки пластин для HDD объемом 18 ТБ Японская компания Showa Denko KK (SDK) заявила, что скоро начнет поставки пластин для накопителей на жестких магнитных дисках, рассчитанные на использование технологии MAMR (Microwave Assisted Magnetic Recording). Пластина типоразмера 3,5 дюйма вмещает 2 ТБ. Компания To...

SK Hynix начинает серийный выпуск первой в мире 128-слойной флеш-памяти 4D NAND Компания SK Hynix объявила о начале серийного выпуска первых в мире кристаллов 128-слойной флеш-памяти типа TLC 4D NAND плотностью 1 Тбит. Такой кристалл представляет собой наиболее сложное изделие в своем роде: он насчитывает более 360 миллиардов ячеек, каждая из котор...

3D-печать в производстве электромобилей Электромобили становятся всё более популярными, а 3D-печать помогает ускорить их проектирование и разработку. Без двигателя внутреннего сгорания (ДВС) можно проектировать автомобили, которые очень отличаются от тех, которымимы привыкли пользоваться каждый день. Это о...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

TSMC начнёт массовое производство 5-нм чипов в ближайшие месяцы Контрактный производитель полупроводников Taiwan Semiconductor Manufacturing Company (TSMC) близок к запуску 5-нанометрового конвейера, сообщает веб-издание China Times. Серийный выпуск микросхем по новой технологии он планирует наладить уже в первом квартале 2020 года. В...

Microsoft успешно записала фильм «Супермен» на долговечный стеклянный носитель Компания Microsoft отчиталась о первом крупном успехе «Project Silica» – проекта по созданию носителей информации на основе кварцевого стекла. Специалистам удалось записать полную оцифрованную версию фильма «Супермен» 1978 года на стеклянную пластину размером с ладонь. Теоре...

Специалисты SK hynix разработали память DRAM DDR4 плотностью 16 Гбит, рассчитанную на выпуск по нормам 1Z нм Компания SK hynix сообщила о разработке памяти DRAM DDR4 плотностью 16 Гбит, рассчитанной на выпуск по нормам 1Z нм. По словам производителя, это наибольшая плотность одного кристалла DDR4, а с учетом норм достигнут еще и рекордный объем в расчете на одну пластину. Как ...

Компания ChangXin Memory вложила в разработку DRAM более 2,5 млрд долларов Молодая китайская компания ChangXin Memory Technologies (CXMT) инвестировала в исследования и разработку технологий DRAM более 2,5 млрд долларов. Об этом сообщил источник со ссылкой на слова генерального директора компании. Как сказал Имин Чжу (Yiming Zhu), CXMT разраб...

В России разработана съедобная посуда Об этом сообщает «Интерфакс» со ссылкой на управление печати и массовых коммуникаций Алтайского края. «Тарелки, которые можно будет съесть, придумали сотрудники Алтайского государственного университета совместно с учеными Алтайского государственного технического университета...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

Корейцы создали гибкий и полупрозрачный светодиод из перовскита В последние годы среди учёных набирает популярность исследование свойств и поиск прикладных свойств перовскитов ― минералов титаната кальция, впервые найденных на Урале около 180 лет назад. Дальше всего зашли разработчики солнечных панелей (фотоэлементов) из перовскита. Испо...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

В 2020 году Китай захватит до 70 % глобального рынка смартфонов с 5G Технологии 5G стали началом очередного мощного скачка в телекоммуникационной отрасли, поэтому многие производители стараются успеть занять место на этом рынке. Лидирующую позицию в этом направлении уже в следующем году может занять китайский рынок. По мнению тайваньской комп...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

Samsung инвестирует $11 млрд в производство дисплеев на ... Сегодня корпорация Samsung сделала официальное объявление о своих планах инвестировать $11 млрд (13,1 трлн вон) в разработку и производство дисплеев следующего поколения с квантовыми точками. Такое решение принято в попытке справиться с падением спроса на LCD-матрицы, растущ...

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

В России создали квантовый телефон стоимостью 30 млн рублей Устройство ViPNet QSS Phone разработала компания "Инфотекс" в сотрудничестве с Центром квантовых технологий МГУ. Серийное производство квантового телефона должно начаться к концу этого года.

В России стартовало производство SSD GS Group с интерфейсом PCIe Центр разработки микроэлектроники в составе GS Group ― GS Nanotech ― приступил к производству первых в России твердотельных накопителей с интерфейсом PCIe и с поддержкой протокола NVMe. Разработка и производство новинок полностью локализованы в России в инновационном кластер...

У Samsung Display пока не получаются большие панели OLED Если верить источнику, компания Samsung Display, являющаяся крупнейшим в мире производителем панелей OLED, сталкивается с трудностями в разработке больших панелей для телевизоров следующего поколения. Один из представителей отрасли, пожелавший сохранить анонимно...

Apple планирует выпустить очки с поддержкой дополненной реальности? Ни для кого не секрет, что Apple давно интересуется технологиями дополненной реальности. Пока компания ограничилась лишь запуском платформы ARKit с набором инструментов для разработчиков. Но, как утверждает авторитетный аналитик Минг Чи-Куо, корпорация планирует развивать э...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Новым iPhone и iPad — новые антенны Аналитик Минг-Чи Куо (Ming-Chi Kuo) утверждает, что в этом году Apple откажется от использования антенн, созданных на базе технологии LCP (жидкокристаллический полимер). Такой материал был использован для антенн iPhone XS, iPhone XS Max и iPhone XR. В новых смартфонах 2...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Kyulux снова удалось улучить синий материал OLED На недавней конференции OLED Korea 2019 в Сеуле директор компании Kyulux, созданной в 2016 году для коммерциализации разработки университета Кюсю в области OLED, в которой используется принцип «термально активируемой отложенной флуоресценции» (TADF), рассказ...

Разработаны первые российские изоляционные материалы для современной электроники Новые термостойкие материалы с низкой диэлектрической проницаемостью на основе производных бензоциклобутена разработаны АО "ЦНИТИ "Техномаш" (входит в холдинг "Росэлектроника") совместно с Российским технологическим университетом (МИРЭА).Новые матери...

Складные экраны для ноутбуков выпускать проще, чем складные экраны для смартфонов Как мы уже рассказывали, компания Lenovo недавно показала прототипа ноутбука, созданного в партнерстве с LG Display. Его особенностью является складной дисплей OLED. Ноутбук может появиться на рынке уже в 2020 году. Выступая на недавнем мероприятии SID Display Week 201...

SAS инвестирует миллиард долларов в технологии искусственного интеллекта Компания SAS, ведущий игрок на рынке бизнес-аналитики, инвестирует 1 млрд долларов США в технологии искусственного интеллекта в течение ближайших трех лет. Инвестиционный план предполагает разработку и внедрение новых программных решений, образовательных программ, экспертных...

Облачное подразделение Amazon разработало новый серверный процессор Подразделение Amazon, занимающееся облачными вычислениями, разработало второе, более мощное поколение процессоров для центров обработки данных. Об этом Reuters сообщили два источника, которые знакомы с вопросом. Эта информация подтверждает, что Amazon вкладывает деньги ...

Пока Huawei готовится к началу эпохи 5G, компания LG уже работает над 6G Хорошо осведомленные источники сообщают, что компания Huawei раньше своих конкурентов начала размещать заказы на производство оборудования, которое может работать в сетях пятого поколения. Инсайдеры из цепочки поставок подтверждают, что перечень заказанной продукции ко...

Представлены мобильные платформы Snapdragon 865 и Snapdragon 765/765G с поддержкой 5G Вчера, в первый день ежегодного мероприятия Snapdragon Tech Summit, компания Qualcomm объявила 2020 год годом массового распространения 5G. Этому должны способствовать новые мобильные платформы Qualcomm Snapdragon с поддержкой 5G. Всего представлено две нов...

Как в iPhone появилось стеклянное покрытие экрана Пожалуй, никто не станет спорить с тем, что оригинальный iPhone был самым передовым и прорывным смартфоном на момент своего выхода. Емкостный сенсор, Multi-Touch — никто из конкурентов не предлагал чего-то подобного. Одной из инноваций можно также назвать покрытие дис...

Samsung создала 20 Мп датчик изображения для «дырявых» ... Компания Samsung продолжает пополнять модельный ряд фирменных датчиков изображения и сегодня представила сенсор ISOCELL Slim 3T2. Новое изделие позиционируется как самое компактное в своем классе, и оно найдет свое применение в современных безрамочных устройствах. Утверждает...

Apple не может создать 5G модем Американское издание, со ссылкой на источник в компании Apple, сообщает о трудностях известной компании при разработке модемов 5G. Оказалось, что создать столь сложную технологию компания самостоятельно не может, так как пока что нет нужных наработок, а пользоваться чужой те...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Когда ждать MacBook и iPad с OLED-экранами? На данный момент в линейке продуктов Apple есть лишь два типа устройств с OLED-матрицами — это iPhone и Apple Watch. Компания пока не внедрила экраны построенные на органических светодиодах в iPad и MacBook, однако эксперты уверены — это лишь вопрос времени. К п...

Project Silica: стекло – носитель архивов будущего Компания продемонстрировала инновационную систему трехмерной записи на стеклянных пластинах, которая может выдерживать воздействие микроволн, наводнения, размагничивание и прочие природные катаклизмы. Наряду с новым браузером Edge, запросами на естественном языке в Excel ...

Водоблоки EK-Vector Trio RTX предназначены для 3D-карт MSI Gaming X Trio RTX Компания EK представила водоблоки для 3D-карт серии MSI Gaming X Trio GeForce® RTX. В их описании производитель отмечает «уникальную эстетичную крышку поверх терминала», на которой светодиодной подсветкой показана модель 3D-карты. Серия водоблоков с пол...

В лучших традициях Google: компания Waymo поделится своими лидарами для беспилотных авто с другими производителями Компания Waymo на сегодняшний день является лидером рынка беспилотных авто. Именно её машины требуют вмешательства водителя реже все, кроме того, у компании уже есть сервис беспилотных такси Waymo One, хотя он пока запущен локально и имеет ряд ограничений. Успех Waymo о...

Samsung Display инвестирует 10,85 млрд долларов в производство панелей QD-OLED По данным источника, компания Samsung Display (SDC) официально объявила о решении инвестировать 10,85 млрд долларов США в исследования, разработку и производство телевизионных панелей QD-OLED. Инвестиционный план рассчитан на 6 лет — с 2019 по 2025 год. Первым шаг...

Apple жадничает. Собирать iPhone стало дешевле, но цены снижаться не будут Как стало известно, компания Foxconn начала производить iPhone XR в Индии. Кроме того, скоро в Индии начнется производство линейки смартфонов iPhone 11. Этот шаг позволит сократить затраты на производство iPhone. Законы Индии поддерживают использование в оборудовании де...

Новые MacBook Pro и iPad Pro получат дисплеи Samsung Как сообщают различные источники, компания Samsung ведет переговоры с Apple о поставке OLED-дисплеев для 16-дюймового MacBook Pro и будущих моделей планшета iPad Pro. Впервые мы узнали о потенциальном MacBook Pro с диагональю от 16 до 16,5 дюйма от известного аналитика...

Впервые в России: созданы синие диоды на основе циркуленов Специалисты Томского государственного университета (ТГУ) создали, как утверждается, первые в России синие диоды на основе циркуленов. Речь идёт об органических светодиодах — Organic Light-Emitting Diode, или OLED. Они будут применяться в дисплеях мобильных устройств, телевиз...

Очередное дополнение к договору с GlobalFoundries развязывает руки AMD В пресс-релизе AMD, посвященном итогам четвертого квартала 2018 года и года в целом, есть небольшой параграф, озаглавленный «Обновление соглашения о поставке пластин». При всей лаконичности и малозаметности на фоне финансовых показателей он весьма важен. В ...

Компания Tianma показала прототипы прозрачной панели OLED и панели OLED, изготовленной методом струйной печати На завершающейся сегодня в Нюрнберге выставке Embedded World 2019 китайская компания Tianma продемонстрировала свои последние достижения, включая гибкие панели и два новых прототипа панелей OLED. Первый прототип — прозрачная панель AMOLED диагональю 10,3 д...

Поставки кремниевых пластин за год сократились на 5,6% По подсчетам отраслевой организации SEMI Silicon Manufacturers Group (SMG), в минувшем квартале объем поставок кремниевых пластин, используемых при производстве полупроводниковой продукции, сократился до наименьшего с четвертого квартала 2017 года уровня. В годовом...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Боб Сван: Мы подводим клиентов, они вправе ожидать от нас большего Неожиданный и продолжительный дефицит процессоров Intel смазал результаты рекордного квартала. Доходы Intel в третьем квартале, наверное, оказались рекордными, но руководству компании на подведении итогов большую часть времени пришлось извиняться. Да-да, именно извинят...

Будущие iPhone обзаведутся дисплеями microLED Вот уже который год в Сети циркулируют слухи о том, что Apple работает над новой перспективной технологией — экранами на основе microLED. Для этих целей Apple даже открыла секретный завод в Калифорнии, где компания тайным образом разрабатывает и тестирует дисплеи ново...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Школьники смогут выбрать профессию с помощью VR-технологий Интерактивный карьерный навигатор "Росэлектроники" позволяет пройти профориентационный тест с использованием VR-технологий, по итогам которого программа выдает школьнику список наиболее подходящих ему профессий и компаний, где востребованы специалисты этого профиля...

Стильная, модная, молодежная разработка BPM на Camunda BPM-разработка — дело непростое. Это обусловлено тем, что процесс должен быть читаемым и понятным заказчику, а не только корректным с технической точки зрения. Не все средства разработки бизнес-процессов позволяют найти компромисс между понятным описанием и технической фун...

Власти Южной Кореи выделят более $380 млн на блокчейн-разработки Министерство науки и технологий Южной Кореи планирует вложить 450 миллиардов вон (~$382 млн) на исследование и развитие технологии блокчейн, сообщает The Block со ссылкой на ZDNet Korea. Ведомство будет выделять эту сумму частями в течение шести лет — с 2021 года по 2026. Це...

Совместная работа Carbon и Lamborghini Carbon – пионер в области высоких технологий, а именно непрерывной жидкостной интерфейсной печати. Данная компания заключила контакт на предмет сотрудничества с производителем спорткаров, известных своей роскошью, – Lamborghini. Результатом партнёрства станет серийное произв...

Финансовая группа GHP Group Марка Гарбера стала владельцем проекта Vostok Сумма сделки не раскрывается. Ранее Марк Гарбер выступал одним из инвесторов проекта, но доли в нем не имел, уточнили TAdviser в Vostok."Пути развития Vostok и Waves все сильнее расходятся. Для меня приоритетной задачей было и остается международное развитие Waves Platf...

IBM и «Норникель» будут готовить блокчейн-специалистов на базе МФТИ «Норникель», IBM и Московский физико-технический институт (МФТИ) в рамках продолжающегося Международного экономического форума подписали соглашение о создании центра компетенций для подготовки специалистов по разработке цифровых технологий для горнорудной отрасли. ...

Смартфону Huawei Mate 30 приписывают сдвоенную камеру с датчиками изображения Sony IMX600 формата 1/1,7 и 1/1,5 дюйма Китайские источники сообщают о том, что в линейке Huawei Mate 30 производитель может отказаться от дальнейшего увеличения количества датчиков изображения в основной камере. Более того, их станет меньше. Согласно свежим данным, компания Huawei установит в Huawei Mate 30 ...

Apple взялась за производство AirPower. Но стоит ждать большого дефицита Не так давно в сети появилась информация о том, что компания Apple таки выпустит беспроводную зарядку AirPower. Согласно последним данным, гаджет уже запущен в производство.  Об этом сообщает издание Digitimes с ссылкой на своих информаторов. По их данным, Apple активно рабо...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

IKEA делает ставку на развитие технологий «умного дома» IKEA официально подтверждает то, что итак было очевидным в последнее время: компания делает серьёзную ставку на технологии «умного дома», рассматривая их в качестве нового источника доходов. Для этого компания намерена инвестировать в новое бизнес-подразделение «IKEA Home Sm...

Merck и Universal Display Corporation договорились вместе развивать технологию OLED Американская компания Universal Display Corporation (UDC) и немецкая компания Merck KGaA объявили о сотрудничестве в исследованиях и разработке органических светодиодов (OLED). Этим соглашением два ключевых участника отрасли OLED объединяют свои ноу-хау для ускорения р...

Серийное производство электроники в России. Как мы делали телеметрию для вендинга Часто встречаемся с мнением, что производить в России электронику – занятие бессмысленное. Дорого, долго, плохо и вообще лучше делать в Китае. В этой статье мы бы хотели поделиться опытом разработки и серийного производства коммерческой электроники – модулей МТУТА. Мы ра...

Samsung начинает производство модулей AiP для 5G mmWave В июле прошлого года компания Qualcomm представила первые в мире антенные модули (AiP) 5G NR mmWave и радиочастотные модули sub-6 ГГц для смартфонов и других мобильных устройств, а к октябрю специалисты Qualcomm смогли уменьшить антенные модули 5G NR mmWave на 25%. ...

В iPhone 2020 года могут появиться гибкие OLED-дисплеи LG Компания LG готовится к запуску производства гибких OLED-панелей, которое должно начаться уже в этом году в Южной Корее. По сообщениям сетевых источников, компания Apple заинтересована в сделке с южнокорейским производителем. В случае заключения партнёрского соглашения между...

UMC отказывается от намерения выпускать DRAM совместно с китайским партнером После недавних обвинений в экономическом шпионаже со стороны США тайваньская компания United Microelectronics Corp (UMC) намерена свернуть проект, предусматривавший разработку и выпуск памяти DRAM совместно с китайским партнером. По сообщению источника, почти половина ...

Altium представила облачное приложение для управления электронными компонентами Altium представила облачное приложение для управления электронными компонентами. Электронные компоненты играют главную роль при разработке и производстве печатных плат, которые являются основой современных умных устройств. Чтобы своевременно ...

LG Display начала массовое производство OLED-панелей в Китае В пятницу руководство компании LG Display торжественно ввело в строй завод по выпуску панелей OLED в Китае в городе Гуанчжоу. Первоначально планировалось, что этот завод будет обрабатывать подложки для выпуска LCD-панелей. Однако год назад перед началом установки производств...

За год Intel увеличила производство 14-нм пластин на 25% В середине прошлого года Intel столкнулась с дефицитом собственных процессоров для серверного и массового рынка. С тех пор руководство корпорации ищет пути решения данной проблемы, но последствия дефицита проявляются и сегодня. Корпорация уже...

Персональный радар, который может позволить себе каждый Инженеры и ученые из международной команды KAUST разработали технологию портативного радара, который предназначен для беспилотников и носимой электроники. Он бесполезен для военных ввиду чрезвычайно слабой мощности, но оптимален для бытовых роботов и систем навигации в замкн...

О чем не говорят при разработке приложений для Android / IOS? Развитие современных технологий привело к тому, что разработка приложений для Android / IOS стала одной из самых прибыльных сфер во всей сфере создания софта. До недавнего времени на первом месте стояли сложные эксклюзивные решения. Однако сегодня любая крупная компания разр...

Apple уже начала производство процессоров A13 Уже начато производство процессоров для нового семейства iPhone, которые выйдут осенью этого года. Это однокристальная платформа Apple A13, выполненная по 7-нанометровому техпроцессу. Производством занимается компания TSMC (Taiwan Semiconductor Manufacturing). Пока нача...

Компания Fujitsu завершила проектирование суперкомпьютера Post-K и приступила к его изготовлению Компания Fujitsu объявила, что совместно с институтом RIKEN (Институт физико-химических исследований — крупный научно-исследовательский институт в Японии, почти полностью финансируется правительством Японии) она завершила проектирование суперкомпьютера Post-K, кот...

TCL наладит выпуск гибких OLED панелей в этом году Интерес производителей смартфонов к складному форм-фактору очень высок. В числе них — TCL, которая еще в начале года заявила о своих планах выпустить гибкий мобильник. И, возможно, даже не один.     Серьезный шаг к созданию складного смартфона уже сделан &md...

Kirin 990 может стать последним чипсетом от Huawei Как вы уже знаете, под давлением правительства США многие крупнейшие американские и британские компании вынуждены прекратить сотрудничество с Huawei. Несмотря на все заверения главы Huawei о том, что ничего страшного не произошло, для китайской компании последствия могут быт...

Германия дала денег на разработку натрий-ионных аккумуляторов для транспорта и стационарных батарей Федеральное министерство образования и научных исследований Германии (BMBF) впервые выделило деньги на масштабные разработки по созданию экологически чистых и недорогих аккумуляторов, которые должны прийти на смену популярным литиево-ионным аккумуляторам. На эти цели ряду на...

Российские ученые смогли изучить распределение электрического потенциала внутри сегнетоэлектрического конденсатора — основы памяти будущего Группа специалистов из лаборатории функциональных материалов и устройств для наноэлектроники МФТИ, работая совместно с коллегами из Германии и США, совершила прорыв на пути к созданию новой энергонезависимой памяти. Учетным из МФТИ удалось разработать уникальную методи...

Alibaba представила ИИ-процессор для облачных вычислений Разработчики из Alibaba Group Holdings Ltd представили собственный процессор, который является специализированным решением для машинного обучения и будет использоваться для повышения качества услуг, предоставляемых подразделением облачных вычислений. Представленное изделие п...

3D-печать домов постепенно набирает обороты Строительство домов с использованием методов 3D-печати может показаться футуристическим, но эта технология постепенно набирает обороты. В качестве примера источник приводит молодую компанию haus.me, которая недавно запустила производство в штате Невада. Этот производите...

Google перенесёт производство смартфонов на фабрику Nokia из-за антикитайских пошлин Трампа Компания Google начала комплектовать старую фабрику Nokia во Вьетнаме в рамках подготовки переноса производства смартфонов Pixel из Китая. Об этом сообщили два источника издания Nikkei Asian Review.

Samsung признал бесперспективность IPS-дисплеев в смартфонах и телевизорах Подразделение Samsung, отвечающее за разработку дисплеев, выступило с официальным заявлением, что Samsung Display на полном серьезе рассматривает возможность сворачивания одной из производственных линий, занимающуюся производством LCD-дисплеев для смартфонов и телевизоров. С...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Официально: Apple покупает модемный бизнес Intel Многочисленные слухи подтвердились: Apple действительно покупает модемное подразделение Intel за один миллиард долларов. Об этом стало известно из пресс-релиза на официальном сайте компании. Стороны уже подписали соответствующее соглашение, однако сама сделка будет завершен...

Intel готова начать массовое производство память MRAM Компания Intel показала, что она может вывести на рынок совершенно новый продукт. Накопители Optane уже достаточно распространены, хотя речи о замене обычных SSD, конечно, не идёт. Как сообщает источник, Intel уже готова к массовому производству ещё одного нового проду...

Водоблоки EK-Vector для видеокарт ROG Strix GeForce RTX получили адресуемую подсветку D-RGB Словенская компания EK Water Blocks, специализирующаяся на компонентах для систем жидкостного охлаждения, представила новое поколение водоблоков EK-Vector, разработанных для графических карт серии ROG Strix GeForce RTX. Водоблоки EK-Quantum Vector Strix RTX D-RGB оснаще...

[Перевод] Смартфоны Samsung в скором времени получат 1 ТБ внутренней памяти Надоела нехватка дискового пространства на вашем телефоне, заполненном приложениями, фотографиями и видео? Когда-нибудь в ближайшем будущем смартфоны будет поставляться с более чем одним терабайтом внутренней памяти которая будет работать в 10 раз быстрее, чем SD-карточка. ...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Назван год, когда смартфоны 5G возьмут верх Со ссылкой на отраслевых наблюдателей источник обрисовал наиболее вероятный сценарий распространения смартфонов с поддержкой 5G. Как утверждается, китайские производители второго эшелона, следуя примеру ведущих поставщиков, начнут выпускать модели с поддержкой сотовых с...

Tesla за 200 млн долларов приобрела производителя ионисторов и прочих энергетических решений Компания Tesla известна своими электромобилями. Однако амбиции на рынке электромобилей привели к тому, что Tesla к тому же ещё и стала крупным производителем аккумуляторов. Как сообщается, сегодня Tesla для усиления своих позиций приобрела компанию Maxwell, специализир...

Apple начала разработку собственного модема Apple действительно разрабатывает сотовый модуль собственного производства, сообщает издание Reuters со ссылкой на источники в компании. Для этих целей даже была сформирована команда из инженеров, ранее занимавшихся проектированием аппаратной части iPhone. Новое подразделен...

На одном из заводов Samsung по производству DRAM произошла авария Как стало известно накануне, несколько недель назад на одном из небольших заводов Samsung возникли проблемы с производством микросхем DRAM. Предприятие Giheung Plant находится в Южной Корее и занимается выпуском 200-мм полупроводниковых пластин по...

Разработчик 3D-печатных протезов Open Bionics намеревается выйти на международный рынок Британская компания Open Bionics, занимающаяся разработкой и производством бионических протезов с использованием технологий 3D-печати, получила инвестиции в размере четырех с половиной миллионов фунтов стерлингов и планирует выйти на международный рынок.  Подробнее...

China Unicom и ZTE провели тестирование сети 5G, совершив первый звонок Компания ZTE Corporation (0763.HK / 000063.SZ), крупный международный поставщик телекоммуникационных решений, а также корпоративных и потребительских технологий для мобильного Интернета, объявила, что гуандунское подразделение China Unicom и ZTE ...

Гуд бай, Токио: Samsung нашла источник фоторезиста в Бельгии Сайт Nikkei Asian Review поделился информацией о новом источнике фоторезиста для компании Samsung Electronics. Этот ключевой материал для производства чипов южнокорейская компания получала от партнёров в Японии, но с 4 июля поставки оказались под угрозой срыва из-за санкций ...

Стала известна стоимость разработки нового российского авианосца “РИА Новости”, ссылаясь на источник в оборонно-промышленном комплексе, сообщило о сумме необходимых затратах на разработку и производство нового российского авианосца. Так, его стоимость оценивается в 300-400 млрд рублей.

Apple может перенести до 30% производства из Китая на фоне торговых войн Об этом пишет ТАСС со ссылкой на газету Nikkei.По сведениям источников издания, руководство компании обратилось с соответствующей просьбой к своим основным поставщикам. Среди них - Foxconn, Pegatron, Wistron, Quanta Computer, Compal Electronics, Inventec. Им была поставлена ...

Samsung открывает научно-исследовательский институт пыли Компания Samsung Electronics открыла научно-исследовательский институт, который займется проблемами, связанными с мелкодисперсной пылью (P2.5). Институт создан в рамках института Samsung Advanced Institute of Technology (SAIT), а его директором назначен заместитель пре...

Тайваньские ученые кардинально улучшили память MRAM Магниторезистивная память с произвольным доступом (MRAM) считается наиболее подходящим кандидатом на роль универсальной памяти следующего поколения. Однако до недавнего времени эффективное управление MRAM было сложной задачей. Если верить сообщению тайваньского национал...

Fujian Jinhua прекращает производство из-за запрета США на поставку материалов В октябре стало известно, что США запретили поставки американской продукции китайскому производителю микросхем памяти Fujian Jinhua Integrated Circuit Co Ltd. Китайскую компанию обвинили в краже интеллектуальной собственности у американской компании Micron Technology. Д...

Yangtze Memory организовала массовый выпуск 64-слойной памяти 3D NAND Китайская компания Yangtze Memory Technologies (YMTC) приступила к массовому производству 64-слойных микрочипов флеш-памяти TLC 3D NAND. Об этом сообщает ресурс Digitimes, ссылаясь на отраслевые источники. Речь идёт об изделиях ёмкостью 256 Гбит. Упомянутая технология TLC пр...

Xiaomi открывает в Европе новый центр исследований и разработок Китайская компания Xiaomi формирует новый научно-исследовательский и опытно-конструкторский центр на территории Европы, о чём сообщают сетевые источники. Reuters Создаваемая структура расположится в Финляндии. Для обеспечения её работы уже официально зарегистрирована новая ф...

Samsung получила заказы на производство процессоров от Intel Компания Intel в очередной раз сообщила, что она испытывает проблемы с объёмами производства процессоров. Гонка с AMD за количество ядер привела к увеличению физического размера чипов, а значит, уменьшению их числа на одной пластине и дальнейшему росту дефицита.

Samsung в течение 17 лет подряд является самой успешной компанией у себя на родине Корейские наблюдатели из исследовательского института CXO подтверждают, что компания Samsung Electronics в прошлом году снова стала самой успешной компанией на территории Южной Кореи. Samsung удается это уже 17 лет подряд. Крупнейший в мире производитель смартфонов и п...

Технология mini-LED придет на автомобильный рынок в этом году Экраны на миниатюрных светодиодах (mini-LED), предназначенные для использования в автомобилях, появятся на рынке уже в этом году. Таково мнение отраслевых источников. Ожидается, что панели mini-LED найдут применение в экранах с проекцией на лобовое стекло, приборных пан...

Ученые придумали безвредные для окружающей среды батарейки Традиционным аккумуляторным элементам уже давно пора подыскать замену Изобретение переносных литий-ионных аккумуляторов (или попросту сказать батареек) произвело в свое время настоящую революцию. Но позже выяснилось, что элементы, из которых состоят эти самые батарейки, не т...

Простые практики прогнозирования временных затрат Способность качественно оценивать временные затраты на разработку — один из ключевых навыков хорошего управляющего процессом разработки. Ошибочные прогнозы сроков завершения задач, как свидетельствует мой личный опыт, является одним из если не основных источников боли для ру...

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

LG не планирует закрывать мобильное подразделение, несмотря на убытки в течение 15 кварталов подряд На прошлой неделе мы уже сообщали о том, что продажи мобильного подразделения компании LG снизились на 16% в четвертом квартале прошлого года, когда другие производители регулярно отмечают рост продаж. Кроме того, появилась информация о том, что компания планирует прекр...

Оптимизм MediaTek. Компания хочет отгрузить в следующем году 60 млн SoC с поддержкой 5G Компания MediaTek первой на рынке представила однокристальную систему с интегрированным модемом 5G. Решение называется MediaTek 5G SoC, но оно до сих пор не появилось в серийных продуктах. Ожидается, что массовое производство SoC начнётся до конца текущего года, хотя н...

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

Foxconn пытается продать линию 10.5G, предназначенную для выпуска ЖК-панелей Ссылаясь на представителей цепочки поставок, источник утверждает, что компания Foxconn Group провела переговоры с несколькими китайскими производителями плоских панелей, включая Chongqing HKC Optoelectronics и China Star Optoelectronics Technology (CSOT), о возможной пр...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Tesla готова делиться с другими производителями электромобилей компонентами и технологиями На квартальной отчётной конференции Tesla один из присутствующих на мероприятии аналитиков поинтересовался у Илона Маска (Elon Musk), каким образом компания готова способствовать популяризации электромобилей, и сможет ли она при наличии благоприятных условий делиться с конку...

Как делают стеклянные ёлочные украшения Согласно одной из версий, первые стеклянные ёлочные игрушки появились в Саксонии в XVI веке — раньше первой документально зафиксированной установки рождественского дерева! Другая версия звучит более правдоподобно и связывает их появление с катастрофическим неурожаем яблок в ...

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

LG анонсировала начало исследовательской работы над технологией 6G Корейский производитель электроники LG совместно с KAIST — Корейским институтом передовых технологий — в ближайшее время откроет «исследовательскую лабораторию 6G». Об этом заявил Пак Ил-Пюн, технический директор компании. Как сообщается, новая структур...

Массовое производство гибких экранов TCL для смартфонов начнется в этом году 30 мая компания TCL в сообщила, что в Ухане началась эксплуатация производственной линии по выпуску гибких панелей LTPS-AMOLED с запланированной производительностью 45 тыс. единиц в месяц. Компания также подписала соглашения с некоторыми производителями смартфонов, сред...

Дженсен Хуанг: Наше лидерство в сфере трассировки лучей превратило технологию в стандарт В ходе регулярного совещания руководства Nvidia по итогам первого квартала 2019 года основатель и генеральный директор компании Дженсен Хуанг (Jen-Hsun Huang) отметил ведущую роль Nvidia во внедрении и разработке технологии трассировки лучей в...

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

Видео: как Ford использует технологии 3D-печати в производстве прототипов и серийных деталей Компания Ford опубликовала интересный ролик: главный инженер Кен Вашингтон рассказал о применении технологий 3D-печати в целом и 3D-принтеров от Desktop Metal в частности. Desktop Metal предлагает относительно недорогие системы для печати изделий методом послойного наплавлен...

Сотрудничество AREVO и Franco Bikes для изготовления 3D-печатных рам из углеродного волокна AREVO – старт-ап, финансируемый Khosla. Он использовал шестиосевую роботизированную руку для прессования композитов в производстве. Главная особенность старт-апа – применение композитов, армированных углеродными нанотрубками. Цельные велосипедные рамы Сегодня AREVO сотрудни...

Искусственный интеллект научился точно прогнозировать срок службы аккумуляторов Сегодня аккумуляторные батареи используются везде, от небольшой электроники до автомобилей. Разработка и изготовление источников питания занимает много времени и денег, причем больше всего ресурсов требует их тестирование — перед продажей необходимо выявить их срок службы и...

План Б: производители жёстких дисков готовы перейти на использование десяти пластин И тогда внедрение технологий MAMR и HAMR затянется ещё сильнее.

Бельгийский разработчик прокладывает путь к «однокристальным» блокам питания Мы не раз отмечали, что блоки питания становятся «нашим всем». Мобильная электроника, электромобили, Интернет вещей, накопление электроэнергии и многое другое выводит процесс питания и преобразования напряжения на первые по значимости позиции в электронике. Значительно увели...

Сотрудничество ams, Ibeo и ZF направлено на создание компактных и дешевых лидаров для автомобилей Известный австрийский производитель датчиков ams объявил о подписании соглашения о сотрудничестве с Ibeo Automotive Systems GmbH, немецким специалистом по автомобильным лидарам, и ZF Friedrichshafen AG — одним из ведущих мировых поставщиков ключевых компонентов дл...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Биткоин закрыл квартал в плюс, однако прорыв выше $4200 все еще под сомнением В первом квартале 2019 года рост биткоина составил 10,91%. По данным биржи Bitstamp, криптовалюта проделала путь от $3693 до $4096. Это стало лучшим показателем с момента закрытия четвертого квартала 2017 года, сообщает аналитик CoinDesk Markets Омкар Годбоул. Несмотря на то...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Бюджетный прорыв. Huawei показала смартфон со сканером отпечатков пальцев под LCD На конференции для разработчиков Huawei Developer Conference 2019 компания Huawei продемонстрировала прототип смартфона со сканером отпечатков пальцев, встроенным под экран, изготовленный по технологии LCD.  До настоящего момента производители смартфонов были выну...

Carbon продемонстрировала первые 3D-печатные детали кроссовера Lamborghini Urus Люксовый автомобильный бренд Lamborghini взял на вооружение скоростные стереолитографические 3D-принтеры компании Carbon с намерением наладить серийное аддитивное производство полимерных компонентов.Подробнее...

Apple планирует внедрить технологию мини-светодиодных дисплеев (mini LED) в будущих Mac и iPad, начиная с 31,6-дюймового iMac, который появится в конце этого года Apple переключится на мини-светодиодную подсветку для множества аппаратных средств, которые появятся в течение следующих трех лет, включая 31,6-дюймовый iMac, который будет запущен во втором или третьем квартале 2019 года, сообщает DigiTimes сегодня утром. Apple примет мини...

Новая разработка Samsung позволит создавать 24-гигабайтные микросхемы HBM2 Компания Samsung Electronics сегодня сообщила о том, что первой в отрасли разработала 12-слойную технологию 3D-TSV. Речь о технологии упаковки микросхем памяти, которая позволяет разместить в одном стеке 12 микросхем DRAM. В данном случае используется трёхмерная...

SBI Holdings: успех токена XRP затмит биткоин Глава SBI Holdings Ешитака Китао заявил, что компания Ripple и ее токен XRP совершат революцию на рынке криптовалют, сообщает ZyCrypto со ссылкой на японское издание CoinPost. По словам Китао, японский конгломерат поддержит стартап из Сан-Франциско: «Мы всячески будем способ...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Стекло Corning Astra Glass предназначено для планшетов, ноутбуков и телевизоров На открывшейся сегодня выставке SID Display Week 2019 компания Corning представила новую стеклянную подложку для экранов — Corning  Astra Glass. Производитель уточняет, что этот материал оптимизирован для средних и больших дисплеев высокого разрешения, исполь...

Патент недели: новый вид пластика При непосредственном участии Федеральной службы по интеллектуальной собственности («Роспатента») мы решили ввести на сайте рубрику «Патент недели». Еженедельно в России патентуются десятки интересных изобретений и усовершенствований — почему бы не рассказывать о них в числе ...

Xiaomi крупно вложилась в производителя электромобилей Китайская компания Xiaopeng Motors, неоднократной уличенная в копировании автомобилей и технологий Tesla, отчиталась о привлечении большого объема инвестиций – в размере 400 миллионов долларов. Как сообщается, эти деньги пойдут на запуск в производство новой модел...

Технологии гибких ЖК-дисплеев Samsung Еще в 2014 году Samsung представила Galaxy Edge - интересное концептуальное устройство, которое принесло нам первый изогнутый дисплей. Сейчас это стандарт для большинства флагманов компании, и, согласно сообщениям, он может в конечном итоге дебютировать в смартфонах среднего...

SoC Kirin 990 с технологиями ARM выйдет выйдет в 2020 году, а в Kirin 1020 будут только собственные разработки Huawei Несмотря на то, что ARM и Huawei приостановили сотрудничество, китайский гигант продолжает разработку новейшей однокристальной системы Kirin 990, которая может быть представлена в 2020 году. Согласно последней информации, новая однокристальная система должна использова...

Бизнес-кейс: От небольшого производителя автомобилей до автогиганта Исходные данныеJAC Co. ltd. - компания по производству автомобилей.UnionTech - китайский производитель 3D-принтеров, лидер в области стереолитографии. Все 3D-принтеры UnionTech имеют открытую систему, что позволяет использовать любые расходные материалы, в том числе собствен...

Samsung Display потратит на модернизацию производства 11 миллиардов долларов Компания столкнулась с перепроизводством ЖК-панелей из-за снижения спроса на телевизоры и смартфоны и переходом крупнейших заказчиков на OLED-панели. Компания Samsung Display до 2025 года планирует вложить в разработки технологий изготовления дисплеев и модернизацию произ...

Toyota и Panasonic запустят совместное производство призматических аккумуляторов для электромобилей Японские корпорации ToyotaMotor и Panasonic подписали соглашение о создании совместного производства призматических автомобильных аккумуляторов. Новая компания должна обеспечить Toyota и другим автопроизводителям стабильные поставки высокоэффективных, мощных, безопасных и на...

Робопчела с мягкими «мышцами» совершила управляемый полет Проект RoboBee разрабатывается специалистами Гарвардского университета уже довольно давно. Теперь специалисты представили новую версию робопчелы, которая, как утверждается, стала первым микророботом, успешно совершившим контролируемый полет с помощью мягких диэлектрических а...

EK-Classic InWin 303EK корпус с водяным охлаждением Корпус EK-Classic InWin 303EK является уникальной версией популярного корпуса In Win 303. Он имеет встроенную распределительную панель, тажке это единственный серийный корпус, который был специально перепроектирован и модифицирован для бесшовной интеграции распределительной ...

Что происходит? Apple переносит производство из Китая Еще сегодня утром мы рассуждали о том, что уход Apple с рынка Китая может обернуться для экономиники Поднебесной настоящим коллапсом, а уже после обеда появилась информация о том, что компания из Купертино готовится перенести производство фирменной электроники в другие стра...

«Умный город»: в России создано высокотехнологичное покрытие для дорог Холдинг «Швабе», входящий в государственную корпорацию Ростех, сообщил о разработке высокотехнологичного полимерного покрытия, предназначенного для автомобильных дорог. Технология создана в рамках проекта «Умный город». Он нацелен на повышение качества и уровня жизни населен...

Летом Yangtze Memory Technologies представит технологию Xtacking 2.0 Китайская компания Yangtze Memory Technologies (YMTC) планирует представить второе поколение своей собственной архитектуры 3D NAND, получившее название Xtacking 2.0, в августе 2019 года. Об этом сообщил технический директор YMTC. Компания представила архитектуру Xtacki...

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

Kaby Lake: Если “тик-так” не получается… Время от времени законы мироздания предоставляют нам случай узнать много нового о себе и о самих этих законах. Перед такими случаями, как правило, у тех кто на передовом крае науки и техники, возникает иллюзия всезнания. Они уверенно планируют достижения, рисуют стрелки на...

Глава Samsung Display подтвердил намерение начать производство панелей QD-OLED В то время как компания Samsung Display, являющаяся дочерним предприятием Samsung Electronics, намеревается сокращать производство жидкокристаллических дисплеев, прилагаются немалые усилия для запуска производства органических светодиодных панелей с квантовыми точками. В одн...

Google собирается заняться разработкой умных часов У корпорации Google очень много различных проектов, как чисто программных, так и «железных». Похоже на то, что компания задумала присоединить к списку своих бизнесов еще и производство умных часов. Она и так имеет непосредственное отношение к этой отрасли, поскольку подавл...

«Удав» пошёл в серийное производство Как сообщает РИА Новости со ссылкой на индустриального директора кластера вооружений госкорпорации «Ростех» Сергея Абрамова, подписанный межведомственной комиссией акт уже утвердило Министерство обороны РФ. Новый пистолет получил литеру «О1». «Удав» калибром девять миллиметр...

Dan.com продан за $190 000 Компания Undeveloped приобрела домен Dan.com за 190 тысяч долларов. Его предыдущим владельцем был человек по имени Дэн, который зарегистрировал домен еще в 1994 году, в самом начале становления Интернета.Undeveloped - владелец торговой площадки для продажи доменов. Недавно к...

HP, Dell и Microsoft тоже переносят производство электроники из Китая По данным источника, вследствие американо-китайской торговой войны HP, Dell, Microsoft и другие компании стремятся перенести значительную часть своих производственных мощностей из Китая. Исход крупнейших мировых производителей бытовой электроники угрожает подорвать поло...

iPhone 2020 может получить гибкий дисплей от LG   Apple заинтересована в сотрудничестве с корейской компанией. Корейский гигант LG начинает массовое производство гибких OLED-дисплеев уже в этом году. По слухам, Apple заинтересована в том, чтобы заключить сделку на поставку таких панелей. Купертиновцы могут испо...

SAP и «ИКС Холдинг» создадут ИТ-решения для рынка России и СНГ Сотрудничество SAP и "ИКС Холдинга" предусматривает совместную работу в области MES-решений[1] для горнодобывающих и металлургических компаний, а также других предприятий с непрерывным циклом производства. Соглашение также предполагает разработку и реализацию инно...

Fujitsu удалось установить рекорд скорости глубокого обучения Компания Fujitsu Laboratories объявила, что разработала технологию повышения скорости работы программного обеспечения для глубокого обучения, которая позволила установить мировой рекорд в этой области. Тестируя разработку с помощью системы AI Bridging Cloud Infra...

В России разработан новый электронный терминал для голосования Терминал для голосования является основой для комплекса средств автоматизации цифрового избирательного участка, также разработанного "Автоматикой". Комплекс ведет автоматизированный подсчет голосов, фиксирует итоги голосования и формирует отчет с данными о голосова...

В пилотной зоне 5Gв Шэньчжэне первый звонок совершили China Unicom и ZTE Компания ZTE Corporation (0763.HK / 000063.SZ), крупный международный поставщик телекоммуникационных решений, а также корпоративных и потребительских технологий для мобильного Интернета, объявила, что гуандунское подразделение China Unicom и ZTE ...

ИРИ и Ассоциация участников рынка больших данных обсудили подходы к формированию кодекса этики использования данных В мероприятии приняли участие профильные эксперты от Института развития интернета и компаний, входящих в Ассоциацию больших данных: "Яндекс", Mail.Ru Group, "Сбербанк", Газпромбанк, Тинькофф Банк, "МегаФон", oneFactor.Предполагается, что кодекс ...

Патент на 3D-печать аэрокосмической ракеты Американский автономный ракетный завод получил патент на технологию машинного обучения 3D-печати металлом. Для реализации данного проекта в исполнительную команду были приглашены три известных фигуры аэрокосмической промышленности. Наработки Relativity Калифорнийский автоно...

Представитель Nubia подтвердил, что смартфон 5G появится в этом году Некоторые китайские производители смартфонов уже подтвердили, что выпустят смартфоны 5G в этом году. Xiaomi, Samsung, Vivo и Huawei были первыми, кто предпринял реальные шаги в этом направлении. Сегодня компания Nubia также объявила, что выпустит телефон 5G в этом году и, во...

Micron готова к выпуску 128-слойной памяти 3D NAND с технологией RG Micron Technology готова начать серийное производство новой 128-слойной памяти 3D NAND четвёртого поколения. В ней по-прежнему используется дизайн CUA (CMOS-under-array), а главной особенностью этой памяти стала новая технология Replacement Gate (RG). Подробнее об этом читай...

В магазинах скоро появится еда из электричества, воды и воздуха Забавный факт: три четверти всех продуктов для населения Земли поставляют всего 12 видов растений и 5 видов животных. Чтобы разнообразить наш рацион и при этом не наносить вред окружающей среде, ученые придумают новые виды еды. О производстве искусственного мяса мы уже слыш...

Открытая архитектура RISC-V пополнилась интерфейсами USB 2.0 и USB 3.x Как подсказывают наши коллеги с сайта AnandTech, один из первых в мире разработчиков SoC на открытой архитектуре RISC-V, компания SiFive приобрела пакет интеллектуальной собственности в виде IP-блоков интерфейсов USB 2.0 и USB 3.x. Сделка совершена с компанией Innovative Log...

BMW и Jaguar Land Rover совместно будут разрабатывать компоненты электромобилей Компании BMW и Jaguar Land Rover заявили, что будут совместно разрабатывать электродвигатели, коробки передач и силовую электронику, объединившись в еще один отраслевой альянс, участники которого рассчитывают за счет сотрудничества снизить затраты на разработку электром...

Прибор для телепатии отдали на испытания Как сообщает РИА Новости со ссылкой на исполнительного директора отраслевого союза Национальной технологической инициативы «НейроНет» Александра Семёнова, проект российских разработчиков под названием «Нейрочат» представляет собой программно-аппаратный комплекс, нейрогарниту...

Самостоятельного подразделения Sony Mobile отныне не будет Основной задачей для Sony Mobile является сделать смартфонный бизнес рентабельным. Компания должна научиться зарабатывать деньги. А еще производитель пытается достигнуть намеченной цели снижением расходов и оптимизацией количества занятого персонала. Так, на днях пришло сооб...

Sharp перемещает производство из Китая вслед за другими поставщиками Apple Sharp стала одним из последних поставщиков Apple, который объявил о планах вывести часть производства продукции из Китая в связи с продолжающейся торговой войной между Вашингтоном и Пекином. REUTERS/Toru Hanai Генеральный директор Apple Тим Кук (Tim Cook) в ходе недавнего кв...

Цифровые двойники спасут российскую космонавтику По мнению коллектива из Санкт-Петербургского политехнического университета Петра Великого, одна из причин отсутствия новых оригинальных разработок в современной российской космической индустрии — разрыв преемственности с советским опытом. Нынешнее поколение инженеров не имел...

Sony называет 3D-сенсоры будущим мобильной фотографии Как заявил руководитель подразделения датчиков Sony Сатоши Йошихара, Sony с оптимизмом смотрит на продажи своих 3D-сенсоров и увеличила производство, несмотря на спады в общем объеме поставок мобильных устройств. Их датчики основаны на технологии LiDAR, которая гораздо чаще ...

Чипсеты для Ryzen 3000 готовит не только AMD, но и ASMedia Несмотря на то, что флагманский набор системной логики для будущих процессоров Ryzen 3000, основанных на архитектуре Zen 2, компания AMD разрабатывает самостоятельно, это вовсе не означает, что сотрудничество с ASMedia будет разорвано. Как сообщает DigiTimes со ссылкой на от...

В Ericsson признают, что развертывание 5G в Европе продвигается медленно Шведский производитель коммуникационного оборудования Ericsson опубликовал отчет за первый квартал 2019 года. За квартал был получен доход 4,68 млрд евро. Это на 13% больше показателя за первый квартал 2018 года, равного 4,16 млрд евро. Составители отчета уточняют, что...

Samsung разрабатывает технологию упаковки чипов в 3D-TSV с 12 слоями Компания Samsung Electronics Co., Ltd., мировой лидер в области передовых полупроводниковых технологий, объявила о разработке первой в отрасли 12-слойной технологии 3D-TSV (Through Silicon Via). Новая инновация считается одной из самых сложных технологий упаковки для массово...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Технологии 3D-печати в производстве стеклянных микрожидкостных систем Немецкие и швейцарские ученые продемонстрировали результаты опытов по производству микрожидкостных систем из кварцевого стекла с использованием 3D-печати методом мультифотонной литографии. Диаметр внутренних каналов в некоторых образцах составляет всего семь микрон.Подробнее...

Новые материалы для 3D-печати Дорогие друзья!   После долгого отсутствия на страницах 3Dtoday.ru мы решили возобновить наши публикации, тем более есть множество поводов.   Все это время мы не сидели просто так, сложа руки. Все это время мы активно трудились над созданием новых материалов, их ис...

Компания LG Display в течение многих лет несла убытки, выпуская панели OLED для Apple Watch Компания LG Display рассматривает возможность вывода из эксплуатации одной из фабрик по выпуску панелей OLED. Фабрика E2 в южнокорейском городе Паджу работает с подложками 4,5G (730 х 920 мм). Изготавливаемые здесь панели OLED используются в смартфонах и умных часах. В...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

На оборонный сектор прольётся золотой дождь: Путин потребовал 76 истребителей Су-57 Российский президент сформулировал требования нового оборонного заказа, направленного на перевооружение российской авиации новейшими самолётами пятого поколения. По итогам поездки в Астраханскую область, совершённой с целью проведения совещания по военно-техническому сотруд...

Apple выданы патенты, касающиеся дисплеев на квантовых точках и дисплеев micro-LED Бюро по патентам и товарным знакам США выдало Apple патент, относящийся к дисплеям на квантовых точках. Соответствующая заявка была подана в 2017 году. Патент №10297581 называется «Схемы интеграции квантовых точек». В нем описана структура квантовой т...

Следующий смартфон от Vivo будет иметь настоящую беспроводную зарядку В последнее время все больше смартфонов получают функцию беспроводной зарядки. Только вот суть в том, что это не совсем беспроводная зарядка. Перестав подключать телефон к розетке непрямую, мы стали подключать к ней беспроводную станцию. А телефон все также лежит в нескольк...

Капля крови поможет обнаружить 13 разновидностей рака Корпорация Toshiba анонсировала новый метод диагностики онкозаболеваний, который по типам и концентрации малых некодирующих молекул РНК позволяет с достоверностью 99% выявлять 13 разновидностей рака по одному анализу крови, сообщает The Japan Times со ссылкой на представител...

Система построения песчаных форм | Песчаная 3D-печать FHZL Производство 3D-песчаных форм (РСМ) - одна из самых передовых технологий литья, объединяющая традиционную технологию литья в песчаную форму и 3D-печать. Эта технология позволяет быстро создавать песчаные формы и стержни, подходящие для быстрого литья сложных деталей. PCM не ...

[Из песочницы] Документируем процесс подключения и генерации документов в будущей ERP-системе Несколько месяцев назад я закончил один из этапов своего профессионального пути многорукого Шивы в стартапе по разработке системы управления лабораториями неразрушающего контроля. Я расскажу как мне удалось задокументировать часть разработки связанную с подключением и гене...

Создана искусственная свинина. Еще одна победа пищевой промышленности За последние несколько дней новости о создании того или иного вида искусственного мяса идут просто нескончаемым потоком. Мы уже совсем надавно рассказывали вам об успехах компаний, производящих искусственную говядину и курицу. А сейчас пришло время поведать и о том, что ком...

Президент Xiaomi показал и рассказал о том, как производят флагман Mi 9 Смартфон Xiaomi Mi 9 оказался сверхпопулярным, поэтому едва ли не сразу после его выхода топ-менеджеры компании начали говорить о необходимости повышения темпов производства модели. Ранее президент Xiaomi пообещал нарастить производство Mi 9 в течение двух недель, а сей...

Президент Xiaomi заверил, что компания усердно работает над массовым производством продуктов со 100-ваттной зарядкой В марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт. Компания заявила, что данная технология позволит заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут, как показано...

Daimler Trucks покупает разработчика технологии самоуправляемого движения Torc Robotics Грузовое подразделение компании Daimler AG достигло соглашения о покупке контрольного пакета акций американской компании Torc Robotics, специализирующейся на разработке технологии самоуправляемого движения. Сумма сделки не разглашается. Компании объединят усилия, напра...

SK hynix разработала более эффективную технологию производства 16-гигабитных чипов DDR4 DRAM Компания SK hynix заявила, что её удалось разработать чипы памяти DRAM DDR4 (Double Data Rate 4) ёмкостью 16 Гбит, которые изготавливаются по нормам технологии класса 1Z нм. Отмечается, что 16 Гбит – это рекордная в отрасли плотность хранения данных для одного чипа. Благодар...

Xiaomi Mi 9 поделится с Xiaomi Mi 8 Pro девятью новыми фишками Перенос функций и технологий из новой модели в старую – типичная практика Xiaomi. Вот и сейчас представители компании опубликовали перечень из девяти особенностей нового флагмана Mi 9, которые в скором времени появятся в Mi 8 Pro. Перечень выглядит следующим обра...

Будущие поколения очков дополненной реальности получат дисплеи microLED После выхода очков Google Glass в 2013 году большинство автономных AR-гарнитур использовали и продолжают использовать технологию Liquid Crystal on Silicon (LCoS), известную как «жидкие кристаллы на кремнии». Но похоже, для таких устройств лучше подходят другие технологии по...

Intel и MediaTek объявляют о начале сотрудничества по выпуску модемов 5G для ПК Intel объявила, что вступила в партнерство с MediaTek с целью «разработки, сертификации и поддержки модемных решений 5G» для платформ ПК следующего поколения. Объявление поступило через 5 месяцев после объявления о том, что Intel продает свой собственный модем и подразделени...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

IBM отводит на коммерциализацию квантовых компьютеров от 3 до 5 лет Вчера в Тайбэе, выступая на мероприятии IBM think Summit Taipei 2019, директор IBM Research в Токио и глобальный вице-президент компании Норишиге Моримото (Norishige Morimoto) рассказал о перспективах коммерциализации квантовых компьютеров. По его словам, коммерческое п...

В этом году китайцы покажут первые смартфоны с подэкранными камерами Известный блогер и дизайнер Вениамин Гескин, который также известен под именем Ben Geskin, опубликовал любопытную информацию о первом смартфоне с подэкранной фронтальной камерой. По его словам, уже в этом году китайская компания Oppo представит смартфон, фронтальная кам...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Microsoft инвестирует $1 млрд в компанию OpenAI Корпорация Microsoft намерена инвестировать $1 млрд в компанию OpenAI, которая базируется в Сан-Франциско. Также было объявлено о формировании многолетнего партнёрства, направленного на развитие технологий искусственного интеллекта в облачной платформе Microsoft Azure. Компа...

LG Chem покупает у DuPont технологию, необходимую для изготовления панелей OLED методом струйной печати LG Chem, дочерняя компания LG Group, приобрела у американской химической компании DuPont ключевые технологии, используемые для производства «растворимых органических светоизлучающих диодов» (soluble OLED). Эти технологии необходимы для изготовления дисплеев ...

Первая робопчела совершила свой экспериментальный полет Устройство Robobee способно самостоятельно передвигаться в пространстве Около пяти лет назад специалисты из Гарвардского университета впервые представили первого в мире робота-пчелу под названием RoboBee, который, по мере совершенствования технологий, смог благополучно пройт...

Учёные вплотную занялись «рецептурой» перовскитов для солнечной энергетики Перовскиты ― это довольно широкая категория соединений с определённой кристаллической структурой. Предполагается, что в перовскитах скрыт большой потенциал для развития солнечной энергетики. Они дешевле кремниевых ячеек и проще в производстве. Например, если кремниевая подло...

General Electric интересуется украинскими разработками в сфере 3D-печати металлами Директор компании «Червона хвиля» Дмитрий Ковальчук рассказал о возможности сотрудничества с корпорацией General Electric. Украинское предприятие занимается разработкой и производством газоразрядных пушек, в том числе для электронно-лучевых 3D-принтеров.Подробнее...

Итальянские исследователи разработали новые органические полевые транзисторы на основе графена Исследователи из итальянского института ISOF-CNF разработали новые органические полевые транзисторы n-типа на основе листов CVD-графена — OFET. Исследователи говорят, что новый процесс и материалы, которые они использовали, позволяют изготавливать гибкие и прозрач...

Seagate рассчитывает удешевить и ускорить производство HDD с помощью HPE, Nvidia и искусственного интеллекта Компания Seagate объявила о сотрудничестве с HPE и Nvidia в разработке новой производственной платформы Project Athena, в которой будет использоваться искусственный интеллект. Ожидается, что новая платформа позволит сократить инвестиции в чистые помещения на 20%, а врем...

[Перевод] Генная инженерия эмбрионов человека станет безопасной и эффективной в течение двух лет Безопасное редактирование человеческих эмбрионов может быть возможно всего через один или два года, и глава ведущей китайской программы генетических исследований говорит, что в настоящее время нужны международные правила по предотвращению использования этой технологии в к...

Аналитик раскрыл новую особенность iPhone 11 До выхода новых моделей iPhone остается уже не так много времени — всего несколько месяцев. И с каждым днём в Сети появляется всё больше сведений о грядущих новинках. Сегодня стало известно об ещё одной отличительной особенности iPhone 11 и iPhone 11 Max. Авторитетный...

Huawei приостановила поставки и разработку ноутбуков Huawei приостановила поставки и разработку новых ноутбуков. Об этом сообщило издание Digitimes со ссылкой на источники у партнёров компании.  По данным источника, Huawei направила своим партнёрам по производству послание, в котором просит приостановить поставки ко...

В декабре TSMC поделится успехами в освоении 5-нм технологии Массовое производство будет налажено уже во втором квартале.

Новый алгоритм дипфейка позволяет редактировать речь диктора в видео У каждого дипфейка должно быть благое обоснование, по крайней мере, на первых порах. Вот и новая разработка Adobe Research, в сотрудничестве с учеными из Стенфорда и Института Макса Планка преследует цель минимизировать затраты времени и съемок видео за счет применения дипфе...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

В секретной лаборатории Tesla разрабатывает собственные аккумуляторы Как известно, компания Tesla активно сотрудничает с Panasonic, так как последняя является поставщиком аккумуляторов для батарей электромобилей Tesla. Однако источники сообщают, что Tesla в своей «секретной лаборатории» занимается разработкой собственных акк...

Завершено строительство первой в мире линии серийной печати панелей OLED Компания JOLED сообщила, что завершила строительство первой в мире производственной линии, предназначенной для серийного изготовления панелей OLED методом струйной печати. Предприятие расположено в городе Номи в префектуре Исикава. К выпуску продукции оно должно приступ...

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

Китайская компания BOE начала серийное производство micro-OLED панелей По сообщениям сетевых источников, китайская компания BOE в октябре этого года приступила к серийному производству панелей по технологии micro-OLED. Производство развёрнуто в городе Куньмин, который находится на территории провинции Юньнань. В сообщении говорится, что в насто...

Ученые придумали, как добывать энергию из холода Добывать энергию из холода? Нет ничего невозможного! На протяжении всей своей истории человечество регулярно искало новые источники добычи энергии. После паровых машин и эпохи продуктов нефтепереработки и двигателей внутреннего сгорания, люди придумали, как использовать энер...

Действительно длинный. BOE показала первый в мире OLED-экран с соотношением сторон 20:1 Компания BOE Display является одним из самых известных игроков в индустрии дисплеев. Она громко заявила о себе еще в эпоху ЖК-экранов, используя собственную технологию Advanced Super Dimension Switch (ADSDS). Напомним, BOE начнет массовое производство ЖК-панелей с подэк...

Специалистами Japan Display создан гибкий дактилоскопический датчик емкостного типа Компания Japan Display сообщила о разработке гибкого сенсора отпечатков пальцев емкостного типа. В изделии объединены технологии датчиков отпечатков пальцев на стеклянной подложке и гибких дисплеев. Подложка, на которой сформирован новый датчик, изготовлена из оч...

Electrify America установит на зарядных станциях для электромобилей аккумуляторные батареи производства Tesla Electrify America, подразделение Volkswagen AG, собирается установить более чем на 100 зарядных станциях в Соединенных Штатах аккумуляторные батареи Tesla. Это должно снизить расходы на зарядку электромобилей. Дело в том, что за повышенное энергопотребление в течение ко...

Samsung показала обновлённый Galaxy Fold. Что изменилось Galaxy Fold жив Полгода назад, когда Samsung представила Galaxy Fold, вся Барселона была увешана баннерами с его рекламой. Многие тогда всерьёз решили, что будущее смартфонов уже здесь. Однако незадолго до появления аппарата в продаже выяснилось, что он совсем никуда не годи...

Xiaomi и Samsung представили 108-мегапиксельную матрицу Не так давно компания Xiaomi объявила о партнерстве с Samsung и разработала первый датчик изображения, который достигнет трехзначной отметки в мегапикселях. 108-мегапиксельная матрица ISOCELL Bright HMX была представлена ​​Samsung чуть более чем через три месяца после того, ...

Lextar разрабатывает дисплеи mini-LED По словам Дэвида Су (David Su), председателя правления и генерального директора компании Lextar Electronics, специализирующейся на выпуске светодиодов, этот производитель разрабатывает дисплеи mini-LED. Панели RGB будут предназначены для использования в торговых залах, ...

Intel активно готовит 7 нм процесс Возможно, что у Intel появился свет в конце туннеля производства микросхем. Компания сообщила об активной разработке 7 нм технологии производства.

«Роснефть» перейдет на отечественное ПО Об этом рассказали представители Компании на XII научно-практической конференции "Актуальные задачи нефтегазохимического комплекса. Добыча и переработка". "Роснефть" уделяет особое внимание инновационной деятельности и использованию прорывных технологичес...

Катастрофа на рынке 3D NAND: завод Western Digital и Toshiba обесточен вторую неделю Вот оно, случилось! То, о чём регулярно вспоминали в комментариях к новостям об ожидаемом снижении цен на флеш-память, стало реальностью. На одном из крупнейших предприятий по производству 3D NAND ― на совместном заводе компаний Western Digital и Toshiba в Японском городе Йо...

Потери в системе энергоснабжения научились снижать с помощью нейросетей Об этом ТАСС сообщили во вторник в пресс-службе СФУ.Парадоксально, но потери в трансформаторах, электродвигателях и линиях электропередачи возникают, в том числе, из-за работы энергосберегающего оборудования. В этой роли, к примеру, выступают так называемые частотноуправляем...

Daimler и BMW объединятся в выработке правил игры на рынке самоуправляемых автомобилей Компании Daimler и BMW объединяются для разработки технологии самоуправляемого вождения, чтобы сократить расходы и задать фактический отраслевой стандарт, который может помочь в формировании будущих правил в области регулирования самоуправляемых автомобилей.  Об эт...

Серийное производство китайского ответа Tesla начнётся в июле Китайский производитель электромобилей Byton, столкнувшийся с проблемами финансирования расширения производства и рядом кадровых перестановок в связи с уходом соучредителя и бывшего гендиректора Карстена Брайтфельда (Carsten Breitfeld), сообщил, что получил более 50 000 пред...

Sharp готовится поставлять дисплеи OLED для iPhone Источники подтверждают, что компания Sharp наращивает производство панелей OLED, а также планирует стать одним из поставщиков экранов для новых смартфонов линейки iPhone. Ранее сообщалось, что компания Apple полностью перейдет на использование панелей OLED в смартфонах...

eSIM заставят прописаться в России Как сообщает «Коммерсантъ» со ссылкой на аналитический доклад, подготовленный Институтом исследований интернета по итогам совещаний с представителями Министерства связи и массовых коммуникаций, ФСБ, Федеральной антимонопольной службы, «Вымпелкома», МТС, «МегаФона» и компании...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

Российскую систему хирургической навигации запустят в серийное производство Соответствующее соглашение было подписано на конференции "Перспективы сотрудничества Госкорпорации Ростех и Научно-образовательного центра Самарской области". Система Autoplan - это многофункциональный комплекс, позволяющий детально планировать хирургическое вмешат...

TSMC пересмотрит цены с поставщиками кремниевых пластин Недавно крупнейший полупроводниковый производитель TSMC в числе первых в своей отрасли подвёл итоги работы в четвёртом квартале календарного 2018 года и сделал прогноз по выручке на первый квартал 2019 года. Компания огорошила общественность сообщением об ожидании сильнейшег...

Представитель Corning назвал движущую силу рынка дисплеев С приближением Олимпийских игр 2020 года приближается и эпоха телевидения 8K, поскольку ожидается, что это мероприятие станет первым мероприятием такого масштаба, где будет организована телетрансляция с разрешением 8K. Олимпиада послужила катализатором развития техноло...

По слухам, в iPhone 2020 будет установлен ультразвуковой сканер отпечатков пальцев под дисплеем Apple договорилась о том, чтобы на следующей неделе представитель встретился с тайваньским производителем GIS, связанным с сенсорным экраном, чтобы обсудить разработку iPhone со сканером отпечатков пальцев под дисплеем, который будет выпущен уже в следующем году, согласно па...

Появление 5G в iPhone задерживается не на один, а на два года Внедрение в iPhone поддержки 5G может быть перенесено с 2020 на 2021 год. Об этом со ссылкой на отраслевые источники сообщает тайваньское издание Electronic Times. Задержка проистекает из-за позиции компаний Samsung Electronics и Qualcomm, ведущих разработки собственных мод...

В Южной Корее созданы гибкие светодиоды на основе перовскита Исследователи, работающие в Ульсанском национальном научно-технологическом институте (UNIST), разработали гибкие светодиоды на основе перовскита (PeLED). В светодиодах PeLED активный слой, излучающий свет при прохождении электрического тока, изготовлен из перовск...

Технологическая себестоимость 7-нм кристаллов Ryzen не превышает $15 Представители AMD в последнее время часто по своей риторике напоминают руководителей Intel в лучшие, с точки зрения литографии, годы. При малейшей возможности речь заходит о 7-нм технологии и её преимуществах, но руководителей AMD понять можно — долгие годы отсиживаясь на «в...

МС-21 получит обогреваемое птицестойкое стекло Входящее в государственную корпорацию «Ростех» ОНПП «Технология» создаёт два варианта стекол — из поликарбоната и силикатное. Им предстоит пройти порядка 20 различных тестов: на устойчивость к попаданию посторонних предметов, птиц, молнии, воздействие высоких и низких темпер...

История разработки Beyond Two Souls В результате сотрудничества с компанией Epic Games 22 июля вышла на PC еще одна игра французской студии Quantic Dream, а именно Beyond: Two Souls. После успеха Heavy Rain в 2010 на BTS возлагали...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Крупноформатный 3D-принтер LSAM печатает оснастку для производства вертолетных лопастей Компания Thermwood изготовила часть 3D-печатной оснастки для производства лопастей несущих винтов по заказу вертолетостроительной компании Bell Helicopters Textron. В производстве шестиметровых форм используется крупноформатный 3D-принтер/фрезер собственной разработки и спец...

Компания E Ink Holdings начала выпуск полноцветных 26-дюймовых электрофоретических дисплеев ACeP Компания E Ink Holdings (EIH), выпускающая электрофоретические экраны, начала выпуск полноцветных 26-дюймовых дисплеев Advanced Color ePaper (ACeP). Ранее, в первом квартале этого года, было начато производство 13-дюймовых дисплеев ACeP. Как и 13-дюймовые, новые...

Объемы фишинга снижаются, несмотря на изменения в Whois Как сообщает Антифишинговая рабочая группа (APWG), объемы фишинга сократились почти в два раза по сравнению с прошлым годом.Так, в четвертом квартале 2018 года было зафиксировано 138 328 фишинговых атак, а в третьем, втором и первом кварталах -  соответственно, 151 014,...

Рождение “яблочного” процессора В молодости у Apple Computer было много странных увлечений. Одним из них был проект Aquarius (водолей), но о нем уже давно помнили только в очень узких кругах: вскоре после этого компания пошла в разнос, совершала ошибку за ошибкой, и было не до того… В 2011 году Тим Кук, м...

BOE встроила сканер отпечатков в LCD-дисплей: ждём появление технологии в бюджетных смартфонах Если мы говорим о встроенном в дисплей сканере отпечатков пальцев, то подразумеваем, что тип этого дисплея — OLED, так как данная технология биометрической аутентификации до сих пор была совместима только с такими матрицами из-за их меньшей толщины. Однако китайский производ...

Зачем Apple тайно посетила CES в этом году Apple никогда не принимала участие в технологической выставке CES, но это вовсе не значит, что представители компании оставляют без внимания данное мероприятие. Как сообщают инсайдеры, инженеры Apple и ведущие сотрудники проявляли особый интерес к технологическим компаниям ...

Cooler Master выпускает универсальный держатель видеокарты ELV8 Cooler Master, мировой лидер в разработке и производстве инновационных компьютерных компонентов, представляет держатель универсальной видеокарты ELV8. Был разработан в сотрудничестве с известным производителем и разработчиком ПК TantricModz. ELV8 отличается элегантным диз...

Samsung испугалась Huawei и перенесла презентацию Galaxy S10 Huawei стремительно набирает обороты. В 3 квартале 2018 года компании удалось занять вторую строчку в мировом рейтинге, обогнав Apple. По данным IDC, компания занимает 14,6% рынка, от Samsung её отдаляют менее 6%. Статистика за четвертый квартал 2018 года может оказаться ещ...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

Авиалайнеры Airbus A350 XWB получат серийные 3D-печатные детали Авиастроительный концерн Airbus начнет оснащать широкофюзеляжные авиалайнеры A350 XWB серийными 3D-печатными титановыми деталями производства компании Liebherr, а заодно обеспечит своих инженеров настольными 3D-принтерами Ultimaker S5.  Подробнее...

Samsung инвестирует $116 млрд в разработку и производство микропроцессоров в ближайшие 10 лет В 2018 году подразделение по производству чипов принесло компании 75% всего операционного дохода, а новые инвестиции, по мнению Samsung, помогут обогнать Qualcomm и TSMC.

Студенты сделали беспилотный катафалк из «Калины» Как сообщает РИА Новости со ссылкой на пресс-службу Национальной технологической инициативы «Автонет», студенты одного из сибирских вузов впервые в мире сконструировали беспилотный катафалк. Он построен на платформе тольяттинского универсала Lada Kalina и может развивать ско...

Совершен первый в мире звонок в сети 5G с помощью прототипа 5G-смартфона ZTE В ходе тестирований была завершена верификация различных сервисов, в том числе групповой голосовой вызов в месседжере WeChat, просмотр видео онлайн и просмотр веб-страниц.Данное достижение сделало пилотную зону в Шэньчжэне первой коммерческой тестовой зоной в мире, где был с...

Почти половина штата Huawei занимается исследованиями и разработками 4 ноября в Париже прошел уже седьмой ежегодный день инноваций Huawei Innovation Day. Сюй Вэньвэй (Xu Wenwei), директор Института стратегических исследований Huawei, заявил на пресс-конференции, что число сотрудников отдела исследований и разработок Huawei достигает 80 0...

Tesla пытается усовершенствовать тяговые аккумуляторы в секретной лаборатории В мае этого года компания Tesla приобрела Maxwell Technologies — разработчика аккумуляторов из Сан-Диего, сумма сделки составила $235 млн. Доступ к технологиям создания более эффективных аккумуляторных батарей для Tesla является ключевым условием стабильного развития, поскол...

Технология Under Display Camera от Samsung включает лазерное травление для создание крошечных отверстий в дисплее Новые сведения указывают на то, что первые смартфоны с подэкранными фронтальными камерами будут выпущены уже совсем скоро. Первой компанией, которая выпустит коммерческий смартфон с фронтальной камерой, спрятанной под поверхностью экрана, станет южнокорей...

ASML сообщает о превосходном спросе на EUV-сканеры Нидерландская компания ASML ― глобальный лидер по выпуску производственного литографического оборудования для изготовления полупроводников ― сообщила о рекордном по сумме заявок квартале. Не в последнюю очередь в этом проявился чрезвычайно высокий спрос на сканеры диапазона ...

Компания KeraCel разрабатывает 3D-печатные твердотельные аккумуляторы Японская компания-производитель автомобильных комплектующих Musashi заключила договор о стратегическом сотрудничестве с американской компанией Keracel, разработчиком твердотельных аккумуляторов. В производстве инновационных батарей используются технологии 3D-печати.Подробнее...

Однокристальная система Huawei Kirin 985 будет представлена до конца квартала Еще в декабре появились первые сведения, что Huawei работает над однокристальной системой Kirin 985, которая станет преемницей нынешней флагманской модели Kirin 980. Как и Kirin 980, она будет спроектирована в расчете на технологические нормы 7 нм, но может стать первой...

Samsung и Xiaomi представили 108 Мп датчик изображения для смартфонов Сегодня южнокорейская компания Samsung анонсировала первую в мире камеру для мобильных устройств, разрешение которой выходит за пределы 100 мегапикселей. Samsung ISOCELL Bright HMX представляет собой 108-мегапиксельный сенсор для смартфонов, разработанный совместно с китайск...

Pegatron потратит до 1 миллиарда, чтобы перенести производство чипов для iPhone из Китая Поставщик Apple компания Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple iPhone, о чем сегодня сообщили официальные источники. Тайваньский производитель дал документальное обещание правительству ...

В Великобритании разработан техпроцесс, который лучше, чем CMOS Британские компании Search For The Next (SFN) и Semefab совместно разработали технологический процесс производства полупроводниковых изделий, который, как утверждается, перевернет отрасль. Разработчики не побоялись фундаментальных изменений на уровне транзисторов и вер...

Сделать заявление о судьбе сетей 5G в Великобритании получили министру культуры Британский министр культуры Джереми Райт (Jeremy Wright) должен выступить с заявлением о сетях связи следующего поколения. Об этом сообщает источник со ссылкой на пресс-секретаря премьер-министра. В Британии Huawei тоже не пустят в сети 5G C начала этого год...

Серийный Renault Arkana, предназначенный для России, рассекретили в Бразилии Кросс-купе Arkana, информация о котором в России держалась в тайне, к неожиданности многих «всплыл» в Бразилии, где был обнаружен патентный документ с изображениями столь ожидаемой новинки. Судя по снимкам, серийная модель Renault Arkana ничем не будет отличаться от своей к...

Массовое производство чипсета MediaTek S900 MediaTek анонсировал свой интеллектуальный чипсет, названный S900. Его особенностью является возможность декодировать 8K видео. Массовое производство чипа уже началось на литейных заводах TSMC, которые также являются производителем для таких компаний, как Apple, Qualcomm и N...

Складной смартфон Xiaomi: осталось решить пару проблем и придумать название Индустрия смартфонов постепенно движется к преобладанию складных смартфонов, и мы, вероятно, сможем ощутить это уже в текущем году. Компания Xiaomi начала выпуск официальных тизеров для своего двойного складного смартфона. Лин Бин, соучредитель и президент Xiaomi, признал, ч...

Производством Snapdragon 865 займется Samsung, а Snapdragon 875 — TSMC Недавно стало известно, что в случае с Snapdragon 865, анонс которого состоится в конце нынешнего года, Qualcomm сменит партнера по его производству. Выбор вновь пал на Samsung — одного из крупнейших производителей электроники в мире.   С конвейера южнокорейског...

В Великобритании готовятся к испытаниям пассажирского самолета на водородном топливе Piper PA-46. Именно на базе этого самолета и будет развиваться гражданская авиация на водородном топливе За последние несколько лет автомобили, использующие в качестве топлива что-то отличное от бензина, стали уже нормой и воспринимаются, как нечто обыденное. Но вот с другим...

Автономное судно для картирования морского дна: победитель конкурса XPrize Согласно исполнительному директору конкурса Джотике Вирмани (Jyotika Virmani), примерно 80 процентов мировых океанов остаются до сих пор не картированными. Идеей The Shell Ocean Discovery XPrize как раз было вдохновить разработчиков на новые технологические решения, которые ...

Volvo Cars и Uber представляют беспилотный автомобиль Uber и Volvo подписали соглашение о совместных инженерных разработках в 2016 году и с тех пор разработали ряд прототипов для создания беспилотного автомобиля. Представленный сегодня внедорожник Volvo XC90 стал первым серийным автомобилем, который в сочетании с программным об...

Galaxy A-серия стала хитом продаж в Индии За последние пару лет рынок смартфонов значительно изменился и оказалось, что китайские смартфоны очень даже хороши, а их производители наступают на пятки признанным грандам. Та же Huawei уже открыто посягает на лидерство Samsung и заявляет, что быть на вершине рейтинга круп...

Как превратить легковой автомобиль в грузовик? Легко! Что, если бы вам сказали, что ваш легковой автомобиль можно с легкостью превратить в мощный грузовик или легкий гольф-кар? Как бы вы себе это представили? Наверняка вы бы предположили, что получится что-то вроде трансформера, который заменяет колеса и перестраивает кабину и...

Убытки TSMC после химического загрязнения на производстве оценены в $550 млн Январский инцидент на крупнейшей фабрике TSMC, когда из-за загрязнения одного из реактивов, применяемых для подготовки фоторезиста, компании пришлось отправить в утиль партию из нескольких тысяч полупроводниковых пластин, обойдется ей в 550 миллионов долларов убытков. Напомн...

Давно пора. Умные часы с дисплеями micro-LED ожидаются на рынке уже в будущем году По словам информаторов, работающих в компании RiTdisplay, известной как производитель панелей PMOLED, первая в мире коммерческая партия умных часов с дисплеями micro-LED появится на рынке в 2020 году. Как утверждается, в следующем квартале один из американских заказчик...

[Перевод] От идеи к производству — Разработка IoT проекта Сегодня мы пошагово разберем взлеты и падения разработки IoT. Только примеры из настоящей жизни, никаких теоретических "наверное" и много опыта. А еще ссылки на связанные статьи как бонус. Читать дальше →

Redmi K30 может получить 100-ваттную зарядку Индийский информатор Мукул Шарма (Mukul Sharma), который регулярно публикует достоверные сведения о новинках мобильной индустрии, поделился важными сведениями о работе отдела исследований и разработок Xiaomi. Как стало известно, китайский гигант, который поставляет на р...

Агенты ГРУ выкрали у США технологию гиперзвукового оружия В этом уверены американские СМИ. Американским СМИ не дают покоя успехи России в разработке гиперзвукового оружия. Они никак не могут поверить в то, что российские учёные и инженеры смогли самостоятельно совершить такой рывок в этой области, что оставили США далеко позади. ...

Xiaomi встроит дактилоскопический сканер в LCD-экран смартфонов Китайская компания Xiaomi, по сообщениям сетевых источников, намерена сделать экранный дактилоскопический сканер достоянием смартфонов среднего уровня. Иллюстрации mi.com Сейчас датчиком отпечатков пальцев в области дисплея оснащаются преимущественно аппараты премиального ур...

Устройства с новой отечественной мобильной ОС поступят в продажу Об этом пишет “КоммерсантЪ” со ссылкой на производителя таких устройств Mobile Inform Group и группу компаний Astra Linux. На этой ОС будут выпущены один смартфон и два планшета промышленного класса, то есть предназначенные для "интенсивной эксплуатации в су...

Использование 3D-печати на примере Ford Эффективное производство С момента своего основания — 1914 года, компания Ford внедряла инновации в автомобильной промышленности: от изобретения движущейся сборочной линии 115 лет назад, также известной как конвейер Форда, до использования аддитивных технологий сегодня. Всем...

Michelin и General Motors показали безвоздушную шину Uptis Prototype, которая появится на серийных авто уже через пять лет Концепты безвоздушных автомобильных шин мы видели уже не раз. Прототипы нам показывают на различных выставках, но о серийном производстве зачастую молчат. И вот теперь компании Michelin и General Motors заявили, что их решение может стать коммерческим продуктом уже в 20...

Исследование пещер на Земле с помощью летающих дронов может упростить колонизацию Марса Согласно одному из ранее озвученных концептов, первым колонистам Марса, возможно, не придется возводить базы с нуля. Для этого есть идея получше — воспользоваться тем, что уже создала местная инопланетная природа. А создала она, как выяснилось, обширные протяженные пе...

Бывший руководитель Samsung по разработке аккумуляторов присоединился к Apple Apple недавно наняла руководителя Samsung по производству батарей в качестве своего нового руководителя по разработке аккумуляторов, сообщает Bloomberg. Сунхо Ан, ранее работавший в Samsung SDI, присоединился к Apple в декабре. Samsung SDI является дочерней компанией Samsung...

Начались продажи стыковочных станций Kensington SD7000 Surface Pro Компания Kensington начала продажи док-станций SD7000 Surface Pro. Это устройство разработано в сотрудничестве с Microsoft на основе запатентованной технологии Microsoft Surface Connect и предназначено для планшетов Microsoft Surface Pro от четвертого до шестого поколен...

Росатом намеревается запустить производство 3D-печатных авиадвигателей и медицинских имплантатов Компания «РусАТ», отраслевой интегратор предприятий госкорпорации «Росатом» в сфере технологий 3D-печати, заявила о разработке двигателя для беспилотных аппаратов с 80-процентной долей 3D-печатных компонентов и намерении наладить аддитивное производство медицинских имплантат...

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Польские инженеры разработали самоходную «зенитку» ASBOP-Perkun Польский Промышленный научно-исследовательский институт автоматики и измерений разработал автономный зенитный ракетный комплекс ASBOP-Perkun, предназначенный для защиты небольших объектов. Как сообщает Jane’s, основу нового комплекса составил шестиколесный робот Ibis. На раз...

Apple и Qualcomm работают над экранным Touch ID. Чем он лучше Face ID? Превосходство Face ID над Touch ID, которое признает подавляющее большинство тех, кому довелось сравнить оба интерфейса идентификации, не помешало Apple заняться развитием ультразвуковой технологии сканирования отпечатков. Об этом сообщает Gizmochina со ссылкой на информиро...

Специалисты MIT разрабатывают технологию прямой передачи звуковых сообщений конкретному адресату с помощью лазера Исследователи из Массачусетского технологического института нашли способ посылать звуковые сигналы непосредственно в чье-то ухо на расстоянии с помощью лазерных лучей. В основе этой технологии лежит фотоакустический эффект — возникновение звуковых колебаний в сред...

Ростех подготовит специалистов в сфере аддитивного производства Россия стала четвертой страной, присоединившейся к всемирной программе обучения аддитивным технологиям Additive Minds. Менторами и преподавателями выступают практикующие инженеры с опытом работы в передовых европейских компаниях."Промышленная 3D-печать становится одним ...

Российская частная космическая компания готова к серийному производству ракетных двигателей с 3D-печатными деталями Российская частная космическая компания «НСТР Ракетные Технологии» завершила цикл огневых испытаний и заявила о готовности перейти к серийному производству ракетных двигателей собственной разработки с напечатанными на 3D-принтере компонентами.Подробнее...

Samsung запускает в массовое производство мобильного чипа памяти на 12 ГБ Компания Samsung объявила о начале массового производства чипа LPDDR4X DRAM емкостью 12 ГБ для смартфонов, который дает возможность предлагать смартфонам больше оперативной памяти, чем многие ультратонкие ноутбуки. Чип емкостью 12 ГБ имеет толщину 1,1 мм и позволяет про...

Компания Brunner Elektronik — от CAD-системы до кабины пилота Компания Brunner Elektronik AG специализируется на проектировании и производстве силовой электроники и мехатронных систем, а также на оказании технической поддержки. Основанный в 1968 г. семейный бизнес обслуживает заказчиков в ряде отраслей, включая машиностроение, медицинс...

EK Water Blocks представила алюминиевый водоблок полного покрытия для GeForce RTX Два года назад компания EK Water Blocks представила серию бюджетных комплектов для самостоятельной сборки систем жидкостного охлаждения под названием EK Fluid Gaming, ключевой особенностью которых является использование алюминия не только в радиаторах, но и в водоблоках. И т...

Второе место на рынке AMOLED теперь занимает не LG Как стало известно, китайская компания BOE Technology опередила корейскую LG по объему поставок дисплеев AMOLED, занимая теперь второе место на этом рынке и уступая только Samsung. В данный момент компания планирует увеличить отрыв от LG, вложив около 7 млрд долларов в...

Airbus готовит 3D-печатные аналоги традиционным титановым деталям Американская компания Sciaky, занимающаяся разработкой и производством промышленных 3D-принтеров по технологии электронно-лучевого наплавления металлической проволоки (EBAM), поможет концерну Airbus с 3D-печатью титановых авиационных деталей.Подробнее...

Наконец-то стартовало производство беспроводной зарядки Apple AirPower Apple анонсировала беспроводную зарядку AirPower в сентябре 2017 года, и с тех пор в Сети регулярно появлялись слухи о том, что выпуск зарядки откладывается. И тому называли массу причин. Но, судя по всему, все проблемы с AirPower уже решены, и зарядное устройство готов...

Tesla договаривается с китайской компанией Lishen о поставке аккумуляторов Компания Tesla подтвердила, что получила от китайской компании Lishen предложение на поставку аккумуляторных батарей для новой шанхайской фабрики Tesla по производству электромобилей. Ранее агентство Reuters сообщило, ссылаясь на два источника, непосредственно знакомых ...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Apple начнёт тестовое производство наушников AirPods во Вьетнаме В прошлом месяце мы сообщали о том, что компания Apple собралась постепенно переносить производственные мощности за пределы Китая и для этих целей обратилась к своим поставщикам с просьбой просчитать последствия такого шага при условии переноса 15-30% мощностей. Если в...

BOE планирует за год утроить выпуск гибких дисплеев OLED Китайская компания BOE планирует значительно увеличить производство экранов OLED для смартфонов и расширить область применения экранов OLED за счет устройств интернета вещей, оборудования розничной торговли, транспортных средств и других перспективных направлений. ...

3D-принтеры по металлу Concept Laser (General Electric) оснастят системами компьютерного зрения и машинного обучения Еще несколько лет назад инженерам из General Electric (GE) требовалось 800 деталей, чтобы собрать лишь 30% нового турбовинтового двигателя. Сегодня для этого им достаточно всего 10 деталей. В этом и есть сила 3D-принтеров. Разработчики GE Additive используют возможности адди...

Представлены водоблоки EK-Quantum Vector FTW3 D-RGB для видеокарт EVGA серии RTX FTW3 Компания EK продолжает модернизировать водоблоки заменой 12-вольтовой подсветки на 5-вольтовую на адресуемых светодиодах. Вчера словенский производитель представил водоблоки EK-Quantum Vector FTW3 D-RGB для видеокарт EVGA серии RTX FTW3. Подсветка этих водоблоков, рассч...

Samsung планирует начать массовое производство 3-нм чипов в 2021 году Южнокорейская корпорация Samsung поделилась планами начать серийное производство 3-нм полупроводниковой продукции с новым типом транзисторов GAAFET (gate-all-around FET) в 2021 году. Технологию GAAFET разрабатывается Samsung и другими компаниями с начала 2000 годов. Она...

Отечественные самолеты начнут собирать роботы По словам заммэра Москвы Владимира Ефимова, специалисты ЗАО «Авиационный консалтинг-Техно» успешно разработали первую отечественную систему роботизированной сборки пассажирских лайнеров, гражданских и военных «транспортников». Эта технология поможет значительно сократить сро...

Qualcomm разработала новое поколение ультразвукового ... Qualcomm продолжает совершенствовать собственные разработки и сегодня чипмейкер анонсировал выход нового поколения ультразвукового сканера отпечатков пальцев. Главное отличие нового решения от предшественника — увеличенная рабочая поверхность. Так, датчик предыдущ...

Процессоры A13 готовы к серийному производству TSMC, основной производитель чипов для Apple, готов запустить массовое производство процессоров следующего поколения A13 для нового модельного ряда iPhone.

Samsung проектирует смартфон с 3D-дисплеем и технологией Side-Touch Управление США по патентам и торговым маркам (USPTO) обнародовало патентную документацию Samsung на смартфон с любопытным дизайном. Южнокорейский гигант размышляет над аппаратом с так называемым 3D-дисплеем. Экран у устройства будет загибаться на все четыре стороны, полность...

Перенос производства iPhone в Индию из-за торговой войны с Китаем оказался провалом Производство iPhone на заводах в Индии официально началось в августе. Решение о переносе производственных мощностей в страну Apple приняла во многом для того, чтобы избежать негативного влияния торговой войны между США и Китаем. Однако компания столкнулась с некоторыми трудн...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Специалистами Toshiba разработана технология, позволяющая точно выявлять 13 типов рака по капле крови Компания Toshiba рассказала о разработке, позволяющей выявлять 13 типов рака на основе анализа всего одной капли крови. Точность диагностики достигает 99%. Технология и построенный на ней метод диагностики разработаны совместно с Научно-исследовательским институтом Нац...

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

Специалистами Fraunhofer FEP созданы гибкие модульные ленты OLED По сообщению источника, специалистами института органической электроники, электроннолучевой и плазменной технологии общества Фраунгофера (Fraunhofer FEP) разработаны модульные ленты из гибких панелей OLED. Они могут быть изготовлены любой длины. На следующей неделе разр...

Слёрм DevOps. День первый. Git, CI/CD, IaC и зелёный динозавр 4 сентября в Санкт-Петербурге начался Слёрм DevOps. В одном месте и в одном времени собрались все необходимые факторы для увлекательного интенсива длительностью в три дня: удобный конференц-зал Selectel, семь десятков любопытных разработчиков в зале и 32 участника в онлайне...

В Уругвае задействуют блокчейн aeternity для отслеживания поставок каннабиса Проект æternity объявил о сотрудничестве с уругвайским производителем каннабиса Uruguay Can. В æternity разрабатывают платформу для регистрации и отслеживания производства каннабиса: от семян до конечного продукта. Проект планирует завершить разработку к середине 2020 года. ...

В Северном (Арктическом) федеральном университете будут готовить технологических предпринимателей Соглашение о сотрудничестве предусматривает разработку "дорожной карты" на ближайшие три года, в рамках которой в университете будут реализованы образовательные и инновационно-предпринимательские программы, в том числе в интересах Национальной технологической иници...

Игровая мышь Logitech G604 Lightspeed поддерживает два варианта беспроводного подключения Компания Logitech представила под маркой Logitech G, используемой для игровых периферийных устройств, беспроводную мышь Logitech G604 Lightspeed. Как утверждает производитель, это «беспроводная мышь нового поколения с потрясающим временем автономной работы, разраб...

Bank of America разработал расчетную систему с использованием технологии распределенного реестра Ripple Один из крупнейших финансовых институтов США Bank of America подал патентную заявку на расчетную систему, в описании которой упоминается распределенный реестр Ripple, сообщает Cointelegraph. Соответствующая заявка была размещена на Google Patents 6 июня и в ней описывается с...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

В ТУСУРе будут готовить специалистов в области квантовых технологий Центр компетенций будет заниматься подготовкой специалистов высшей квалификации, а также разработкой программ дополнительного образования и взаимодействием с организациями Сибирского федерального округа для развития направления "Квантовые технологии". Также на базе...

В России наладят производство полностью отечественных инфокиосков "Открытая мобильная платформа", "Байкал Электроникс" и "НПП Итэлма" планируют создание инфокиосков и терминалов, в основе которых будут российские процессоры и отечественная мобильная операционная система. Компании подписали трехстороннее соглаш...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Инцидент на фабрике TSMC разрушает тысячи видеокарт NVIDIA На одной из фабрик TSMC по производству чипов произошел инцидент, в результате которого повреждены не менее 10 000 16нм и 12нм пластин, которые NVIDIA использует для своих графических процессоров Pascal и Turing. NVIDIA не одинока, и производители мобильных чипов, такие...

Компания Sony поставила только 1,1 миллиона Xperia в первом квартале этого года Мобильный бизнес Sony продолжает сокращаться на основе последних данных о грузоперевозках. Sony удалось отгрузить только 1,1 миллиона телефонов Xperia в первом квартале 2019 года, что является самым низким показателем для компании. По оценкам компании, в следующем году будет...

Apple запустит три OLED-iPhone в 2020 году, включая меньшую 5,42-дюймовую модель с более тонким дисплеем Согласно источникам тайваньской цепочки поставок, в следующем году Apple планирует выпустить три iPhone OLED, которые будут включать модели меньшего и большего размера, чем нынешняя линейка флагманских смартфонов Apple. Сегодня утром DigiTimes сообщает, что Apple выпустит т...

Двигатели для Су-57 разрабатывают в виртуальной реальности Для проектирования, производства и эксплуатации двигателей SaM146, ПД-14, перспективного двигателя большой тяги ПД-35, морских газотурбинных двигателей и двигателя для перспективного российского многофункционального истребителя пятого поколения Су-57 разработчики активно исп...

Полупроводниковое производство Panasonic достанется тайваньской компании Nuvoton Technology Компания Panasonic объявила, что продаст свое производство полупроводниковой продукции тайваньской компании Nuvoton Technology за 250 миллионов долларов. Японский электронный гигант изо всех сил пытается увеличить прибыль в условиях отсутствия двигателей роста, а указан...

К концу 2020 года Китай будет выпускать до 4 % микросхем на мировом рынке памяти Японское издание Nikkei изучило возможное влияние зарождающегося в Китае национального производства памяти NAND и DRAM на мировой рынок. Немногочисленным китайским компаниям ещё предстоит преодолеть много препятствий на пути к производству массовой памяти, но даже сейчас на ...

Эти роботы-пауки созданы, чтобы жить внутри нас Поиск способов адресной доставки лекарств внутри тела человека продолжается, и одной из перспективных новинок в этой сфере стал проект микроботов профессора Марка Мискина. Вместе с коллегами из Пенсильванского университета (США) он разработал и запатентовал технологию многос...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Учёные научились делать людей невидимыми Фирма, специализирующаяся на создании камуфляжа, показала технологию Quantum Stealth, которая позволила сделать лёгкий и тонкий как лист бумаги относительно недорогой в производстве материал, не требующий внешнего источника питания для того, чтобы выступать в роли покрытия-н...

Оттолкнулись от дна В Переславле Залесском открылся 8 Национальный суперкомпьютерный форум. Его открыл директор Института программных систем РАН, член-корреспондент Академии наук Сергей Абрамов. В текущем году появились некоторые поводы для оптимизма. Несмотря на то, что количество российских ...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

Китайский военный корабль, оснащенный рельсотроном, замечен в открытом море Китай мог провести испытания электромагнитного рельсотрона в открытых водах, сообщает издание Business Insider со ссылкой на Task & Purpose. На днях в Сети появились фотографии большого десантного корабля «Хайяншань» проекта Type 072-III с установленным на его носовой ...

AR-гарнитуре Apple для работы потребуется iPhone Ещё в 2017 году появилась информация, что Apple может выпустить самодостаточный шлем дополненной реальности (AR). Позднее стало известно, что «яблочная» компания проектирует гибридную гарнитуру дополненной/виртуальной реальности (AR/VR) с двумя 8K-дисплеями и внешним вычисли...

Разработана технология передачи тайных аудио-сообщений при помощи лазерного света Новая технология, разработанная в одной из лабораторий Массачусетского технологического института, позволяет передавать аудио-сообщения, предназначенные для ушей только одного человека, на расстояние до нескольких метров. Ключевую роль в этой технологии играет свет специальн...

Массовое производство процессора Kirin 985 начнется в 3 квартале 2019 года Компания Huawei представила чипсет Kirin 980 на международной выставке технологий IFA 2018 в качестве первого 7-нм процессора в мире. ***

Бизнес-кейс: Как 3D-печать ускорила и упростила производство элайнеров Исходные данные: Компания Smartee, расположенная в промышленном парке в Цзясине, является одним из крупнейших производителей зубных элайнеров в Китае.  UnionTech - китайский производитель 3D-принтеров, лидер в области стереолитографии. Все 3D-принтеры UnionTech имеют открыту...

Samsung ISOCELL Slim 3T2 – самый компактный датчик изображения производителя, идеально подходящий для фронтальной камеры Сегодня компания Samsung объявила о выпуске датчиков изображения для смартфонов ISOCELL Slim 3T2. Новинка преподносится как самая компактная модель в линейке датчиков изображения производителя: ее оптический формат составляет 1/3,4 дюйма (размер по диагонали – око...

iPhone 2021 года получит экранный сканер и Face ID В 2021 году Apple выпустит инновационный iPhone, который будет оснащен сразу двумя биометрическими технологиями — экранным сканером Touch ID и опцией для распознавания лиц Face ID. В этом уверен известный аналитик Минг Чи-Куо. По его словам, соответствующие разработки...

MediaTek ищет счастья в бизнесе по проектированию ASIC Замедление темпов развития рынка смартфонов и, как следствие, снижение спроса и увеличение конкуренции среди разработчиков и производителей комплектующих для этих устройств заставляет участников процесса искать альтернативные пути заработка денег. Разумной альтернативой для ...

Ученые предложили новый способ получения возобновляемой энергии По мнению ученых из Стэнфордского университета, места, где смешивается соленая океаническая вода с пресной водой, могут стать источником производства огромного объема возобновляемой энергии, сообщает пресс-релиз, опубликованный на сайте университета. Авторы разработки отмеч...

Huawei начала продажи своих 4G-чипов сторонним производителям Компания HiSilicon, являющаяся дочерним предприятием Huawei, объявила о начале продаж 4G-чипов собственной разработки Balong 711. Такое решение объясняется тем, что спрос на решения с поддержкой Интернета продолжает расти. Согласно официальному заявлению, которое было опубли...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Xiaomi может выйти на рынок электронных сигарет Компания Xiaomi имеет обширную производственную гамму, и даже старается ее постоянно расширять. К примеру, несколько дней тому назад в китайских СМИ появились слухи о выходе Xiaomi на рынок мониторов, и вот новый слух: на этот раз компании приписывают выход на рынок эле...

Компания CIG представила оптические модули 400G, 200G и 100G для центров обработки данных Компания Cambridge Industries Group (CIG), называющая себя «ведущим поставщиком и новатором в области решений для оптической связи», представила линейку оптических модулей для центров обработки данных. Линейка включает модули, поддерживающие скорости 100 Гби...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

В Греции проведено испытание передачи данных с использованием тестового микроволнового канала Как сообщает ТАСС со ссылкой на издание "Нафтемборики", Cosmote, частично принадлежащая Греческой организации связи (ОТЕ), в сотрудничестве с германской Deutsche Telekom и шведской Ericsson провела испытание передачи данных с использованием тестового микроволнового...

Табачный дым вредит жестким дискам К сожалению, не подтверждая свою публикацию ссылками на какое-либо исследование или статистические данные, источник утверждает, что курение в помещении, где есть жесткие диски, рискует сократить срок их службы. Высокая скорость вращения шпинделя и сверхмалый зазор межд...

У IoT стало протоколом больше Центр компетенций "Технологии беспроводной связи и интернета вещей" (ЦК НТИ БСИВ) при Сколковском институте науки и технологий (Сколтехе) разработал стандарт "Протокол беспроводной передачи данных для высокоемких сетей на основе сверхузкополосной модуляции рад...

VinSmart разработает 5G-смартфон Весь процесс производства «полностью вьетнамского смартфона» будет проходить в парке высоких технологий «Хоа Лак» (Ханой), а само устройство должно выйти на рынки США, Европы, России и других стран уже в апреле 2020 года.

RedefineMeat получил 6 000 000 долларов на разработку «мясного» 3D-принтера RedefineMeat использует 3D-печать для разработки первого в мире вегетарианского 3d-печатного мяса. Для этого он собрал 6 млн долларов стартового капитала. Наслаждайтесь мясом, не причиняя вреда животным и планете! Стартап RedefineMeat, созданный в 2018 году, объявил...

Модный бренд Louis Vuitton показал ручные сумки с гибкими дисплеями, которая является «расширением смартфона» Передовые технологии постепенно начинают проникать на территорию гламура и высокой моды. Модный бренд Louis Vuitton одним из первых приступил к использованию в своей продукции гибких дисплеев. Новая линейка сумок получила гибкие AMOLED дисплеи с разрешением 1920×1440 то...

Fujitsu и порт Сингапура поручат искусственному интеллекту прогнозирование риска столкновения судов Компания Fujitsu объявила о результатах полевых испытаний, которые проводились в течение двух лет при содействии морского и портового управления Сингапура. Целью испытаний была оценка анализа рисков морских перевозок в Сингапурском проливе, выполняемого с применением те...

Ростех представит на ЦИПР-2019 дорожные карты по развитию 5G в России Переход на новые стандарты связи в масштабах страны - это всегда большие трудозатраты и гигантские финансовые вложения. По данным аналитиков развитие сетей 5G в 2020-2027 гг. обойдется каждому сотовому оператору в России в 130-165 млрд руб. Финальные суммы зависят от того, н...

Запущено серийное производство первого сертифицированного отечественного SLM 3D-принтера Петербургская компания «Лазерные системы» первым из российских производителей получила сертификат происхождения СТ-1 и заключение Минпромторга о промышленном производстве промышленного 3D-принтера по технологии селективного лазерного наплавления (SLM) на территории России.По...

Hyundai займется софтом для автономного транспорта с российским партнером Об этом ообщили “Ъ” представители сторон.Ключевая технология решения - нейросети глубинного обучения, обеспечивающие возможность распознавания требуемой точности, отметил представитель Hyundai Mobis Чун Ги Хван. Договор подписан о разработке ПО, соответствующего...

Realme в топ-10 производителей смартфонов За второй квартал 2019 года, Realme вошла в топ-10 производителей смартфонов. Представители Realme сообщили, что за 14 месяцев они продали более 10 миллионов смартфонов по всему миру. В ближайшие время компания планирует ускорить процесс производства в Индии и Китае и анонс...

Volkswagen и Ford подписали меморандум о взаимопонимании, касающийся разработки электромобилей и самоуправляемых транспортных средств Компании Volkswagen AG и Ford Motor Company объявили о первых официальных соглашениях в широкой программе сотрудничества, которая будет включать разработку коммерческих фургонов и пикапов среднего размера. Ожидается, что в ближайшие пять лет спрос на средние пикапы и ко...

МТС начала подбирать сотрудников с помощью VR-технологий На этапе отбора кандидат погружается в виртуальное пространство, в котором проходит тестовые задания на быстроту реакции, сообразительность, способность воспринимать информацию по разным каналам коммуникации, стрессоустойчивость и выявление основных психоэмоциональных особен...

Huawei запатентовала самую уродскую фронтальную камеру под дисплеем В последнее время практически все китайские производители смартфонов принялись играться мускулами, показывая, какие у них есть разработки в области производства подэкранной фронтальной камерой. Huawei тоже не отстает от коллег по цеху, правда ее взгляд на технологию выглядит...

Видео с производства первого китайского планшета с экраном Samsung Super AMOLED Как мы уже сообщали, первым китайским планшетом, который оснащен экраном Super AMOLED производства Samsung Display, станет Alldocube X. Устройство было успешно профинансировано на площадке Indiegogo и должно было поступить в продажу в ноябре этого года, затем дату выхо...

MWC 2019: Xiaomi и Light наделят смартфоны камерами DSLR-уровня Стартап Light и китайская компания Xiaomi в ходе выставки мобильной индустрии Mobile World Congress (MWC) 2019 объявили о подписании соглашения о сотрудничестве. Речь идёт о совместной разработке и коммерциализации «новых решений в области формирования изображений для смартф...

В Китае занялись разработкой мобильной связи 6G Между тем, сети пятого поколения только начинают работу в разных странах мира. Газета министерства науки и технологий КНР сообщает, что в начале ноября состоялось совещание представителей министерств и научных институтов Китая, на котором было принято решение о создании...

Huawei и Honor должны стать «большой двойкой» на рынке ... По оценкам различных аналитических компаний, Huawei является третьим по величине производителем смартфонов в мире и продолжает увеличивать свои продажи. Стоит отметить, что отставание от Apple незначительное и китайцы планируют уже в скором времени обойти корпорацию из Купер...

Самоуправляемые автомобили Waymo будут выпускаться в Детройте Компания Waymo, входящая в холдинг Alphabet, выбрала завод в Детройте для организации серийного производства самоуправляемых автомобилей. Генеральный директор компании Джон Крафчик (John Krafcik) сообщил в своем блоге, что Waymo будет сотрудничать с American Axle & ...

«Ростех» начинает серийное производство кардиорегистраторов Ritmer Биометрический комплекс российской разработки позволит в режиме реального времени дистанционно проводить мониторинг и анализ состояния пациентов с сердечно-сосудистыми заболеваниями.

Не Samsung, и не LG: в Huawei Mate 30 будут использоваться экраны OLED производства BOE Когда речь заходит об экранах для смартфонов топового уровня, то в числе ключевых поставщиков, как правило, значатся две корейские компании — Samsung Display или LG Display. Но в последнее время ситуация стала меняться — теперь все чаще среди поставщиков ком...

Samsung первым перенес в ноутбуки технологию 4K Несмотря на то, что в смартфонах OLED-дисплеи уже вовсю используются, в ноутбуках они пока не получили широкого применения. При этом свои модели уже успели разработать и продемонстрировать Dell, HP и Lenovo. Однако Samsung первым смог запустить в серийное производство OLED-э...

Corsair выпустила оперативную память DDR4 с уникальной LED-подсветкой Capellix Компания Corsair объявила о выпуске своих первых модулей памяти Dominator Platinum RGB DDR4 с новой технологией многоцветной светодиодной подсветки, которые были представлены на выставке CES в прошлом месяце. Комплекты оперативной памяти поставляются по два, четыре или ...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Банк ING тестирует технологию приватности Bulletproofs Блокчейн-подразделение нидерландского финансового конгломерата ING тестирует технологию приватности Bulletproofs, сообщает CoinDesk. Технология разработана криптографами Стэнфордского университета, Университетского колледжа Лондона и стартапом Blockstream. Bulletproofs позво...

Двигатель для корабля Dream Chaser прошел публичное огневое испытание Sierra Nevada В ноябре 2017 года космический корабль Dream Chaser совершил первый за четыре года тестовый планирующий полет и в первый раз совершил успешную посадку (в 2013 году при приземлении у него отказало шасси). Аппарат разрабатывается компанией Sierra Nevada Corp...

Apple намерена отказаться от 3D Touch в iPhone 11 Модельный ряд iPhone (2019) будет лишён технологии распознавания силы нажатия 3D Touch. Об этом сообщает издание Digitimes со ссылкой на собственные проверенные источники в цепи поставок. Таким образом, Apple намерена сократить производственные расходы при изготовлении экра...

Представлен водоблок EK-Quantum Dual Evo RTX 2070/2080 D-RGB Ассортимент компании EK Water Blocks пополнил водоблок, специально разработанный для графических карт серии ASUS DUAL GeForce RTX EVO. Он называется EK-Quantum Dual Evo RTX 2070/2080 D-RGB. Водоблок покрывает всю печатную плату, отводя тепло от GPU, памяти и регуляторо...

Финансируемый Биллом Гейтсом стартап Heliogen заявил о совершении прорыва в гелиотермальной энергетике Тяжелой промышленности — сталелитейным заводам, нефтехимическим предприятиям и производителям цемента — трудно отказаться от ископаемого топлива, поскольку ей требуются для производства экстремально высокие температуры. Неудивительно, что одна пятая мировой эмиссии углекисло...

Почему в этом году точно не стоит ждать смартфонов с камерой под экраном Пару дней назад мы с вами впервые увидели рабочие прототипы селфи-камер под дисплеем, разработанные компаниями OPPO и Xiaomi. Несмотря на короткое время воспроизведения и низкое разрешение видео, эта технология все равно сильно поражает, позволив нам заглянуть в будущее, гд...

Apple запускает массовое производство iPad 7, сборка 16-дюймового MacBook Pro начнется в конце года Тайваньская компания Radiant Opto-Electronics, поставщик модулей подсветки для устройств Apple, раскрыла данные, о которых в американской компании предпочли бы молчать. Теперь, благодаря азиатской фирме, мы знаем, что массовое производство iPad нового поколения стартует...

Ученые разработали дешевый способ производства биотоплива из водорослей Текущие методы производства биотоплива в больших объемах экономически невыгодны. На производство тратится слишком много энергии. Поэтому эксперты в этой сфере давно ищут более эффективные и менее затратные способы производства. Специалисты из Университета Юты (США) считают,...

Производство Apple A13 для новых iPhone начнётся во 2 квартале TSMC уже разрабатывает чипсеты для устройств Apple, а массовое производство A13 начнётся во втором квартале этого года.

Dassault Systemes поможет Boom Supersonic ускорить разработку самого быстрого коммерческого авиалайнера в истории Компания Dassault Systèmes объявила о партнерстве с компанией Boom Supersonic. В рамках сотрудничества Dassault Systèmes развернет платформу 3DEXPERIENCE, которая позволит ускорить проектирование и разработку авиалайнера Overture. Ожидается, что Overture б...

Google рассказала, как создавать приложения на Android «Разработка Android-приложений на языке Kotlin» – это бесплатный онлайн-курс, который компания Google разработала совместно с образовательной организацией Udacity для того, чтобы любой желающий мог пройти самостоятельное обучение и постичь азы (и не только) программирования...

Специалистами Covestro созданы удобные для ношения электронные пластыри Компания Covestro разработала специальные воздухопроницаемые термопластичные полиуретановые пленки, позволяющие использовать процесс рулонного производства для изготовления носимых электронных пластырей, которые в настоящее время используются во многих областях медицины...

Создан металл, который не тонет в воде Непотопляемый металл — это что-то новенькое! Всем известно, что металлы — довольно тяжелый класс веществ, который обладает высокой плотностью и (если мы не говорим об особых сплавах или сверхтонких листах наподобие фольги) зачастую тонет в воде. Однако исследоват...

Samsung покупает израильскую компанию Corephotonics за 155 млн долларов Корейский технологический гигант Samsung достиг договоренности о покупке израильской компании Corephotonics за 155 млн долларов. Об этом сообщило израильское издание Globes со ссылкой на собственные источники. Три недели назад издание Globes первым сообщило, что Samsung...

В РФ начали производство аналога винтовки AR-15 Полуавтоматическая винтовка AR-15 является одним из самых популярных образцов американского стрелкового оружия. Как сообщает РИА Новости со ссылкой на официального представителя ORSIS, компания запустила серийный выпуск полуавтоматических винтовок ORSIS-AR15J. Ранее их собир...

ARM возобновит сотрудничество с Huawei Несмотря на давление США разработчик чипов ARM продолжит поставлять технологии чипов в китайскую компанию Huawei. Представитель ARM поделилась, что «ARM v8 и v9 являются технологиями британского происхождения». Следовательно, ARM может обеспечить поддержку HiSilicon для архи...

McLaren и Huami будут делать спортивные фитнес-браслеты Amazfit Компания Huami, которая производит умные часы и фитнес-браслеты, сообщила о подписании партнерского соглашения с McLaren Applied Technologies. McLaren Applied Technologies — это подразделение известной британской автомобильной компании McLaren, которое занимается...

Intel анонсировала свои первые массовые 10-нанометровые процессоры Ice Lake – они предназначены для ноутбуков Сегодня на специальном мероприятии в рамках выставки CES 2019 компания Intel официально анонсировала свои первые массовые CPU, произведенные по техпроцессу 10 нм. Правда, новинки именно анонсированы – массовое производство их стартует позже в этом году (вероятно, ...

Apple выпустит тонкие и лёгкие очки дополненной реальности в 2020 году Аналитик Мин-Чи Куо (Ming-Chi Kuo), отлично известный по своим прогнозам о продукции Apple, выдал новую порцию предсказаний. По данным аналитика, компания Apple может представить очки дополненной реальности в середине 2020 года.  Очки будут подвигаться как ак...

Ricoh представила технологию струйной печати литиево-ионных аккумуляторов Японская компания Ricoh сообщила, что она разработала первую в мире технологию струйной печати литиево-ионных аккумуляторов. Компании, заинтересованные в производстве аккумуляторов по новой технологии, смогут получить к ней доступ уже до конца марта 2020 года (в 2019 финансо...

Xiaomi разработала сверхбыструю технологию быстрой зарядки У Xiaomi появилась собственная технология быстрой зарядки Super Charge Turbo, которая позволяет передавать до 100 Вт мощности и может зарядить батарею емкостью 4000 мАч за 17 минут. Технология SuperVOOC компании Oppo в настоящее время является самой быстрой запатентован...

Команда Airalab запустила робота с использованием технологии Substrate от Parity 29 января в Берлине на встрече разработчиков, использующих решения Parity Technologies, команда проекта Airalab продемонстрировала способ запуска робота с помощью децентрализованной сети на базе технологии Substrate. Инструмент Substrate интегрирован с разработанным Parity п...

Samsung Display работает над новой технологией дисплеев В то время как Samsung Display, дочерняя компания Samsung Electronics, собирается сократить производство LCD-дисплеев, Samsung готовится к производству новых панелей с квантовыми точками. Так, генеральный директор Samsung Display Ли Донг Хун (Lee Dong-hoon) сообщил, что комп...

Грант на 1,5 миллиона доллара на экологически чистый бетон для 3D-печати Команда инженеров из Калифорнийского университета в Лос-Анджелесе получила грант в размере 1,5 миллиона долларов от Национального научного фонда на разработку 3D-печатного бетона, при производстве которого вырабатывается меньше парниковых газов, чем обычно. В настоящ...

В России сделали телефон с квантовым шифрованием Как сообщают «Ведомости» со ссылкой на представителя «Инфотекса», пара квантовых телефонов способна надёжно сформировать общий секретный ключ, которым будет шифроваться общение собеседников. Как известно, в квантовой передаче информации используются фотоны, а их основное сво...

В России появится "Цифровой университет" Об этом в интервью порталу "Будущее России. Национальные проекты", оператором которого выступает ТАСС, сообщил замминистра экономического развития РФ Илья Торосов."У нас будет "Цифровой университет", на который мы потратим 6,5 млрд рублей. Это обеспе...

Производители ускоряют переход на 96-слойную флеш-память 3D NAND Производители микросхем флеш-памяти уверенно повышают процент выхода годной продукции при выпуске 96-слойной флеш-памяти 3D NAND. Ожидается, что эта технология станет основной в производстве флеш-памяти в 2020 году. Переход на 96-слойную технологию 3D NAND поможет поста...

Samsung начала массовое производство чипсетов 5G Samsung Electronics объявил, что его коммуникационные решения 5G находятся в массовом производстве для новейших мобильных устройств премиум-класса. Коммуникационные решения 5G включают в себя Exynos Modem 5100, Exynos RF 5500 (новый однокристальный радиочастотный прием...

Планы Huawei и Honor: первое и четвертое места на мировом рынке смартфонов и два первых места в Китае Исполнительный директор Huawei Devices Ричард Ю (Richard Yu) заявил рассказал о грядущих планах, которые установлены для устройств брендов Huawei и Honor. То, что Huawei хочет стать крупнейшим производителем смартфонов в мире, мы знаем давно. Недавно руководство подтвер...

Начались продажи процессорных охладителей Scythe Fuma 2 Компания Scythe объявила о начале продаж процессорного охладителя Fuma 2, являющегося развитием модели Fuma. Новинка с каталожным индексом SCFM-2000 унаследовала у своей предшественницы схему двойной башни. Увеличив пластины радиатора и заменив 120-миллиметровые вентиля...

Harley-Davidson разработал линию электровелосипедов для самых маленьких байкеров Будущее за молодыми, а приучать к современным технологиям (и к своей продукции) потребителей лучше с самых ранних лет. Руководствуясь примерно такой логикой, Harley-Davidson – именитый бренд для брутальных байкеров — взялся за производство детских электрических велосип...

Американо-китайская торговая война остановит расширение производства кремниевых пластин Продолжающаяся американо-китайская торговая война может вынудить некоторых поставщиков кремниевых пластин приостановить реализацию планов расширения мощностей, поскольку из-за снижения спроса растет разрыв между спотовыми и контрактными ценами. Об этом сообщают пре...

Samsung представила первый сенсор камеры с разрешением 108 Мп для смартфонов Samsung представила свой новый 108-мегапиксельный сенсор ISOCELL Bright HMX для камер, который, по его словам, является первым в отрасли, преодолевшим отметку в 100 миллионов пикселей.Еще в мае Samsung представила 64-мегапиксельную ISOCELL Bright GW1, сообщает ITbukva.com. В...

Крупный бизнес не спешит внедрять машинное обучение По данным НАФИ, 80% крупных бизнесов в стране используют автоматизацию в бизнес-процессах. На каждом пятом предприятии автоматизированы все бизнес-процессы. В будущем количество автоматизированных бизнес-процессов на предприятиях продолжит расти - такой прогноз дали 93% опро...

В Японии показали беспилотный трактор Презентация прошла в воскресенье в пригороде города, передает корреспондент ТАСС.Во время презентации беспилотный трактор производства японской компании Iseki, специализирующейся на разработке и производстве сельскохозяйственной техники, сделал несколько кругов по полю, само...

BOE готова начать массовое производство LCD-дисплеев со встроенным сканером отпечатков пальцев С момента появления экранного сканера отпечатков пальцев, производители смартфонов стали оснащать им свои флагманские устройства. Данная технология работает только с OLED-дисплеями, что значительно ограничивает возможности ее использования. Но вскоре ситуация может изменитьс...

Изображение Google Pixel 4 от производителя аксессуаров Анонс Google Pixel 4 еще только предстоит увидеть в октябре, а предприимчивый производитель аксессуаров уже предлагает оформить предзаказ на защитную пленку для четвертого поколения Pixel-фонов. Возможно, компания Skinomi, а именно она и изготовила защитный аксессуар, просто...

В Петербурге открылось новое производство промышленных 3D-принтеров На территории петербургского технопарка «Нойдорф» открылся производственный комплекс АО «Лазерные системы», занимающегося разработкой и производством промышленных 3D-принтеров по технологии селективного лазерного наплавления.Подробнее...

Прыгающие роботы-муравьи работают в команде для преодоления препятствий EPFL Роботы получили название Tribots и являются трехногими роботами-оригами. Их движения, согласно исследователям, были смоделированы на базе муравьев рода Одонтомахус (Odontomachus); обычно эти насекомые ползают, однако чтобы скрыться от хищника, они способны — с помо...

Руководитель производства электромобилей Tesla во Фримонте покинул компанию Питер Хоххолдингер (Peter Hochholdinger), вице-президент Tesla по производству на заводе во Фримонте, покинул компанию после трех лет работы в ней. Об этом сообщает Reuters со ссылкой на собственный источник. Хоххолдингеру было поручено улучшить производство седана Tesl...

Foxconn передумала выпускать в США ЖК-дисплеи для телевизоров Крупнейший в мире контрактный производитель Foxconn Technology Group пересмотрел планы по производству современных жидкокристаллических панелей для телевизоров в кампусе в Висконсине (США) и теперь планирует вместо завода создать здесь «технологический центр», который будет ...

Mitsubishi Electric разработала технологию обнаружения атак на сенсоры электронных систем Корпорация Mitsubishi Electric объявила о разработке первой в мире технологии защиты сенсоров, в основе которой лежит …

Ростех создал вычислительный комплекс для технополиса «Эра» Проект был реализован в интересах Министерства обороны России кооперацией предприятий в составе Российского федерального ядерного центра - Всероссийского научно-исследовательского института технической физики им. академика Е.И. Забабахина (входит в Госкорпорацию Росатом) и Н...

Ростех будет производить детали газотурбинных двигателей методом 3D-печати Освоение технологии производства крупногабаритных деталей для промышленных газотурбинных двигателей происходит на самарском предприятии ПАО "Кузнецов" (входит в ОДК). Технология заключается в послойном создании крупногабаритных корпусных деталей на станке 3D-печати...

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

На 3D-принтере BigRep напечатали сиденья для самолета На выставке Aircraft Interiors Expo в Гамбурге компания BigRep GmbH, один из ведущих мировых производителей широкоформатных промышленных 3D-принтеров, совместно с Dassault Systèmes представили два напечатанных на 3D-принтере сиденья самолета.Прототипы сидений, напечатанные н...

Часть производства Apple может быть перенесена из Китая Руководство Apple рассматривает возможность переноса части своего производства из КНР в другие страны мира.

Toshiba готовится создать жёсткие диски с десятью пластинами Разработка уже началась.

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

Hyundai тестирует технологии 5G для беспилотных автомобилей Корейский оператор сотовой связи KT официально сообщил сегодня о том, что компания вместе с производителем автомобильных запчастей Hyundai Mobis занимается разработкой технологий для машин, которые смогут обмениваться данными в сетях пятого поколения. На начальной ...

Инсайдер сообщил о готовности Samsung выпустить ... Технологии постоянно совершенствуются, но в области аккумуляторов каких-то революций или серьезных прорывов не наблюдается. Похоже, что в данной области технологические компании зашли в своеобразный тупик, а в попытке перейти на новый уровень экспериментируют с новыми матери...

На Луну доставят лазерную 3D-печать LZH С тем что различные космические агентства и частные компании разрабатывают идею постройки долгосрочных станций на Луне, проблема технологий для строительства этих жилых структур становится все актуальней. Одной из главных практических проблем, связанных с этим, явля...

Российская разработка позволит питать гаджеты от тепла тела Специалисты из Национального исследовательского технологического университета (НИТУ) «МИСиС» создали передовой термоэлектрический материал, который позволит питать различные гаджеты от тепла человеческого тела. Термоэлектрические материалы — химические соединения или сплавы ...

Вашингтон нанёс удар по китайским производителям суперкомпьютеров Министерство торговли США объявило в пятницу о добавлении нескольких китайских компаний и государственного института, занимающегося применением суперкомпьютинга в военных целях, в «чёрный» список Entity List, в который заносятся организации, представляющие угрозу национально...

Foxconn предлагает Apple перенести производство iPhone из Китая Торговое противостояние США и Китая, которое может вылиться в увеличение торговых пошлин на товары, импортируемые из Поднебесной, практически не отразится на Apple и ее прибыли. Компания может в любой момент начать производить iPhone и другую свою технику за пределами Китая...

LG Chem обвиняет SK Innovation в краже коммерческих секретов Компания LG Chem и ее дочернее производственное предприятие LGCMI, расположенное в США, подали два иска против южнокорейской компании SK Innovation. Называя себя мировым лидером в производстве литий-ионных аккумуляторных батарей, чьи уникальные технологии используются в...

Система охлаждения серверов в ЦОД без электричества передает лишнее тепло в космос Общеизвестно, что затенение помещений через блокирование попадания солнечного света внутрь здания помогает охлаждать их. Но совсем недавно ученые решили улучшить этот подход, применяемый в том числе и для пассивного охлаждения серверов в ЦОД, машзалы которых, как правило, ст...

40 Гбит/с и 100 Вт. Коммерческие продукты на базе USB 4 появятся в конце 2020 года Интерфейс USB 4, основанный на технологии Thunderbolt 3, удвоит пропускную способность по сравнению с USB 3.2. Он обеспечит передачу данных на скорости до 40 Гбит/с и мощность зарядки до 100 Вт, используя разъем USB-C. В настоящее время USB Promoter Group работает ...

Samsung убила рынок защитных пленок для Galaxy S10 До недавнего времени считалось, что комплектовать смартфоны защитными чехлами и пленками на экран – удел лишь китайских производителей. Таким образом вендоры из Поднебесной привлекали потребителей, противопоставляя свою щедность жадности А-брендов. В конце концов, стоят эти...

Microsoft разработала «жидкостные петли» для складных устройств. Складной Surface не за горами? Microsoft готовит складной планшет Surface? Вполне возможно Еще в начале этого года устройства со складными дисплеями казались тем глотком свежего воздуха, который поможет мобильным устройствам совершить очередной революционный скачок, подарив новый опыт использования. Однак...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Sony начинает исследования в сфере искусственного интеллекта с создания соответствующего подразделения Sony Corporation официально объявила о создании нового подразделения Sony AI. Оно будет иметь офисы в Японии, Европе и США, а основное направление его деятельности будет связано с проведением фундаментальных исследований и разработок в сфере искусственного интеллекта. В офиц...

Аккумуляторы нового типа позволят электромобилям проезжать по 800 км без подзарядки Отсутствие значимого прогресса в технологиях хранения электрического заряда начинает сдерживать развитие целых отраслей. Например, современные электромобили вынуждены либо ограничиваться скромными показателями пробега без подзарядки, либо становиться дорогими игрушками для и...

Водка, которую гонят из воды и воздуха: удивительное изобретение Если мы скажем вам, что настоящую водку можно произвести из воздуха и воды — вы наверняка посчитаете это глупой шуткой. Однако именно этим и занимаются специалисты из компании Air Co. Ни зерна, ни дрожжей. Так из чего же состоит эта водка, из какой-то магической субстанции? ...

Ростех будет сотрудничать с группой компаний «Форпост» в области больших данных Национальный центр информатизации (НЦИ) как центр компетенции Госкорпорации Ростех по цифровым технологиям и группа компаний "Форпост" будут сотрудничать в области анализа больших массивов неструктурированных данных (big data). Соглашение о сотрудничестве между ком...

Смартфон Galaxy Note10 первым получит 7-нанометровую SoC Samsung В сети появились новые подробности о различиях флагманских смартфонов серии Galaxy S10 и Galaxy Note10, чей выпуск ожидается ближе к осени.  По данным китайских источников, в основу Galaxy Note10 ляжет не Exynos 9820, а более быстрая и совершенная SoC. Платформа E...

[Перевод] Почему Data Science командам нужны универсалы, а не специалисты HIROSHI WATANABE/GETTY IMAGES В книге «Богатство народов» Адам Смит показывает, как разделение труда становится главным источником повышения производительности. Примером выступает линия сборки завода по производству булавок: «Один рабочий тянет проволоку, другой выпрямляет...

Reuters: Volkswagen предоставит Ford доступ к платформе для электромобилей MEB Информационное агентство Reuters сообщило в пятницу со ссылкой на информированные источники о достижении соглашения между Ford и Volkswagen о совместном использовании технологий для электрических и автономных автомобилей. Это расширяет рамки действия сформированного в январе...

Unisoc готовится к производству 5G-модемов Компания Unisoc (ранее — Spreadtrum) в ближайшее время организует производство 5G-модема для мобильных устройств нового поколения, о чём сообщает ресурс DigiTimes. Фотографии Reuters Речь идёт об изделии IVY510, первая информация о котором была раскрыта в феврале нынешнего г...

Как люди колонизируют нашу галактику? Человечество давно гадает о том, возможна ли жизнь на других планетах и мечтает однажды не только найти, но и полететь к другим мирам, находящимся за пределами нашей Солнечной системы. Чтобы мечта стала реальностью, нужно с чего-то начитать. В ближайших планах — покор...

Universal Pictures Home Entertainment будет выпускать контент HDR10+ Universal Pictures Home Entertainment (UPHE), подразделение американской киностудии Universal Pictures, занимающееся распространением видеопродукции для домашнего развлечения, совместно с компанией Samsung Electronics объявило о планах по выпуску продукции, в которой ис...

Углекислый газ можно переработать в электричество и водородное топливо Если мы хотим избежать прогнозируемый уже в этом столетии рост мировой температуры более чем на 1,5 градуса Цельсия, недостаточно просто сократить выбросы углекислого газа — нам необходимо также приложить все усилия для того, чтобы сократить уже имеющийся запас углеки...

TSMC: Разработка 3 нм EUV протекает успешно Разработка новых технологий производства микросхем никогда не останавливается. Неудивительно, что 3 нм технология от TSMC уже находится на завершающих этапах разработки, и первые микросхемы уже поставляются клиентам.

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Названы сроки выхода первого российского электромобиля Глава Минпромторга России сообщил, что сертификация первого российского серийного электромобиля Zetta находится на финальном этапе. Скоро начнётся массовое производство машины.

Производство тягача Tesla Semi официально перенесено на 2020 год В ходе презентации электрического тягача Tesla Semi в 2016 году Илон Маск назвал предполагаемую дату начала его производства — конец 2019 года. С тех пор эта дата не менялась, и некоторые люди начали предполагать, что компания действительно успевает к намеченной дате. Оказа...

Micron начала производство 16-Гбит памяти с использованием 1z нм технологии Компания Micron объявила об очередном достижении в области миниатюризации, начав серийное производство 16-Гбит памяти DDR4 с использованием технологии 1z нм. Подробнее об этом читайте на THG.ru.

SAP Форум: в фокусе — интеллектуальное предприятие В традиционного форума московский офис компании SAP подвел итоги 2018 года и представил планы на 2019-й. Очередной московский SAP Форум, прошедший в середине апреля, собрал свыше 6 тыс. участников из более чем тысячи компаний — партнеров и заказчиков SAP CIS. В ...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

В часах Apple Watch вскоре будут использоваться экраны OLED производства Japan Display Несмотря на то, что Japan Display достаточно серьёзно пострадала из-за Apple, компания всё равно не намерена отказываться от сотрудничества с купертинским гигантом. Как сообщают источники, Japan Display начнёт поставлять экраны OLED для умных часов Apple Watch в конце ...

В семействе Ultrastar DC HC300 появился жесткий диск объемом 10 ТБ Хотя в последние годы увеличение объема жестких дисков связано в основном с использованием технологии заполнения гермозоны гелием, развитие накопителей с воздушным заполнением тоже продолжается. Подтверждением является модель Ultrastar DC HC330 объемом 10 ТБ, представле...

[Перевод] Как написать смарт контракт на WebAssembly в сети Ontology? Часть 1: Rust Технология Ontology Wasm снижает стоимость переноса смарт контрактов dApp со сложной бизнес- логикой на блокчейн, тем самым значительно обогащая dApp экосистему. В настоящее время Ontology Wasm одновременно поддерживает разработку как на языке Rust, так и на языке C++. Яз...

Искусственное мясо вскоре вытеснит настоящее Уже не первый год ученые всего мира ведут разработки в сфере создания искусственного мяса. При этом создание такого продукта крайне важно по многим причинам. И дело тут отнюдь не в давлении со стороны убежденных вегетарианцев. Однако произвести условный килограмм искусствен...

За первый квартал Россияне совершили 9 млрд операций по картам По данным ЦБ, на конец первого квартала 2019 года россиянами было совершено 9 млрд операций по банковским картам. Всего в России за последнее время было выпущено 273 млн карт.

В России создали защищенную альтернативу Skype for Business Разработка IVA AVES-S позволяет организовать защищенную конференцию в видеоформате с разрешением Full HD. Помимо этого она предоставляет возможность обмениваться текстовыми сообщениями в чате, совместно работать над документами, проводить онлайн-опросы, презентации, вести за...

General Electric открыла новые аддитивные центры в Швеции и Германии Корпорация General Electric открыла два дополнительных центра аддитивных технологий, где будут сконцентрированы компетенции компаний Concept Laser и Arcam — ведущих производителей промышленных металлопорошковых 3D-принтеров, вошедших в состав GE осенью 2016 года. Первый цен...

Торговая война сдержит расширение производства полупроводниковых пластин Разница между спотовыми и контрактными ценами продолжает увеличиваться из-за снижения спроса.

Apple запатентовала MacBook с двумя экранами Каждый год Apple регистрирует сотни перспективных разработок. Многих из них никогда не будут реализованы на практике. Но благодаря патентным заявкам у нас есть примерное представление того, в каком направлении движутся технологии и каким именно будет будущее. И сегодня стал...

Эмуляция Amazon web services в JVM процессе. Уклоняемся от Роскомнадзора и ускоряем разработку и тестирование Зачем же может потребоваться эмулировать инфраструктуру Amazon web services? Прежде всего это экономия — экономия времени на разработку и отладку, и что не менее важно — экономия денег из бюджета проекта. Понятно что эмулятор не будет на 100% идентичен исходной среде котору...

Обработка 3d-моделей после печати: 6 простых шагов Ребристость внешней поверхности характерна для всех деталей, получаемых в процессе 3D-печати. Но можно сделать ее полностью гладкой. Для этого разработана технология химического сглаживания напечатанных моделей 3D ацетоном. Такой вариант финишной обработки выравнивает изъян...

Стартовали испытания боевого робота «Маркер» Как сообщает РИА Новости со ссылкой на Фонд перспективных исследований, на основе «Маркера» уже разрабатываются различные технологии, а также модификации роботов и беспилотников, которые будут способны взаимодействовать с подразделениями специального назначения. По сути, экс...

Apple переносит производство iPhone в Индию. Что это значит Apple будет производить iPhone в Индии на экспорт Я хорошо помню времена, когда некоторые пользователи воротили нос от iPhone только из-за того, что его производством занимался Китай. Им было бесполезно объяснять, что разработка и проектирование ведутся в американских лабора...

Apple не в состоянии перенести производство своих устройств в США Тим Кук был одним из тех, кто помог компании перенести производство в Китай. Обратного пути, похоже, просто нет В США много говорят о том, чтобы начать процесс возвращения производства из Китая «на родину». Об этом любят дискутировать политики, рассказывают для привлечения...

Тime-Of-Flight: технология, которая может совершить революцию в мобильной фотографии Наращиванием мощностей железа смартфонов уже никого не удивишь. Прошли те времена, когда дополнительными «гигабайтами и гигагерцами» можно было заинтересовать новую аудиторию. И поэтому производители смартфонов вкладываются в разработку инновационных технологий. Н...

Apple оснастит линейку iPhone 11 мощными аккумуляторами Считанные недели остаются до осенней презентации Apple, на которой нам покажут iPhone 11. Релиз близок как никогда — тем не менее инсайдеры продолжают делиться новыми подробностями о новинках. Сегодня стало известно, что Apple намерена исправить главный недостаток iPh...

РВК и Южная Корея договорились о взаимной поддержке технологического бизнеса В ходе бизнес-миссии РВК подписала соглашение о сотрудничестве с Центром глобальной коммерциализации при Корейском институте передовых технологий (KAIST). KAIST - ведущий учебный и исследовательский университет Южной Кореи, входит в топ-10 самых инновационных университетов м...

Apple обойдет Huawei в мировом табеле рангов в 4 квартале ... По итогам третьего квартала нынешнего года объем мирового производства смартфонов вырос на 9,2%, а доля Samsung на рынке составила 20,8%, подсчитали специалисты исследовательской компании TrendForce.   Этот показатель стал одним из лучших на рынке и позволил южно...

5G интересна производству Весной 2019 года компания HMS Networks провела опрос 50 отраслевых профессионалов из разных стран, чтобы узнать о роли беспроводных коммуникаций их компаниях и об их отношении к запуску 5G. "Белая книга" HMS под названием "5G: готова ли отрасль?" предста...

Google тоже готовит гибкий смартфон Сейчас многие производители смартфонов пробуют свои силы в создании гибких мобильников. Проектирует свой складной смартфон и компания Google. Первыми о таком проекте сообщили инсайдеры, а теперь его существование подтвердил Марио Кейроз, являющийся руководителем подразделени...

Части тела: российское будущее искусственных органов человека Проблема травматологии, ортопедии и протезирования не интересует полноценных людей, в чьей жизни, к счастью, не произошло непоправимых несчастий. Однако зарекаться от этого никто не может, и уж тем более мы не можем оставлять в беде тех, с кем эти несчастья произошли. Каковы...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)