Социальные сети Рунета
Среда, 17 апреля 2024

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

MediaTek представила 12-нм чипсет Helio P65 с акцентом на геймеров Сегодня MediaTek представила новый мобильный чипсет, который получил название Helio P65. Новинка выполнена по 12-нм техпроцессу и обеспечивает в два раза большую производительность по сравнению с предшественником. Также при разработке чипа основной акцент был сделан на гейме...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

Qualcomm представила чипсет Snapdragon 712 для среднеуровневых смартфонов Компания Qualcomm анонсировала новый мобильный чипсет Snapdragon 712. Новинка основана на том же 10-нм техпроцессе FinFET, что и Snapdragon 710, но имеет более быстрый 8-ядерный процессор, который до 10% производительней, чем предшественник, а также улучшенные технологии ИИ....

Улучшенная версия 7-нм техпроцесса TSMC поднимет быстродействие на 5% Шанс для AMD поднять производительность процессоров малой кровью.

Видеокарты Nvidia Ampere появятся в первой половине 2020 года Судя по последним слухам, уже в первой половине следующего года Nvidia выпустит новые видеокарты поколения Ampere. Новые GPU будут выполнены с использованием 7-нм техпроцесса и, как ожидается, предложат заметный прирост производительности по сравнению с предшественниками. По...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Официально представлен новый процессор для флагманского смартфона Samsung Galaxy Note10 Чип Exynos 9825 стал улучшенной версией процессора Exynos 9820, который лежит в основе Galaxy S10. Благодаря применению 7-нанометрового техпроцесса EUV чипсет получил улучшенные показатели энергопотребления и производительности.

AMD анонсировала второе поколение мобильных процессоров Ryzen Pro Mobile Компания AMD объявила о выходе второго поколения мобильных процессоров Ryzen Pro с графикой Radeon Vega, которые предлагают улучшенную энергоэффективность, безопасность и управляемость. По словам старшего вице-президента AMD, пользователи бизнес-ноутбуков хотят использовать...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Новые APU AMD Ryzen 5 3400G и 3 3200G получат заметно более высокие тактовые частоты В отличие от десктопных 7-нм процессоров Ryzen 3000 на архитектуре Zen 2, новые APU AMD будут использовать микроархитектуру Zen+ и 12-нм техпроцесс FinFET. И недавно источникам удалось узнать некоторые характеристики двух пока не анонсированных гибридных процессоров AMD. Под...

Флагманский чипсет Snapdragon 875 будет выпускаться TSMC на 5-нм техпроцессе Ближе к концу года Qualcomm должна представить новый флагманский чипсет Snapdragon 865. Но ещё до этого события в сети появились первые слухи о его преемнике, который будет известен под названием Snapdragon 875. Подробнее об этом читайте на THG.ru.

Чипсет MediaTek 5G для смартфонов представят 26 ноября MediaTek сообщила о скором запуске нового 5G-чипсета, имеющего модельный номер MT6885Z, который состоится 26 ноября. В рамках Computex 2019 компания подтвердила, что новый MediaTek 5G будет изготовлен с применением 7-нм техпроцесса и получит встроенный модем MediaTek Helio M...

Новый процессор Snapdragon 712 Qualcomm анонсировала новое дополнение к своему ассортименту чипсетов - Snapdragon 712. Он построен на 10-нм техпроцессе и представляет собой скромное обновление популярного Snapdragon 710. Он имеет более быстрый восьмиядерный процессор, который повышает производительнос...

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

Qualcomm представила 8-нм чипсеты Snapdragon 730, 730G и 11-нм Snapdragon 665 Компания Qualcomm представила сразу три новые мобильные платформы - Snapdragon 665, Snapdragon 730 и Snapdragon 730G. Причём, если первый чипсет построен на 11-нм техпроцессе LPP, то два последних используют более современный 8-нм технологический процесс LPP. Подробнее об эт...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Intel анонсировала Stratix 10 GX 10M на 14-нм техпроцессе Сегодня Intel анонсировали Stratix 10 GX 10M - программируемую логическую интегральную схему (ПЛИС), основанную на 14-нм техпроцессе. Новинка может предложить 43,3 миллиарда транзисторов, что делает ее самой большой матрицей данного типа в мире. Ранее самой большой интеграль...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Большой гейминг начинается с больших возможностей: GPU NVIDIA серии GeForce RTX SUPER Компания NVIDIA представила новую линейку игровых GPU: GeForce RTX 2060 SUPER, GeForce RTX 2070 SUPER и GeForce RTX 2080 SUPER, которые обеспечивают лучшую в классе игровую производительность и трассировку лучей в реальном времени для существующих игр и проектов завтрашнего ...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

AMD: 7-нм EPYC Milan превзойдут 10-нм Intel Ice Lake-SP по соотношению производительности на ватт Серверные процессоры AMD EPYC 2-го поколения обладают внушительным уровнем вычислительной мощности, что подтверждают недавние рекорды. Не в последнюю очередь это заслуга 7-нм техпроцесса TSMC, благодаря которому «красные» добились высокого соотношения производите...

Samsung представила мобильный чипсет Exynos 9825 Samsung официально представила мобильный чипсет Exynos 9825, который будет лежать в основе будущих новинок Galaxy Note10 и Galaxy Note10+. Exynos 9825 является первым чипсетом компании, выполненным по 7-нм техпроцессу EUV. Подробнее об этом читайте на THG.ru.

Kirin 990 не получил самое мощное ядро и вот почему Уже стало традицией, что свой очередной флагманский чип Huawei анонсирует на выставке IFA. В этом году широкой аудитории был представлен процессор Kirin 990, ключевой фишкой которого стал встроенный 5G‑модем.   Сам он производится по улучшенному 7-нанометровому техпро...

Qualcomm представила улучшенную платформу Snapdragon 712 для смартфонов среднего уровня Компания Qualcomm представила новую однокристальную платформу Snapdragon 712. Новинка является улучшенной версией платформы Snapdragon 710 и также ориентирована на использование в смартфонах среднего уровня. Платформа Snapdragon 712 будет производиться по тому же 10-нм техпр...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Intel ощутимо снизит цены на свои процессоры для конкуренции с новинками AMD Ryzen Компания AMD представила настольные процессоры Ryzen 3000, которые должны поднять производительность на новый уровень. Как известно, компании Intel в настольном сегменте в ближайшее время ответить будет нечем. Большинство утечек и слухов указывают на то, что новая архит...

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

Samsung анонсирует 7-нм процессор EUV Exynos 990 Компания Samsung анонсировала новый мобильный процессор под названием Exynos 990. Exynos 990 построен на 7-нм техпроцессе EUV, имеет восемь ядер в трехкластерной конфигурации 2+2+4. Про тактовые частоты Samsung умалчивает, но говорит что производительность ядер увеличи...

Представлен Samsung Exynos 980 - первый чипсет компании со встроенным 5G-модемом Samsung Electronics представила свой первый чипсет со встроенный модемом 5G - Samsung Exynos 980. Новинка создана с применением 8-нм техпроцесса и включает в себя 8-ядерный процессор с двумя ядрами Cortex-A77 и шестью Cortex-A55 в паре с графикой Mali G76. Подробнее об этом ...

Samsung выпустит новый чипсет Exynos 9710 на 8-нм техпроцессе Компания Samsung работает над новым субфлагманским чипсетом Exynos 9710. Он выступит в роли преемника прошлогоднего Exynos 9610 и будет построен на 8-нм техпроцессе. Подробнее об этом читайте на THG.ru.

Новые микросхемы Samsung позволят снизить стоимость оперативной памяти DDR4 Как сообщает источник, компания Samsung вывела на рынок модули оперативной памяти DDR4, основанные на новых микросхема (A-Die вместо B-Die). Эти микросхемы производятся по 10-нанометровому техпроцессу вместо старого 20-нанометрового, который использовался все эти годы ...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus Совсем недавно Intel продемонстрировала свои новые производительные мобильные процессоры 9-го поколения, а теперь компания уже готова рассказать о своих будущих чипах 10-го поколения Ice Lake, которые наконец будут изготавливаться по нормам 10-нанометрового технологического ...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

GPU Nvidia Ampere будут основаны на 7-нм техпроцессе Samsung: релиз в 2020 году Графические процессоры Nvidia Ampere уже получили сертификат ECC, но до сих пор мы почти ничего не знали об их особенностях. Сегодня это изменилось. Подробнее об этом читайте на THG.ru.

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Intel анонсировала мобильные процессоры Core 10-го поколения Ice Lake Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus. В основе процессоров 10-го поколения – структура Intel Sunny Cove, которая содержит четыре ядра с восемью потоками и частотой до 4,1 ГГц. Для…

Тесты: производительность CPU 16-дюймового MacBook Pro осталась прежней, в отличие от GPU Не так давно Apple представила новый 16-дюймовый ноутбук MacBook Pro. Новинка получила новую ножничную клавиатуру, увеличенный дисплей с тонкими рамками, улучшенные динамики, а также была улучшена работа графики. Всё это звучит здорово, но наверняка уже заметили, что в своей...

Конкурент Qualcomm Snapdragon 855 Plus от MediaTek будет представлен 30 июля Однокристальная платформа Qualcomm Snapdragon 855 Plus, по словам создателей, создана специально для игровых смартфонов, но в MediaTek тоже готовят свою «первую по-настоящему игровую» SoC. Она называется Helio G90 и позиционируется в качестве альтернативы Sn...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

В Geekbench засветилась неанонсированная платформа MediaTek MT6785 с ядрами ARM Cortex-A76 Как пишет китайский источник, в базе данных бенчмарка Geekbench замечена новая однокристальная платформа MediaTek – она получит обозначение MT6785 и станет на ступень выше модели Helio P90 (MT6779). Судя по результатам теста, новая SoC обеспечит чуть большую произ...

Анонс Huawei Nova 5i Pro: 6,26-дюймовый «дырявый» дисплей и чипсет Kirin 810 Сегодня Huawei представила смартфон Huawei Nova 5i Pro, который стал улучшенной версией Huawei Nova 5i, анонсированной в июне. Новинка получила «дырявый» дисплей, новейший чипсет Kirin 810 и квадратную заднюю камеру. Подробнее об этом читайте на THG.ru.

AMD представила свой самый производительный 64-ядерный процессор В начале августа AMD представила новые серверные процессоры Epyc. Новое поколение перешло на семинанометровый техпроцесс и архитектуру Zen 2. И на данный момент у этих CPU попросту нет прямых конкурентов из стана Intel. Особенно, если вспомнить, что даже топовый 64-ядер...

Nubia Red Magic 3 появится в версии с новым чипсетом Snapdragon 855 Plus Как известно, буквально недавно Qualcomm представила новейший мобильный чипсет Snapdragon 855 Plus, который отличается улучшенной производительностью по сравнению с его предшественником. И сразу после того, как Asus объявила о том, что новый ROG смартфон будут оснащен Snapdr...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Xiaomi Black Shark 2 Pro показал отличную производительность в AnTuTu Не так давно Qualcomm представила чипсет Snapdragon 855+, который отличается от базовой версии SD855 улучшенной производительностью. Asus ROG Phone 2 стал первым смартфоном, получившим новейший чипсет. А сегодня игровой смартфон Xiaomi Black Shark 2 Pro на том же чипсете пок...

Qualcomm представила 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665 Однокристальную платформу Qualcomm со странным обозначением SM7150 приписывали самым разным смартфонам еще с прошлого года. И вот эта однокристальная платформа сегодня представлена официально – под названием Snapdragon 730 и… сразу в двух версиях. Если суди...

Nvidia представила GeForce GTX 1650 SUPER и GTX 1660 SUPER Nvidia выводит на рынок GeForce GTX 1650 SUPER и GTX 1660 SUPER - два решения для игр в формате Full HD на основе архитектуры Turing с техпроцессом 12 нм. GTX 1660 SUPER - это GTX 1660, теперь место 6 ГБ GDDR5 с пропускной способностью 8 Гбит/с, получаем 6 ГБ GDDR6 с п...

Apple выпустила обновлённую iOS 13 для смартфонов Компания Apple объявила о выпуске операционной системы iOS 13 для смартфонов. Новая версия ОС получила тёмную тему, улучшенную производительность и более быструю функцию Face ID. Подробнее об этом читайте на THG.ru.

TSMC не справляется с огромным числом заказов на 7-нм продукты: сроки выполнения выросли в 3 раза 7-нм техпроцесс пользуется огромным спросом среди клиентов TSMC. Конечно, это не может не радовать тайваньского контрактного производителя, но у этой медали есть и оборотная сторона. Подробнее об этом читайте на THG.ru.

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

Кристалл контроллера ввода-вывода AMD Ryzen 3000 построен на 12-нм техпроцессе Как мы знаем, процессоры AMD Ryzen 3000 (Matisse) используют многокристальную компоновку с одним или двумя 7-нм чиплетами CPU Zen 2 и кристаллом контроллера ввода-вывода. И, хотя предполагалось, что он построен на 14-нм технологиях, последние данные говорят о другом. Подробн...

Анонсирован смартфон Redmi Note 7 Pro – на платформе Snapdragon 670 и с 48-мегапиксельным датчиком Sony Вместе со смартфоном Redmi Note 7 сегодня анонсирована и другая модель бренда – Redmi Note 7 Pro. Новинка выглядит в точности как Redmi Note 7, но имеет несколько важных отличий. Первое отличие касается платформы: если в Redmi Note 7 используется SoC Qualcomm Sna...

Nintendo планирует выпустить две версии Switch нового поколения с разным уровнем производительности Компания Nintendo работает над двумя новыми версиями игровой консоли Switch, которые могут быть представлены летом этого года. При этом одна из версий будет доступной, а вторая ориентирована на требовательных игроков – она получит улучшенные характеристики. В частности, в бо...

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

Архитектура Zen 3 и 7nm+ техпроцесс EUV увеличат плотность транзисторов на 20% Уже в следующем году AMD перейдёт на архитектуру Zen 3 и более совершенный техпроцесс 7nm+ с литографией в жёстком ультрафиолете (EUV). И сегодня нам удалось узнать, чего стоит ждать от этого перехода. Подробнее об этом читайте на THG.ru.

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

Официально: Redmi Note 8 получит Qualcomm Snapdragon 665 Redmi, суббренд китайской компании Xiaomi, ранее подтвердил, что новый смартфон Redmi Note 8 Pro будет базироваться на игровом чипе Helio G90T от MediaTek. А вот стандартная версия Redmi Note 8 получит совершенно другой процессор. Сегодня Redmi объявил о том, что Redmi Note ...

AMD представила первую в мире 7-нм потребительскую видеокарту Radeon VII Компания AMD на выставке CES 2019 сделала сразу несколько анонсов, в том числе представила первую в мире потребительскую видеокарту, построенную по 7-нм техпроцессу — Radeon VII. В её основе лежит графический процессор на архитектуре Vega второго поколения, который включает...

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

Intel анонсировала процессоры Coffee Lake серий U и Y Чипы на техпроцессе 14 нм предназначаются для ультрабуков и ноутбуков.

TSMC анонсирует начало разработки 2 нм техпроцесса Большие и мощные процессоры наконец-то начали выпускаться по 7 нм технологии, однако TSMC смотрит в будущее и уже готовится к разработкам 2 нм технологии.

Появились первые упоминания о настольных процессорах Intel Ice Lake Ходило много слухов о 10-нм процессорах Intel, и большинство из них указывали на то, что компания не планирует выпускать настольные потребительские процессоры, поскольку 10-нм техпроцесс находится не на нужной стадии. Впоследствии эти слухи были опровергнуты Intel. Подробнее...

Samsung готовится заменить техпроцесс 7-нм FinFET на 3-нм MBCFET Он позволит уменьшить площадь кристалла на 45%, энергопотребление на 50% и увеличить производительность до 30%

Samsung представила однокристальную систему Exynos 990 для Galaxy S11 и Note11 Компания Samsung представила начинку для своих флагманов 2020 года. Новая однокристальная система Exynos 990 будет отвечать за производительность Galaxy S11 и Galaxy Note11. Не исключено, что она станет также сердцем нескольких смартфонов в линейке Galaxy A. Основными конкур...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Представлен мобильный роутер Huawei 5G Mobile WiFi Pro Сегодня Huawei представила множество продуктов, ориентированных на работу в 5G-сетях. Тем не менее, не каждый может позволить себе 5G-смартфон, но это не значит, что вы не сможете насладиться работой на новых скоростях. Учитывая это, Huawei анонсировала новый мобильный роуте...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Intel Rocket Lake - это перенос ядер Willow Cove на 14-нм техпроцесс Процессорные ядра Willow Cove основываются на Sunny Cove, которые являются первыми ядрами Intel с действительно новым дизайном за последние 5 лет. Sunny Cove оснащают 10-нм процессоры Ice Lake, тогда как появление Willow Cove ожидается в 10-нм+ Tiger Lake. Сообщается, что In...

AMD анонсировала видеокарты Radeon RX 5000 (Navi) Сегодня на специальном мероприятии, предваряющим открытие выставки Computex 2017, AMD официально анонсировала долгожданные видеокарты Navi. В честь 50-летия, которое компания отмечает в этом году, линейка получила обозначение Radeon RX 5000. Улучшений в Navi хватает. И...

Samsung представила 7-нм SoC Exynos 990 для Galaxy S11 Samsung Electronics анонсировала мобильный процессор премиум-класса Exynos 990 и сверхбыстрый 5G-модем Exynos Modem 5123. Exynos 990Новый чипсет использует самый передовой 7-нм техпроцесс с использованием ультрафиолетового излучения (EUV). Чип включает в себя два…

Процессор Snapdragon 675 засветился в Geekbench и оказался мощнее субфлагманского Snapdragon 710 На официальном сайте популярного бенчмарка Geekbench появился отчёт о тесте ещё не анонсированного смартфона с кодовым названием vivo vivo 1818 (по слухам, за ним скрывается Vivo V15 Pro). Он работает под управлением недавно представленного процессора Snapdragon 675, который...

AMD анонсировала свою 3D-архитектуру чипов, чтобы догнать Intel Foveros 3D Intel Foveros 3D Поскольку закон Мура больше не действует, разработчикам микросхем приходится искать иные способы повышения производительности. Одна из подходящих для этого технологий называется 3D-штабелирование (3D chip stacking). Это объёмная этажерочная архитектура чип...

30 мая выйдет первый смартфон на базе нового чипа Snapdragon 665 Популярный индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) рассказал, что на этой неделе представят первый смартфон c новым процессором Qualcomm Snapdragon 665. По словам источника, мероприятие пройдёт 30 мая. К сожалению, о каком конкретно устройстве идёт речь, п...

Анонс Intel SSD 665p: новый SSD на 96-слойной памяти 3D QLC NAND Прошедшее в Южной Корее мероприятие Memory and Storage Day от Intel по большей части оказалось ориентировано на продукты для корпоративного рынка и дата-центров. НО на нём был анонсирован и новый потребительский твердотельный накопитель Intel SSD 665p. Подробнее об этом чита...

MediaTek анонсировала чипсет Helio M70 с поддержкой сетей 5G Компания MediaTek работает на новым SoC Helio M70, который получит встроенный модем 5G и новый процессор AI. Новинка составит конкуренцию топовым предложениям от Qualcomm, Samsung и Huawei. MediaTek Helio M70 будет изготавливаться по 7-нм техпроцессу FinFET, и использовать…

Компания Samsung планирует создать чипы по техпроцессу 3 нм Чипы, созданные по техпроцессу 3 нм, будут потреблять вдвое меньше энергии, чем современные, созданные по техпроцессу 7 нм. Производительность таких чипов вырастет на 35%. Размер чипа станет меньше на 45%.

Xiaomi Mi A3 чипом Snapdragon 665, тройной камерой и ценником в 250 евро Компания Xiaomi официально представила свой новый смартфон в рамках программы Android One — Mi A3. Новинка стала не полной копией Xiaomi CC9, а его младшей версии CC9e. Смартфон получил стеклянный корпус с несколькими расцветками, а также плоский AMOLED-дисплей на 6 дюймов ...

Кому процессор Intel за $15 500? Компания Intel сегодня без лишнего шума добавила в свой ассортимент новый процессор Intel Xeon Platinum 8284, который является самым производительным в линейке Xeon Platinum 8200 (Cascade Lake-SP). Новинка предназначена для серверов, включая многопроцессорные конфигурации. К...

Анонсирован Samsung 970 Evo Plus NVMe M.2 с объемом памяти до 2 ТБ Компания представила Samsung 970 EVO Plus, он поставляется с емкостью до 2 Тбайт. Samsung утверждает, что в 970 Evo Plus им удалось значительно поднять производительность - скорость записи до 57% выше. Подробнее об этом читайте на THG.ru.

Новейшая SoC Snapdragon 665 во всех тестах опережает SoC Kirin 710 Два дня назад компания Xiaomi представила смартфон Xiaomi CC9e — первый аппарат на рынке с SoC Snapdragon 665. Напомним, данная однокристальная система была представлена в апреле. Она производится по 11-нанометровому техпроцессу и является чуть улучшенной версией ...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Silicon Power MicroSDXC UHS-I Superior Pro: быстрая карта памяти для 4K Ultra HD и приложений Silicon Power выводит на рынок новую карту памяти MicroSDXC UHS-I Superior Pro. В компании отмечают, что новинка ориентирована на съёмку видео 4K Ultra HD и позволяет улучшить работу Android-приложений. Подробнее об этом читайте на THG.ru.

SoC Kirin 810 обходит даже Snapdragon 730 Месяц назад Huawei представила SoC Kirin 810. Данная однокристальная система производится по семинанометровому техпроцессу и уже показала, что имеет очень приличную производительность в AnTuTu. Теперь у нас есть возможность посмотреть, на что способна новинка в тесте Ma...

Представлена обновленная версия консоли Nintendo Switch с увеличенным временем автономной работы На прошлой неделе Nintendo пополнила линейку консолей Switch представив облегченную бюджетную версию Lite, И теперь компания объявила о выпуске очередной новой модели Nintendo Switch, которая, похоже, отличается только улучшенным временем автономной работы. Подробнее об этом...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

Core i9-10980XE — 18-ядерный флагман новой линейки процессоров Intel HEDT поколения Cascade Lake-X (+ результат теста Geekbench для 10-ядерного Core i9-10900X) В следующем месяце Intel должна представить новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000 (Cascade Lake-X). От нынешних Skylake-X новые Cascade Lake-X будут отличаться весьма незначительно: ни архитектура, ни техпроцесс не изменятся, ли...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

SK Hynix анонсировала 16-гигабитные чипы DDR4, выпускаемые по нормам 1Z-нм Южнокорейский чипмейкер SK Hynix завершил разработку 16-гигабитных (2 ГБ) микросхем DDR4, для выпуска которых будет использоваться техпроцесс класса 1Z-нм. Об этом компания сегодня объявила в соответствующем пресс-релизе. Как отмечает вендор, благодаря новой технологии...

Intel планирует снижение цен, чтобы конкурировать с AMD Не так давно компания AMD показал рынку свои процессоры Ryzen 3000, которые задерут планку производительности ещё выше, что было очень позитивно воспринято энтузиастами и любителями компьютерного железа. Позитива сюда добавляет также то, что это усилит конкуренцию между 2 ...

Intel представила новые HEDT-процессоры Core i9-10000 (Cascade Lake-X). Они не сильно быстрее старых, но зато вдвое дешевле! Intel сегодня представила новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000. Новинки семейства Cascade Lake-X являются лишь немного ускоренными вариантами прошлогодних CPU Skylake-X Refresh. То есть, техпроцесс — по-прежнему 14 нм, а архите...

В новых Apple Mac появится улучшенная версия Face ID Похоже, что Apple решила оснастить свои компьютеры Mac улучшенной версией Face ID, о чем свидетельствует новый патент компании. Кроме того, согласно патенту, в клавиатуре Magic Keyboard может появиться панель Touch Bar. Подробнее об этом читайте на THG.ru.

AMD выпустила чип Ryzen CPU, изготовленный по техпроцессу 7 нм AMD представила новый чип Ryzen CPU, изготовленный по техпроцессу 7 нм и предназначенный для настольных ПК. Чип базируется на архитектуре Zen 2.

TSMC ускоряется — наймёт 8 тыс. новых сотрудников для внедрения 3-нм техпроцесса Компания TSMC намерена нанять дополнительные 8 тыс. сотрудников в новый научно-исследовательский центр, который будет создан в 2020 году. Он будет ориентирован на исследования и разработки технологий для 3-нанометрового и более тонких технологических процессов. По словам исп...

Intel анонсировала 10-нм мобильные процессоры Ice Lake На ежегодной выставке Computex 2019 компания Intel представила процессоры Core 10-го поколения под кодовым названием Ice Lake, созданные с использованием 10-нм техпроцесса и архитектуры Sunny Cove. На текущий момент речь идёт только о моделях для ноутбуков и ультрабуков. Ож...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

Xiaomi представила линейку «умных» телевизоров Xiaomi Mi Full Screen TV Pro Сегодня Xiaomi официально представила линейку «умных» телевизоров Mi Full Screen TV Pro, куда вошли три модели: 43-, 55- и 65 дюймов. Телевизоры оснащены новейшим 12-нм чипсетом Amologic T972, который включает в себя 4-ядерный процессор с частотой 1,9 ГГц, что обеспечивает н...

Volkswagen выделит на цифровизацию до 4 млрд евро Компания Volkswagen AG намерена до 2023 года инвестировать до 4 млрд евро в проекты по цифровизации — в основном в области управления, но и в области производства тоже. Эти проекты включают внедрение таких решений для управления ресурсами предприятия, как платфор...

Intel показала новый процессор i9-9900KS с Turbo-частотой 5 Ghz на ядро Вчера компания Intel представила публике свой новый восьмиядерный процессор (16 потоков) i9-9900KS с тактовой частотой в 5,0 Ghz на каждое ядро в режиме Turbo. Режим Turbo в процессорах Intel — это система, которая автоматически поднимает тактовую частоту ядер при увеличении...

Представлен объектив Tokina ATX-i 11-16mm f/2.8 CF Компания Tokina официально представила свой новый объектив Tokina ATX-i 11-16mm f/2.8 CF. Новинка ориентирована на зеркальные камеры Nikon и Canon формата APS-C. Подробнее об этом читайте на THG.ru.

По словам генерального директора Intel, задержки с освоением 10-нм техпроцесса были связаны с излишними амбициями компании Но больше такого не повторится.

Huawei представила однокристальную систему HiSilicon Kirin 990 5G На IFA 2019 компания Huawei представила новую систему-на-кристалле, которая получила название Kirin 990. Она дебютирует в Huawei Mate 30. Будет две версии: 4G и 5G. Главная особенность этой системы — встроенный чип для поддержки связи нового поколения. Напомним, что недавно...

Xiaomi представила лаунчер Poco Launcher 2.0 с улучшенным дизайном Тизер Poco Launcher 2.0 и возможные изображения Xiaomi Pocophone F2 Быстрее, чем мы того ожидали, компания Xiaomi официально анонсировала лаунчер Poco Launcher 2.0, первые изображения которого мы опубликовали около часа назад. Напомним, оригинальный Poco Launcher был п...

EK представила новый вентилятор Meltemi для улучшенного охлаждения Компания EK официально представила новый вентилятор серии EK-Meltemi, который призван обеспечить улучшенное охлаждение. Новинка имеет конструкцию из 7 лопастей. В отличие от других 120-мм вентиляторов, толщина Meltemi составляет 38 мм, по сравнению со стандартными 25 мм. По...

Samsung представила 3 нм техпроцесс Обсуждаем передовые технологии Samsung

Новая платформа не позволяет Samsung Galaxy Note10+ существенно превосходить Galaxy S10+ В основе смартфонов Samsung Galaxy Note10 и Galaxy Note10+ лежит однокристальная система Exynos 9825 (не для всех рынков). Эта SoC отличается от Exynos 9820 лишь частотами и тем, что производят её по техпроцессу 7 нм с использованием технологии EUV. Поэтому ожидать ско...

Линейку Samsung Galaxy Note 10 могут анонсировать 7 августа Мы уже давно знаем, что анонс новой серии флагманских смартфонов Samsung Galaxy Note 10 пройдёт в августе. А некоторые информаторы называли и куда более точную дату - 10 августа. Но, похоже, Galaxy Note 10 могут быть анонсированы немного раньше. Подробнее об этом читайте на ...

AMD анонсировала новое поколение передовых решений Компания AMD анонсировала продукты на базе нового 7-нм техпроцесса и графические решения, которые обеспечат новый уровень производительности, функциональности и новые возможности для геймеров, энтузиастов и создателей контента ...

Moto G7, Moto G7 Plus, Moto G7 Power и Moto G7 play представлены официально Motorola анонсировала свежую линейку своих смартфонов. В неё вошли модели Moto G7, Moto G7 Plus, Moto G7 Power и Moto G7 Play. Компания обещает нам улучшенные камеры, время автономной работы и повышенную производительность по сравнению с прошлым поколением. Читать полную...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Анонс Philips Momentum 392M7C: большой изогнутый игровой монитор с невысоким разрешением Компания MMD, выпускающая мониторы под брендом Philips, представила новый изогнутый игровой монитор Momentum 392M7C. Новинка ориентирована на геймеров, «отходящих» от использования больших мониторов начального уровня. Подробнее об этом читайте на THG.ru.

64-ядерный процессор AMD EPYC «Rome» обошел по производительности Intel Xeon Анонс процессора AMD EPYC Rome 7742 ещё не состоялся, а в базе данных OpenBenchmarking появились результаты его тестирования. По слухам, EPYC 7742 будет отличаться улучшенной производительностью по сравнению с EPYC 7601, который в настоящее время доступен на рынке. Подробнее...

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

Xiaomi представила элегантную беспроводную зарядку мощностью 20 Вт Сегодня Xiaomi представила новую беспроводную зарядку мощностью 20 Вт. Напомним, что ранее компания анонсировала ещё более мощную версию на 30 Вт со встроенным вентилятором. Подробнее об этом читайте на THG.ru.

Обзор процессора AMD Ryzen 5 3600X Компания AMD недавно начала продажи десктопных процессоров Ryzen 3-го поколения. Новые CPU имеют целый ряд структурных и функциональных улучшений. Это и архитектура Zen 2, и 7-нанометровый техпроцесс изготовления кристаллов, и радикально увеличенный объем кеш-памяти, и улучш...

Samsung представила 7-нм чип Exynos 9825 За несколько часов до презентации Galaxy UNPACKED 2019, которая будет посвящена анонсу Samsung Galaxy Note 10, южнокорейский гигант объявил о выходе новой однокристальной системы для мобильных устройств. Новый чип, получивший название Exynos 9825, по сути является обновлённо...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

Apple поделится со специалистами инженерными версиями iPhone В рамках конференции по безопасности Black Hat, которая стартовала в Лас-Вегасе в начале этой недели, компания Apple планирует анонсировать свою новую программу, ориентированную на специалистов по информационной безопасности. Согласно имеющимся данным, купертиновцы хотят пре...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Анонс ADATA HM800: внешний HDD для "умных" телевизоров Компания ADATA Technology представила новый внешний жёсткий диск ADATA HM800, ориентированный на владельцев "умных" телевизоров. Подробнее об этом читайте на THG.ru.

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

Qualcomm анонсировала чипсет Snapdragon 712: слегка улучшенный Snapdragon 710 Американская Qualcomm представила новую мобильную платформу Snapdragon 712 среднего уровня, которую можно назвать скромным обновлением чрезвычайно популярного среди производителей смартфонов чипсета Snapdragon 710. Подробнее об этом читайте на THG.ru.

Представлен процессор Intel NNP-T: 24 тензорных ядра, 32 ГБ памяти HBM2 и 27 млрд транзисторов Пару месяцев назад компания Intel представила новый продукт семейства Nervana — процессор NNP-I. Это решение основано на обычном процессоре Ice Lake, из которого «убрали всё лишнее» и добавили блоки DSP. Сегодня на мероприятии Hot Chips 31 компания Int...

Intel обещает, что CPU Cascade Lake-X по соотношению цены и производительности будут вдвое лучше предшественников Новые процессоры Intel HEDT поколения Cascade Lake-X выйдут уже в следующем месяце. Это та самая линейка, которая, судя по имеющимся данным, будет называться Core i9-10000. Также есть данные, что прирост производительности новых CPU составит всего 3-7%, что будет обусло...

Intel представил самый мощный процессор Core На мероприятии Kickoff в воскресенье, 26 мая, перед одной из главных выставок для производителей микроэлектроники потребительского сегмента — Computex, — компания Intel приоткрыла завесу тайны и рассказала, какой процессор будет флагманом линейки Core для настольных компьюте...

AMD Radeon Pro W5700 — видеокарта для 3D-дизайнеров, архитекторов и инженеров AMD анонсировала видеокарту AMD Radeon Pro W5700 с первым в мире графическим процессором на базе 7-нм техпроцесса для профессиональных рабочих станций.

LG анонсировала обновленный носимый робот CLOi SuitBot AI, ориентированный на человека Компания LG на выставке CES 2019 собирается представить обновленную версию своего носимого робота CLOi SuitBot вместе с линейкой улучшенных сервисных роботов, которые приближаются к коммерциализации. ***

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Samsung представила защищенный смартфон Galaxy Xcover 4s Сегодня Samsung официально представила новинку серии Galaxy Xcover - защищенный смартфон Samsung Galaxy Xcover 4s, который стал преемником Galaxy Xcover 4, анонсированного еще в 2017 году. Внешне новика схожа с текущей моделью, но отличается от нее улучшенными характеристика...

Lian Li представила двухкамерный ПК-корпус O11 Dynamic XL Компания Lian Li официально представила новый корпус для ПК O11 Dynamic XL, который стал улучшенной версией O11 Dynamic. Новинка создана с учётом отзывов пользователей и вмещает в себя все самые топовые компоненты. Подробнее об этом читайте на THG.ru.

Представлен российский процессор Baikal-M на 28 нм техпроцессе Пока все переходят на 7 нм, Байкал осваивает 28!

Появились первые тесты производительности MediaTek Dimensity 1000 В просторах интернета появились первые тесты нового топового процессора MediaTek Dimensity 1000. Процессор MediaTek Dimensity 1000 уже успел побывать во всех популярных бенчмарк-тестах. В тесте Geekbench процессор набирает 3811 баллов в одноядерном режиме и 13136 баллов в ...

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

AMD раскрыла характеристики новых процессоров Ryzen 3000 Вчера на выставке Computex глава AMD Lisa Su раскрыла характеристики нового поколения настольных процессоров Ryzen. Благодаря переходу на новый 7-нм техпроцесс удалось увеличить частоты в режиме boost до 4.6Ghz. Читать дальше →

Представлены смартфоны Samsung Galaxy M10s и Galaxy M30s Samsung продолжает пополнять свою линейку смартфонов M и сегодня компания представила ещё одну новинку - Samsung Galaxy M10s. Смартфон стал улучшенной версией модели Samsung Galaxy M10. Подробнее об этом читайте на THG.ru.

Представлен смартфон Realme 5s: 48 Мп камера и 5000 мАч батарея Realme представила новый смартфон Realme 5s, который стал улучшенной версией Realme 5. Новинка относится к устройствам среднего уровня и может похвастаться четверной задней камерой, которая включает в себя 48 Мп датчик, и мощным аккумулятором ёмкостью 5000 мАч. Подробнее об ...

Samsung освоила 5-нм техпроцесс Компания готова разослать клиентам образцы новых чипов.

Процессор Qualcomm Snapdragon 865 анонсируют в начале декабря Новый флагманский процессор Qualcomm Snapdragon 865 получит восемь ядер и будет построен по 7 либо по 5 нанометровому техпроцессу Samsung вместо TSMC. Процессор выйдет в двух версиях: со встроенным модемом Snapdragon X55 и без него. Также новый процессор будет поддерживать ...

Мощный смартфон Redmi Pro 2 Компания м выпустила компактный смартфон. Xiaomi Redmi Pro 2 получит новый восьмиядерный процессор Snapdragon 675. Этот чипсет выполнен по 11-нм техпроцессу и оснащён двумя мощными ядрами с тактовой частотой 2 ГГц и шестью ядрами на 1,7 ГГц. За графику отвечает Adreno 612, к...

Анонс Transcend MTS430S и MTS830S: новые M.2 SSD для портативных устройств Компания Transcend Information представила новые твердотельные накопители MTS430S и MTS830S. Они выполнены в форм-факторе M.2 и ориентированы на использование в портативных устройствах. Подробнее об этом читайте на THG.ru.

Acer представила два 12-дюймовых Chromebook для школьников и студентов Компания Acer представила два новых хромбука, ориентированных на сферу образования. Среди новинок Chromebook 512 и Chromebook Spin 512. В целом оба устройства получили сходные характеристики. Подробнее об этом читайте на THG.ru.

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Представлены объективы Panasonic S PRO 16-35mmи f/4 и Panasonic S PRO 70-200mm f/2.8 OIS Сегодня компания Panasonic официально представила два новых объектива Panasonic S PRO 16-35mm f/4 и Panasonic S PRO 70-200mm f/2.8 OIS. Новинки ориентированы на полнокадровые беззеркальные камеры серии Lumix S. Подробнее об этом читайте на THG.ru.

Представлены однокристальные системы Kirin 990 и Kirin 990 5G Компания Huawei сегодня представила однокристальную систему Kirin 990 — сердце флагманских смартфонов Huawei и Honor на ближайший год. Итак, новая платформа производится по семинанометровому техпроцессу, как и Kirin 980. В конфигурацию входят два процессорных ядр...

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

D-Link выпустила M2M-маршрутизатор DWM-312 с поддержкой 3G/4G И VPN Компания D-Link представила новый M2M-маршрутизатор DWM-312 с поддержкой 3G/4G и VPN. Новинка ориентирована на использование в территориально распределенных системах IoT и проектах «Безопасный город». Подробнее об этом читайте на THG.ru.

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

Смартфон Huawei NLE-AL00 с чипом Kirin 990 и 8 Гбайт ОЗУ замечен в базе Geekbench Китайская компания Huawei должна представить новые флагманские смартфоны серии Mate 19 сентября. В преддверии этого события в бенчмарке Geekbench был протестирован аппарат с кодовым именем NLE-AL00. На данный момент неизвестно, под каким названием это устройство выйдет на ры...

Анонсирована System Shock 2: Enhanced Edition — улучшенная версия легендарного шутера Компания Nightdive Studios, создатели легендарной игры System Shock 2, анонсировали выход System Shock 2: Enhanced Edition — улучшенной версии своего популярного шутера.  Разработчики не раскрыли никаких подробностей о проекте, не назвали точную дату...

Corsair анонсировали память LPX Vengeance DDR4 с частотой 4866 МГц Corsair анонсировали выход новой памяти линейки LPX Vengeance DDR4 с впечатляющей частотой 4866 МГц. Новинка разработана для современных материнских плат и ориентирована на процессоры Ryzen 3000 с архитектурой Zen 2. Подробнее об этом читайте на THG.ru.

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Смартфон с большим экраном Huawei P Smart 2019 Смартфон оснащён новым восьмиядерным процессором Kirin 710, изготовленным по 12-нм техпроцессу и работающим на частоте до 2,2 ГГц. Независимый модуль обработки изображений и цифровой сигнальный процессор ускоряют обработку фотографий и повышают качество снимков. Технология G...

Оцениваем планы AMD на ближайшие полтора года У компании AMD нет каких-то технических проблем с освоением новых техпроцессов и архитектур, как у […]

BenQ представила быстрый игровой монитор Zowie XL2731 Компания BenQ представила новый игровой монитор Zowie XL2731, ориентированный на киберспортсменов и других пользователей, которым важны качественная картинка, небольшое время отклика и высокая частота обновления. Подробнее об этом читайте на THG.ru.

Представлен смартфон ZTE Blade A7s: чипсет Helio P22 и тройная камера ZTE представила свой новый смартфон среднего уровня -ZTE Blade A7s, который стал обновлённой версией Blade A7, анонсированной в мае текущего года. Основными преимуществами новинки являются большой дисплей, тройная камера и мощная батарея. Подробнее об этом читайте на THG.ru.

Huawei Mate X получает бета-версию EMUI 10 Компания Huawei запустила бета-версию EMUI 10 на базе Android 10 для своего складного Mate X. Свежее обновление принесет новые элементы пользовательского интерфейса, темный режим, улучшенные жесты и увеличит производительность устройства. Huawei Mate X, первый складной ...

Анонс игрового смартфона Black Shark 2 Pro: 6,39-дюймовый AMOLED-дисплей и чипсет Snapdragon 855 Plus Игровое подразделение компании Xiaomi, Black Shark, представило новый игровой смартфон Black Shark 2 Pro, который стал улучшенной версией прошлогоднего Black Shark 2. Подробнее об этом читайте на THG.ru.

ASUS представила топовый игровой смартфон ROG Phone II Ultimate Edition, основанный на самом мощном мобильном процессоре Компания ASUS представила игровой смартфон ROG Phone II Ultimate Edition, улучшенную версию ROG Phone II, с более мощным железом и измененным дизайном. Подробнее о новинке читайте в материале!

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

AMD представила первую в мире видеокарту на базе нового 7-нм техпроцесса На выставке CES 2019, которая проходит с 8 по 11 января в Лас-Вегасе, компания AMD представила видеокарту Radeon VII. Это первая в мире видеокарта потребительского уровня на базе 7-нанометрового производственного процесса.

Asus представила улучшенную версию игрового смартфона Asus ROG Phone II Ultimate Edition В рамках IFA 2019 Asus представила улучшенную версию топового игрового смартфона - Asus ROG Phone II Ultimate Edition. Новинка получила увеличенный объём памяти и поддержку стандарта связи CAT 20 4G/LTE. Подробнее об этом читайте на THG.ru.

Google анонсировала улучшенную гарнитуру дополненной реальности Glass Enterprise Edition 2 по цене $999 Компания Google анонсировала новую версию гарнитуры дополненной реальности Glass, ориентированную на сферу бизнеса. На этот раз речь идёт об официальном продукте компании, а не экспериментальном устройстве. Гарнитура Glass Enterprise Edition 2 получила новый процессор (специ...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

ASRock анонсировала видеокарты линейки Phantom Gaming Alliance ASRock Phantom Gaming Alliance - это линейка продуктов компании, направленных на предоставление высококлассного игрового оборудования для геймеров и энтузиастов. Последним дополнением к линейке Phantom Gaming Alliance является пара новых видеокарт на базе графического пр...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Компания TSMC приступила к освоению 2 нм техпроцесса Технологии не стоят на месте, и это прекрасно!

MediaTek предположительно готовит флагманский процессор для игр Helio G90 Только вчера состоялся анонс улучшенной версии процессора Snapdragon 855 Plus, как сегодня стало известно, что похожий чип, с акцентом на игровых возможностях, готовит компания MediaTek. Как вы можете знать, раньше именно слабый видеоускоритель был главной проблемой систем ...

Гибридные процессоры AMD Renoir показали свою производительность в тестах 3DMark 11 AMD Renoir представляет собой следующее поколение гибридных процессоров с улучшенной производительностью iGPU и CPU по сравнению с 12-нм гибридными процессорами Picasso. А сегодня в сети появились результаты тестирования AMD Renoir APU в бенчмарке 3DMark 11 в составе инженер...

Samsung Galaxy A70s появился в Geekbench: возможна 64 Мп камера Известно, что Samsung работает над новыми смартфона серии Galaxy A, которые станут улучшенными версиями моделей, представленных в начале текущего года. На этот раз в базе данных Geekbench появился новый смартфон Samsung с модельным номером SM-A707F, который схож с таковым Ga...

Планы AMD: CPU с архитектурой Zen 4 — до 2022 года, GPU с архитектурой RDNA2 — до 2021 года Компания AMD опубликовала документ для инвесторов, в котором можно найти данные о ближайших планах производителя. Они в целом совпадают с тем, что мы уже знали, но есть немного новой информации. Итак, до 2022 года года мы увидим процессоры Ryzen не то...

Представлены смарт-часы Honor Magic Watch 2: до 14 дней автономной работы Помимо смартфонов серии Honor V30, бренд представил новые смарт-часы Honor Magic Watch 2. Новинка оснащена мощной батареей, которая обеспечивает время автономной работы до 14 дней, а также набором функций, ориентированных на здоровье пользователя. Подробнее об этом читайте н...

Тест производительности OUKITEL K9: непревзойдённый гейминг на большом экране В начале апреля OUKITEL анонсировала смартфон OUKITEL K9 с самым большим в мире дисплеем. Его продажи начнутся уже в середине мая. Но большой экран - это далеко не всё, чем может похвастаться новинка. Подробнее об этом читайте на THG.ru.

Intel представила 56-ядерный Xeon Platinum 9282 и другие серверные решения Американская Intel провела большую презентацию серверных решений. Среди анонсированных компанией продуктов оказались новые серверные процессоры Cascade Lake-SP, Cascade Lake-AP, программируемые матрицы Agilex, память Optane и даже сетевые адаптеры. Подробнее об этом читайте ...

Huawei анонсировала ноутбуки MateBook 13 и MateBook 14 на процессоре до Intel Core i7-8565U Компания Huawei представила новые ноутбуки MateBook 13 и MateBook 14 на процессоре Intel Core i7-8565U в топовой конфигурации. Подробнее об этом читайте на THG.ru.

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

Представлена 12-нанометровая восьмиядерная платформа MediaTek Helio P65 Однокристальные платформы MediaTek серии P в общем, и Helio P60 в частности, достаточно популярны у производителей смартфонов в Китае, поэтому новую платформу линейки они должны встретить с энтузиазмом. Новинка, названная Helio P65, обеспечивает в два раза большую произ...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Samsung представила смартфон Galaxy A9 Pro 2019 для мирового рынка Сегодня Samsung представила смартфон Galaxy A9 Pro 2019, который стал международной версией модели Galaxy A8s, анонсированного я в прошлом месяце в Китае. Подробнее об этом читайте на THG.ru.

Dell представила хромбук Chromebook Enterprise 2 в 1 Хромбуки набирают популярность на рынке ПК и пользуются большим спросом, как в образовательной сфере, так и в сфере бизнеса. Так, Dell представила первый в мире хромбук-трансформер Latitude 5300 Chromebook Enterprise, а также обычный хромбук Latitude 5400 Chromebook Enterpri...

AMD показала на CES 2019 процессоры Ryzen 3-го поколения Компания AMD провела презентацию нового чипа на базе техпроцесса 7нм, основанного на архитектуре Zen 2. Новинка под названием Ryzen предназначена для оснащения ПК и ноутбуков. В процессе презентации компания представила возможности своей новинки вместе с созданной новейшей в...

Cerebras Wafer Scale Engine — гигантская микросхема размером с iPad Pro, с более чем 1 трлн транзисторов и TDP в... 15 кВт Вчера мы рассказывали о процессоре Intel NNP-T, который ориентирован на задачи машинного обучения и выделяется наличием 27 млрд транзисторов. Для сравнения, GPU Nvidia TU102, лежащий в основе топовых видеокарт поколения Turing, содержит 18,6 млрд транзисторов, а GV100 &...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Видеокарты Radeon 625, Radeon 620 и Radeon 610, похоже, основаны на GPU родом из 2013 года Несколько дней назад мы писали о видеокартах Radeon RX 640, Radeon 630, Radeon 625, Radeon 620 и Radeon 610, нацеленных на OEM-производителей. Тогда мы говорили, что все адаптеры основаны на GPU Polaris, но, похоже, это не так. Такой графический процессор лежит лишь в о...

Intel представила новые мобильные и десктопные процессоры девятого поколения Компания Intel представила новые мобильные процессоры Intel Core девятого поколения серии H. Они стали самыми мощными мобильными CPU в истории Intel и ориентированы на геймеров и создателей контента. Подробнее об этом читайте на THG.ru.

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

Представлен Oppo Reno Z — первый в мире смартфон с SoC MediaTek Helio P90 Компания Oppo представила смартфон Reno Z. Изначально ему приписывали SoC MediaTek Helio P90, затем источники стали утверждать, что новинка будет основана на Snapdragon 710 и будет стоить менее 200 долларов. В реальности оказалось, что Reno Z действительно стал первым и...

AMD представила семейство графических карт Radeon RX 5000 на базе Navi Сегодня, на открытии выставки Computex 2019, компания AMD предварительно представила долгожданные игровые видеокарты семейства Navi. Серия новинок получила маркетинговое название Radeon RX 5000. Стоит напомнить, что вопрос брендирования был одной из самых главных интриг при ...

Xiaomi показала флагманский Mi MIX 3 5G с поддержкой 5G и мировую версию Xiaomi Mi 9 Китайская Xiaomi уже успела представить флагманский смартфон Xiaomi Mi 9. А теперь компания анонсировала международную версию этого устройства, дебютировавшую вместе с флагманским Xiaomi Mi MIX 3 5G. Подробнее об этом читайте на THG.ru.

Huawei анонсировала 7-нм восьмиядерный процессор Kirin 810 с особым акцентом на ИИ Как вы уже знаете, китайский технологический гигант по имени Huawei сегодня провел презентацию, на которой представил несколько своих новинок. Мы уже рассказали про продолжение одного из лучших планшетов на Android, а теперь хотим рассказать про совершенно новый мобильной п...

Maingear представила игровой ноутбук Vector Компания Maingear представила новый игровой ноутбук Vector. Новинка получила стильный дизайн и готова обеспечить максимальную производительность и функциональность. Подробнее об этом читайте на THG.ru.

MediaTek Helio G90 и G90T: характеристики и тест производительности Компания MediaTek анонсировала два процессора, ориентированные на мобильный гейминг. MediaTek Helio G90 и G90T построены на базе 12-нм техпроцесса...

AMD выпустила новейший драйвер AMD Radeon Pro с повышенной производительностью Компания AMD выпустила новый драйвер AMD Radeon Pro Software Enterprise 19.Q3, который обеспечивает ряд расширенных функций для профессиональных рабочих приложений, с поддержкой таких технологий, как Radeon ProRender и Radeon ReLive для VR. Также драйвер увеличивает произво...

Новые iPhone получат улучшенные камеры, но могут сохранить порт Lightning В последнее время в сети всё чаще появляются всевозможные рендеры нового поколения iPhone. А теперь информаторы рассказали и о некоторых ключевых характеристиках пока не анонсированных смартфонов. Подробнее об этом читайте на THG.ru.

TSMC о 6 нм: это техпроцесс не для всех Но для многих, кому не по карману 5 нм.

Asus представила игровой монитор ROG Swift PG27UQX с подсветкой Mini LED Компания Asus представила новый игровой монитор ROG Swift PG27UQX. Новинка стала преемником PG27UQ, сохранив большинство характеристик этой модели, но получив заметно улучшенную подсветку. Подробнее об этом читайте на THG.ru.

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Qualcomm представила чипсет Snapdragon 215 для бюджетных смартфонов Известный чипмейкер Qualcomm представил новый чипсет, ориентированный на смартфоны начального уровня. Snapdragon 215 получил значительные улучшения по сравнению с Snapdragon 212, включая 64-битную архитектуру и поддержку двойной камеры. Подробнее об этом читайте на THG.ru.

Acer представила игровой монитор Predator XN253Q X с частотой обновления 240 Гц Большинство компании начинают выпускать мониторы с улучшенной частотой обновления 240 Гц. К их числу решила присоединиться Acer, представив новый монитор Predator XN253Q X, предназначенный для любителей динамичных игр. Подробнее об этом читайте на THG.ru.

Видеосравнение топовых видеокарт в Red Dead Redemption 2 Компьютерные игроки дождались самой красивой и технологически продвинутой версии Red Dead Redemption 2. Но вместе с улучшенной графикой игра показывает и высокие системные требования. Подробнее о производительности разных видеокарт в Red Dead Redemption...

Sony представила камеру Sony A9 II с улучшенной стабилизацией изображения Sony официально представила обновлённую версию полнокадровой камеры Alpha 9 - Sony A9 II. Так, новинка получила тот же датчик, но теперь он стал намного быстрее и отличается улучшенной стабилизацией изображения. Подробнее об этом читайте на THG.ru.

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

Анонс AeroCool Scar: дизайнерский корпус для игровых ПК Компания AeroCool представила новый дизайнерский корпус Scar (серия PGS-B) для игровых ПК. Он ориентирован на владельцев топовых видеокарт и высокопроизводительных процессоров, а также отлично подойдёт моддерам благодаря сильной дизайнерской составляющей. Подробнее об этом ч...

Новые модели Nintendo Switch не будут анонсированы на E3 2019 В последние недели в сети усиленно циркулируют слухи о двух новых моделях Nintendo Switch. А поскольку до E3 остаётся совсем немного времени, большинство источников предполагали, что они будут анонсированы именно на этой выставке. Но, похоже, этого не произойдёт. Подробнее о...

Анонс Canon RF 70-200mm f/2.8 и 85mm f/1.2 DS: объективы для беззеркалок Сегодня Canon официально представил два новых профессиональных объектива Canon RF 70-200mm f/2.8 и RF 85mm f/1.2 DS, которые ориентированные на беззеркальные камеры. Подробнее об этом читайте на THG.ru.

Apple анонсировала операционную систему iPadOS для планшетов iPad Компания Apple представила новую операционную систему iPadOS. Как и понятно из её названия, ОС разработана специально для планшетов iPad. Подробнее об этом читайте на THG.ru.

Fujitsu опубликовала полный список процессоров Coffee Lake Refresh На данный момент компания Intel официально представила не так уж много процессоров девятого поколения, также известных под кодовым названием Coffee Lake Refresh. О других процессорах пока что появлялись лишь слухи и утечки, однако компания Fujitsu «слила» информацию сразу о ...

Представлено новое поколение плеера iPod Touch Впервые за долгое время Apple представила новое поколение плеера iPod Touch. Несмотря на заметно улучшенную начинку, вы вряд ли сможете отличить новинку от её предшественника. Внешность плеера практически не изменилась. Подробнее об этом читайте на THG.ru.

Анонс смартфона Sony Xperia 8: сдвоенная камера и широкоформатный дисплей Компания Sony представила на японском рынке новый смартфон Sony Xperia 8. Внешность гаджета мало отличается от представленного на MWC 2019 смартфона Xperia 10, но его характеристики немного слабее, чем у последнего. Подробнее об этом читайте на THG.ru.

Android 10 (Go Edition) представлен официально Компания Google анонсировала облегченную версию Android 10 с приставкой Go Edition. Эта ОС ориентирована на смартфоны с объемом оперативной памяти не...

Расходы на рекламу и разработки компании Intel удалось сократить Инвестиции в перспективные виды бизнеса и техпроцессы не пострадали.

PaintShop Pro 2020: новые интеллектуальные инструменты для создания фотопроектов Представлены новые комплексные решения для фоторедактирования и графического дизайна - PaintShop Pro 2020 и PaintShop Pro 2020 Ultimate. Новые продукты ориентированы на профессиональных фотографов и создателей контента. Подробнее об этом читайте на THG.ru.

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

Intel удаётся избежать сокращения затрат на разработки и исследования В новые техпроцессы и новые продукты приходится прилично вкладываться.

На прирост быстродействия Matisse новый техпроцесс повлиял в меньшей степени Архитектурные изменения стали главным фактором.

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

Представлен игровой ноутбук Razer Blade 15 на топовой видеокарте В рамках выставки CES 2019 компания Razer представила обновленный игровой ноутбук Razer Blade 15 Advanced Model. В основном изменения коснулись производительности графики, за что теперь отвечает новая видеокарта NVIDIA серии GeForce RTX 20. Подробнее об этом читайте на THG.r...

Qualcomm представила новый флагманский чипсет Snapdragon 855 Plus Как известно, большинство флагманов, выпущенных в перовой половине 2019 года, оснащены чипсетом Qualcomm Snapdragon 855. Но Qualcomm представила еще один флагманский чипсет - Snapdragon 855 Plus, который отличается большей производительностью и появится в устройствах второй ...

Битва бюджетных процессоров. Athlon 3000G чуть-чуть уступает Pentium Gold G5400, но вот если его разогнать… Компания AMD представила бюджетный двухъядерный Athlon 3000G 7 ноября, и в Сети уже появились первые обзоры. В одном из них столкнули лбами Athlon 3000G с его главным конкурентом Pentium Gold G5400. Кто из них вышел победителем из дуэли? Оба процессора двухъядерные, но...

[Перевод] Очередной шаг к концу закона Мура Samsung и TSMC переходят на технологический процесс 5 нм Два крупнейших производителя — Taiwan Semiconductor Manufacturing Co. (TSMC) и Samsung в апреле анонсировали о восходе на следующую ступеньку лестницы закона Мура. Сначала выступила TSMC, объявив о переходе техпроцесса...

Gigabyte представила материнские платы серии TRX40 Aorus Компания Gigabyte официально представила новые материнские платы серии TRX40 Aorus, куда вошли модели TRX40 Aorus Master, TRX40 Aorus Xtreme и TRX40 Aorus Pro WiFi. Новинки готовы обеспечить наилучшую производительность на новейших процессорах AMD Ryzen Threadripper 3-го пок...

Генеральный директор Intel пообещал, что дефицита процессоров больше не случится Корпорация наращивает мощности по 14-нанометровому техпроцессу и планирует к новогоднему сезону выпустить больше 10-нанометровых чипов, чем предполагалось раньше

[Перевод] Хранилище LINSTOR и его интеграция с OpenNebula Не так давно ребята из LINBIT представили свое новое SDS-решение — Linstor. Это полностью свободное хранилище в основе которого используются проверенные технологии: DRBD, LVM, ZFS. Linstor сочетает в себе простоту и хорошо проработанную архитектуру, что позволяет добиться с...

Представлен первый в мире смартфон с новым восьмиядерным процессором Им стала модель Reno Z. В её основе лежит производимый по 12-нанометровому техпроцессу чип MediaTek Helio P90. Он включает два ядра Cortex-A75, шесть ядер Cortex-A55 и графический процессор PowerVR GM 9946.

Представлен графический процессор GeForce GTX 1660 Ti Сегодня NVIDIA представила новый игровой графический процессор GeForce GTX 1660 Ti. Новинка обеспечивает значительный прирост производительности и энергоэффективности в большинстве популярных современных игр. Подробнее об этом читайте на THG.ru.

Суперкомпьютер Atos BullSequana на основе AMD Epyc 7H12 установил четыре рекорда производительности Одним из первых решений на основе недавно анонсированного процессора AMD Epyc 7H12 оказался суперкомпьютер с водяным охлаждением Atos BullSequana, который практически сразу стал устанавливать всё новые мировые рекорды. Подробнее об этом читайте на THG.ru.

EIZO представила монитор ColorEdge CS2731 с интерфейсом USB Type-C Компания EIZO выпустила новый монитор EIZO ColorEdge CS2731, ориентированный на энтузиастов в сфере фотографии, иллюстрации, видео и других творческих профессий. А одной из ключевых особенностей монитора стал интерфейс USB Type-C. Подробнее об этом читайте на THG.ru.

Процессор Huawei Kirin 985 будет на 10-20% производительней чипа Kirin 980 Новый процессор станет на 10-20% производительней своего предшественника, но при этом он будет похож на него по архитектуре. Его построят по 7-нанометровому техпроцессу с методом обработки EUVL (Extreme ultraviolet lithography). Кроме этого, процессору приписывают встроен...

NVIDIA Ampere: цены, быстродействие, техпроцесс – всё что мы знаем о новом поколении на текущий момент Сидим и ждем новых слухов об NVIDIA Ampere

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

«Байкал Электроникс» представила новый российский процессор Baikal-M Новинка представляет собой выпускаемую по 28-нм техпроцессу систему на чипе. В её основе лежат восемь 64-битных ядер ARM Cortex-A57 (ARMv8-A) с поддержкой векторных расширений NEON и восьмиядерный графический процессор Mali-T628 (MP8) с аппаратным ускорением воспроизведения ...

Видеокарты Nvidia Ampere будут основаны на семинанометровых GPU и выйдут в следующем году Ещё до выхода актуальных видеокарт Nvidia поколения Turing в Сети нередко появлялись данные о том, что поколение будет называться Ampere. В итоге мы получили иное название, но карты Ampere нас всё же ждут. Согласно новым слухам и данным, это будет новое поколение, главн...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Intel представила процессоры Core i5-8365U и Core i7-8665U с vPro На прошлой неделе AMD представила новые мобильные процессоры для ноутбуков. А теперь примеру AMD последовала компания Intel, анонсировавшая новые процессоры Intel Core i5-8365U и Core i7-8665U поколения Whiskey Lake. Подробнее об этом читайте на THG.ru.

Платформа в новейшем смартфоне Motorola действительно является почти полной копией SoC, установленной в Samsung Galaxy A50 Вчера компания Motorola представила смартфон One Vision, который выделяется целым рядом особенностей. Тут и «кинематографический» дисплей с отверстием под фронтальную камеру, и 48-мегапиксельный датчик в основной камере, что у Motorola впервые, и «чист...

Недорогой гибридный процессор AMD Ryzen 5 3400G будет работать на частоте до 4,2 ГГц Совсем скоро компания AMD представит новые семинанометровые настольные CPU Ryzen 3000, основанные на архитектуре Zen 2. Кроме них нас ждут модели линейки Ryzen 3000, которые будут использовать «старую» архитектуру Zen+ и 12-нанометровый техпроцесс. Такими б...

Huawei Mate 20 X 5G получит намного более слабый аккумулятор Huawei уже пообещала выпустить 5G-версию огромного смартфона Mate 20 X. Но, судя по последним слухам, новый Huawei Mate 20 X 5G окажется немного не тем гаджетом, каким мы его знаем. Подробнее об этом читайте на THG.ru.

Microsoft представила новый контроллер Xbox Elite Wireless Controller Series 2 В рамках выставки E3 Microsoft представила игровой контроллер Xbox Elite Wireless Controller Series 2 для Xbox. Новинка отличается улучшенным функционалом по сравнению с текущей моделью. Подробнее об этом читайте на THG.ru.

Жизненный цикл 14-нм техпроцесса Intel продлила до 2021 года Он будет существовать бок о бок с 7-нм техпроцессом.

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

TSMC утверждает, что все использующие 7-нм техпроцесс клиенты перейдут на 5-нм И AMD, хотя это имя и не упоминается открыто.

NVIDIA пока не считает целесообразным переход на 7-нм техпроцесс Всё дело в экономике.

Samsung поделилась успехами в освоении 3-нм техпроцесса Она опередит конкурентов на год, как минимум.

HTC показала VR-шлемы Vive Pro Eye и HTC Vive Cosmo Тайваньская HTC представила новые шлемы виртуальной реальности HTC Vive Pro Eye и HTC Vive Cosmo. Новые VR-шлемы ориентированы на совершенно разные целевые аудитории и имеют свои особенности. Подробнее об этом читайте на THG.ru.

Южнокорейский регулятор снизил штраф, наложенный на Qualcomm десять лет назад По данным Корейской комиссии по справедливой торговле (KFTC), антимонопольный регулятор Южной Кореи снизил штраф, наложенный на Qualcomm десять лет назад. Сумма штрафа была снижена на 20% и составила $200 млн. Подробнее об этом читайте на THG.ru.

Ядер — больше, частота — ниже: базовая частота 64-ядерного процессора AMD EPYC нового поколения составила всего 1,4 ГГц Компания AMD собирается представить серверные процессоры EPYC нового поколения Rome в середине текущего года. Одной из топовых моделей серии станет 64-ядерная, и она уже засветилась в базе данных SiSoftware Sandra, благодаря чему стали известны и характеристики CPU, и п...

Dell представила обновлённые ноутбуки Alienware m15 и Alienware m17 Представленные только прошлой осенью игровые ноутбуки серии Dell Alienware m быстро обрели популярность. И сегодня компания Dell представила обновлённые модели Alienware m15 и m17 с более производительной начинкой, улучшенным дисплеем и целым рядом других обновлений. Подробн...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

8000 человек помогут TSMC перейти к 3 нм Компания TSMC работает не только над 5-нанометровой технологией, но уже думает о технологии следующего поколения. Марк Лю (Mark Liu), исполнительный президент TSMC, объявил, что компания наймет 8000 сотрудников для нового центра исследований и разработок, который должен...

Вице-президент Redmi объяснился за использование SoC Snapdragon 439 в смартфонах Redmi 8 и Redmi 8A После анонса смартфона Redmi 8 некоторые пользователи приуныли: все в этой модели хорошо, но вот высокопроизводительная (для бюджетного сегмента) однокристальная система Snapdragon 632 почему-то уступила место модели Snapdragon 439, формально стоящей на ступень выше. По...

Анонс MediaTek Helio G90 и Helio G90T: новые SoC для игровых смартфонов среднего уровня Компания MediaTek представила новые однокристальные системы Helio G90 и Helio G90T. Обе SoC ориентированы на использование в игровых смартфонах среднего уровня. Они имеют схожие конфигурации, но отличаются друг от друга по некоторым весьма значимым параметрам. Подробнее об э...

5G, искусственный интеллект, 20 часов автономности и моментальное пробуждение. Intel рассказала, какими будут ноутбуки в 2020 году Компания Intel сегодня провела специальное мероприятие, на котором рассказала о новинках, припасенных к выставке Computex 2019. Одной из таких является Project Athena. По сути это платформа ноутбуков будущего, которые, по словам производителя, окажутся гораздо лучше нын...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

Глава NVIDIA намекнул, что 7-нм изделия конкурента ни на что не годятся Старый 12-нм техпроцесс лучше 7-нм двух?

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

В третьем квартале выручка TSMC на 27% зависела от 7-нм техпроцесса Чем дальше, тем этот показатель будет выше.

Процессоры AMD на архитектуре Zen 3 будут переведены на техпроцесс 7nm++ В продаже они появятся в 2020 году.

Honor 8X, Honor 10, Huawei P30 Lite, Huawei Y9 получили GPU Turbo 3.0 с обновлением EMUI 9.1 Компания Huawei продолжает обновлять свои смартфона до актуальной версии оболочки EMUI 9.1. Новую прошивку анонсировали сразу для девяти устройств: Honor 8X, Honor 10, Honor Play, Honor View10, Honor 10 Lite, Huawei P30 Lite, Huawei P20 Lite, Huawei Nova 3i и Huawei Y9. Гла...

Redmi K30 может все-таки получить процессор Snapdragon 735 и поддержку 5G Компания Redmi уже подтвердила, что Redmi K30 находится в разработке и показала его первую особенность — двойную фронтальную камеру, встроенную в дисплей. После этого начали появляться слухи о том, что за производительность новинки будет отвечать еще не анонсированная систем...

Snap анонсировали новый продукт на базе дополненной реальности Компания Snap официально представила новые очки дополненной реальности. Выход новинки ожидается уже осенью этого года. Подробнее об этом читайте на THG.ru.

Обновление OnePlus 7: Oxygen OS 9.5.7 После того, как OnePlus выпустил OxygenOS 9.5.10 для OnePlus 7 Pro, компания анонсировала OxygenOS 9.5.7 для обычного OnePlus 7. По большей части обновление для OnePlus 7 идентично обновлению для OnePlus 7 Pro. Исправления включают оптимизированную чувствительность автомати...

Серверные процессоры AMD Epyc 7002: серьезная заявка на эпичную победу Анонсированные месяц назад процессоры AMD Epyc второго поколения предлагают до двух раз большую вычислительную производительность и лучшую функциональность по сравнению с конкурирующими процессорами Intel Xeon, да еще и за меньшие деньги. Новые решения семейства Epyc 7002, п...

Nvidia представила новую плату Jetson Xavier NX Запуск устройств линейки Nvidia Jetson стал самым значимым успехом компании. Хотя её планы относительно процессоров Tegra пошли в совершенно ином направлении, чем планировалось изначально, компания добились большого успеха с платами Jetson, так как клиенты используют их как ...

Samsung готовит 8-нанометровую платформу Exynos 9710, ее характеристики уже известны Компания Samsung работает над новой однокристальной системой среднего уровня: новинка, названная Exynos 9710, придет на смену модели Exynos 9610. SoC будет производиться по техпроцессу 8 нм и получит восьмиядерный CPU. Как пишет источник, Exynos 9710 получит классическ...

Xiaomi представила новый смартфон чуть дороже 10 000 руб. — Redmi Note 7S По большому счету это немного улучшенная версия представленной в феврале в Индии модели Redmi Note 7. Главным отличием двух аппаратов является наличие 48-мегапиксельного датчика в основной камере вместо 12-мегапиксельного.

Расходы на освоение 10-нм техпроцесса сильнее всего влияют на прибыль Intel в серверном сегменте Потому что в мобильном сегменте 10-нм процессоры уже приносят компании прибыль.

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Lenovo представила "умные" настольные часы Smart Clock с Google Assistant Китайская Lenovo анонсировала новые "умные" настольные часы Lenovo Smart Clock с голосовым помощником Google Assistant. Подробнее об этом читайте на THG.ru.

Samsung анонсировала часы Galaxy Watch Active, браслеты Galaxy Fit и Fit e и наушники Galaxy Buds Корейская Samsung представила новые "умные" часы Galaxy Watch Active, браслеты Galaxy Fit и Fit e и беспроводные наушники Galaxy Buds. Подробнее об этом читайте на THG.ru.

Google представила ноутбук Pixelbook Go Google на своем ежегодном мероприятии представила новый ноутбук Pixelbook Go под управлением Chrome OS. Новинка получила улучшенный дизайн и увеличенное время автономной работы. Подробнее об этом читайте на THG.ru.

Intel в своём репертуаре: цены растут, объёмы реализации падают Зато с 10-нм техпроцессом всё не так плохо, как представляется многим.

Intel вынуждена увеличивать расходы на литографию с прошлого года Фабрик мало, 10-нм техпроцесс поддаётся плохо.

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

Бывшие специалисты GlobalFoundries помогут Intel освоить 7-нм техпроцесс Начатое нужно доводить до конца.

В сети появились подробности о готовящихся процессорах AMD Athlon 300GE и 320GE Техпроцесс меньше, частоты выше

Мобильный AMD Athlon 300U не определился с принадлежностью к техпроцессу Противоречия присутствуют даже в официальных источниках.

Память DDR4 скоро станет дешевле, поскольку DDR4 Samsung B-Die переходит на 10-нм техпроцесс Память DDR4 становится дешевле

Производительность Nvidia GeForce RTX 2070 Super, AMD Radeon RX 5700 XT и RX 5700 сравнили в бенчмарке Пока не анонсированная видеокарта Nvidia GeForce RTX 2070 Super появилась в бенчмарке Final Fantasy XV. Она не только предсказуемо обошла обычную GeForce RTX 2070, но и не оставила никаких шансов видеокартам AMD Radeon RX 5700 XT и RX 5700. Подробнее об этом читайте на THG.r...

Вице-президент Xiaomi гарантирует: за два года работы производительность Redmi 8 и Redmi 8A снизится не более чем на 15% Компания Xiaomi формально представила смартфоны Redmi 8 и Redmi 8A в Китае всего два дня назад, но в продажу они поступят только 15 октября. А пока до старта продаж остается немного времени, глава бренда и вице-президент Xiaomi Лю Вейбинг (Лю Вейбинг) продолжает рассказ...

В сети появились подробности о чипе Qualcomm Snapdragon 735 Ещё в апреле Qualcomm представила два новых чипсета - Snapdragon 730 и Snapdragon 730G. Данные чипы ориентированы на смартфоны среднего уровня и изготовлены с применением 8-нм LPP техпроцесса. На этот раз в сеть утеки подробности о будущей новинке компании. Подробнее об этом...

Acer представила хромбук Chromebook 315 на свежих процессорах AMD Компания Acer представила свой первый хромбук на процессорах серии AMD A с графикой Radeon. Новый Acer Chromebook 315 построен на недавно анонсированных 2-ядерных гибридных процессорах AMD A6-9220C или A4-9120C с графикой Radeon и TDP 6 Вт. Подробнее об этом читайте на THG.r...

Samsung Galaxy S10+ на Exynos 9820 оказался быстрее Snapdragon-версии в Geekbench В январе смартфон Samsung Galaxy S10+ на чипсете Snapdragon 855 появился в Geekbench. Сегодня в том же бенчмарке была замечена версия на чипсете Exynos 9820, производительность которой, судя по результатам, оказалось несколько выше. Подробнее об этом читайте на THG.ru.

Официально представлены видеокарты Nvidia GeForce RTX 2060 Super, 2070 Super и 2080 Super Сегодня Nvidia официально представила новую линейку игровых видеокарт, куда вошли GeForce RTX 2060 Super, GeForce RTX 2070 Super и GeForce RTX 2080 Super. Новинки отличаются самой высокой игровой производительностью и максимальной энергоэффективностью. Подробнее об этом чита...

MediaTek представила первый чипсет с интегрированным 5G-модемом, Cortex-A77 и Mali-G77 Компания MediaTek стала первым чипмейкером, представившим мобильную платформу с интегрированным 5G-модемом. Не менее важно и то, что она впервые получила недавно анонсированные процессорные ядра Cortex-A77 и новую графику Mali-G77. Подробнее об этом читайте на THG.ru.

OnePlus 7 Pro оснастят тройной 48 Мп камерой Уже завтра компания OnePlus представит новые флагманские смартфоны OnePlus 7 Pro и OnePlus 7. Ну а пока до этого события остаётся ещё немного времени, нам удалось узнать характеристики камеры первой модели. Подробнее об этом читайте на THG.ru.

Представлены смарт-часы Honor MagicWatch 2 — до 14 дней автономной работы, улучшенное отслеживание плавания Суббренд Honor, принадлежащий компании Huawei, представил в Китае целый ряд новых устройств, в том числе смарт-часы MagicWatch 2, работающие от батареи в течение 14 дней и ориентированные на здоровье. ***

Представлен смартфон Redmi K20 Pro Exclusive Edition: Snapdragon 855+, до 12 ГБ ОЗУ и до 512 ГБ флэш-памяти Прямо сейчас в Мюнхене идет презентация новых флагманских камерофонов Huawei Mate 30 и Mate 30 Pro. Тем временем бренд Redmi компании Xiaomi анонсировал в Китае улучшенную версию смартфона Redmi K20 Pro с приставкой Exclusive Edition (некоторые называют ее Premium Edition). ...

Sony анонсировала огромные 8K-телевизоры Телевизоры стали одним из главных направлений выставки CES 2019. Большинство крупных производителей уже анонсировали свои новинки. Теперь к ним присоединилась компания Sony, представив две линейки премиальных телевизоров, среди которых LCD модель Z9G с разрешением 8K и OLED...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Определена приблизительная площадь кристалла 10-нм процессоров Intel Ice Lake AMD со своим 7-нм техпроцессом не так уж сильно вырвалась вперёд.

TSMC: освоение 3 нм техпроцесса идет по плану. Появились первые клиенты Пока Intel освоит 10 нм техпроцесс, TSMC начнет выпускать чипы по 5 нм техпроцессу, а там и до 3 нм недалеко

Сэкономленные на разработке модемов средства Intel направит на освоение 7-нм техпроцесса И на улучшение показателей 10-нм техпроцесса.

К 2021 году TSMC уже освоит второе поколение 5-нм техпроцесса Intel же будет всё ещё барахтаться в ранней стадии освоения 7-нм технологии.

В рамках 5-нм техпроцесса EUV-литография будет использоваться гораздо активнее Популярность технологии растёт.

Intel работает над созданием 10-нм настольных процессоров Но конечных пользователей не должен беспокоить техпроцесс сам по себе.

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

Рассекречены характеристики отечественного ARM-процессора Он изготовлен по 28-нм техпроцессу и имеет восемь ядер ARM Cortex-A57

AMD представит новую линейку топовых видеокарт в начале января Неожиданная, но очень приятная новость пришла под самый конец воскресенья. Как пишет источник со ссылкой на ресурс Chiphell, правильно предсказавший ряд анонсов видеокарт AMD прошлых поколений, компания готовит большой анонс на выставке CES 2020. Это мероприятие, напомн...

Новые мобильные APU Ryzen получат очень мощные iGPU Уже в начале следующего года компания AMD представит новые мобильные процессоры Ryzen 4000. Они перейдут на семинанометровый техпроцесс и будут основаны на архитектуре Zen 2. Также ожидается удвоение количества ядер. При этом, если изначально слухи говорили о графически...

Xiaomi представит BlackShark 2 Pro на Snapdragon 855+ 30 июля Несколько дней назад американский чипмейкер Qualcomm анонсировал Snapdragon 855+, улучшенную версию процессора Snapdragon 855. Первым смартфоном на базе нового чипа стал представленный на этой неделе Asus ROG Phone 2. А вторым смартфоном, судя по всему, станет Xiaomi BlackSh...

Xiaomi готовит рекордно быстрый смартфон на новом флагманском процессоре Snapdragon После того, как Qualcomm анонсировала улучшенный процессор Snapdragon 855 Plus, стало понятно, что вторая половина года будет очень богата на различные флагманские устройства. Но никто не ожидал, что борьба начнется так быстро: не успела компания Asus объявить о скорой презе...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

HTC представила ещё один бюджетный смартфон Desire 19s В прошлом месяце HTC представила свой новый блокчейн смартфон Exodus 1s, а в этот раз компания анонсировала более традиционную модель начального уровня - HTC Desire 19s. Подробнее об этом читайте на THG.ru.

G.Skill представила производительные наборы памяти Trident Z Royal ёмкостью до 192 Гбайт Несколько дней назад Intel объявила о выходе нового высокопроизводительного процессора Xeon X-3175. И компания G.Skill не осталась в стороне от этого события, анонсировав новые наборы оперативной памяти Trident Z Royal. Подробнее об этом читайте на THG.ru.

Huawei станет «первым в мире мобильным брендом с двумя 7-нанометровыми платформами». 21 июня будет представлена SoC Kirin 810 Компания Huawei опубликовала в социальной сети Weibo очередную тизерную картинку, посвященную смартфону Nova 5. Изображение демонстрирует многомодульную камеру во всей красе, но надпись сверху — интереснее. В ней компания называет себя «первым в мире мобиль...

Система умного дома Life Control получила обновление Компания «Мобильный телепорт», которая получила права на систему Life Control от компании «Мегафон», вложила в нее $1,5 млн. Новый владелец улучшил программное обеспечение системы и снизил цены на нее. Life Control 2.0, более улучшенная версия Lif...

RedmiBook 14 Enhanced Edition – ноутбук на Core i7-10510U с 512 ГБ SSD за $700 На сегодняшней конференции компания Xiaomi представила несколько новых продуктов, включая и ноутбук RedmiBook 14 Enhanced Edition. Новинки является улучшенной версией бюджетного ноутбука RedmiBook 14, анонсированного в мае этого года. Новый RedmiBook 14 обладает…

MSI представила новые игровые мониторы и мощный, но компактный ПК Компания MSI анонсирует на выставке Computex 2019 целый ряд мониторов и компьютеров с видеокартами NVIDIA GeForce RTX. Подробнее об этом читайте на THG.ru.

Представлен смартфон Samsung Galaxy A70s: 6,7-дюймовый дисплей и 64 Мп камера Samsung наконец официально представила свой новый смартфон среднего уровня - Samsung Galaxy A70s, который стал последователем модели Galaxy A70, анонсированной в апреле этого года. Подробнее об этом читайте на THG.ru.

Xiaomi скоро представит смартфон CC9 Pro с камерой на 108 Мп. Китайский производитель Xiaomi готовит еще один новый смартфон под названием CC9 Pro. Главной особенностью новинки станет камера на 108 МП. К смартфону также еще приписывают игровой процессор Snapdragon 730G, который разработан по 8-нанометровому техпроцессу и имеет два выс...

Тестируем производительность Chuwi MiniBook: мощный ноутбук размером с ладонь После публикации видеообзора нового карманного ноутбука Chuwi MiniBook у нас появилась возможность узнать, какова производительность этого крошечного ноутбука в версиях N4100 и 8100Y. Подробнее об этом читайте на THG.ru.

NVIDIA и OBS кардинально улучшили качество и производительность стриминга на видеокартах GeForce Популярность стриминга компьютерных игр растёт с каждым днём, поэтому производители уделяют всё больше внимания той части пользователей, которые строят свои системы с прицелом на трансляцию игровых баталий. До сих пор стримеры, которые подходят к делу со всей серьёзностью, д...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

Intel готовится к переходу на 7 нм техпроцесс и не верит в конкуренцию со стороны AMD Самое главное не паниковать, глядишь и пройдёт всё само

Компания Noctua представила новое поколение своего высококачественного термоинтерфейса Улучшенные теплофизические свойства позволили добиться снижения температуры до 2 °C.

Анонс смартфона Samsung Galaxy S10e: почти флагман Вместе с флагманскими Samsung Galaxy S10 и Galaxy S10+ был анонсирован и немного более доступный смартфон Galaxy S10e. Новинка использует такой же мощный процессор, как и старшие модели, но отличается от них по целому ряду параметров. Подробнее об этом читайте на THG.ru.

Одного экрана мало. Новая операционная система Windows Lite ориентирована на устройства с двумя экранами В конце февраля стало известно, что компания Microsoft готовит к релизу облегчённую версию операционной системы Windows. Ресурс The Verge смог выяснить новые подробности о ней.  По данным источника, Windows Lite  ориентирована на устройства с двумя экранами и...

ASRock представила серию нереференсных видеокарт Radeon RX 5700 Challenger Компания ASRock анонсировала кастомную серию видеокарт Radeon RX 5700 Challenger OC, куда вошли модели Radeon RX 5700 8G OC и Radeon RX 5700 XT 8G OC. Подробнее об этом читайте на THG.ru.

Qualcomm представила многорежимный 5g модем: 5G в массы Компания Qualcomm, анонсировав в 2020 выход чипов X55 с поддержкой 5G, имеющих ряд качественных преимуществ над предшественниками. Подробнее об этом читайте на THG.ru.

HP представила ноутбуки Envy x360 15 на APU AMD Ryzen 3000 и процессорах Intel Компания HP анонсировала обновлённую линейку ноутбуков HP Envy x360 15, в которую вошли модели на процессорах AMD Ryzen 3000 и Intel Core i5/i7. Подробнее об этом читайте на THG.ru.

Процессор iPhone 11 оказался самым мощным чипом, установленном в смартфоне Процессор A13 Bionic устанавливается в iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max Эксперты часто говорят, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности...

Razer анонсировала монитор Razer Raptor с подсветкой Chroma Помимо нового игривого ноутбука, представленного в рамках выставки CES 2019, компания Razer также анонсировала монитор Razer Raptor. Новинка представляет собой 27-дюймовую безрамочную панель с разрешением 2560 x 1440 пикселей. Подробнее об этом читайте на THG.ru.

Мощная новинка ZenFone Max Pro M2 Компания ASUS выпустила смартфон с отличной камерой. «Сердце» смартфона — мобильная платформа Qualcomm Snapdragon 660 с технологией искусственного интеллекта Qualcomm AI Engine, созданная по 14-нм техпроцессу и превосходящая прошлую модель на 11% по производительности процес...

Qualcomm представила платформу Snapdragon 8cx 5G для ноутбуков В конце прошлого года Qualcomm уже анонсировала платформу Snapdragon 8cx для ноутбуков. А на проходящем в Барселоне MWC 2019 было представлено новое комплексное решение в виде Snapdragon 8cx, работающей в связке со свежим мультирежимным модемом Snapdragon X55. Подробнее об э...

Бои на дирижаблях в Bow to Blood: Last Captain Standing ждут игроков на всех платформах в апреле Компания Tribetoy анонсировала приключенческий экшен Bow to Blood: Last Captain Standing для PlayStation 4, Xbox One, Nintendo Switch и ПК. Оригинальная Bow to Blood вышла в качестве эксклюзива PlayStation VR в августе 2018 года. Анонсированная для всех платформ и...

Представлена 7-нанометровая SoC Kirin 810 Сегодня Huawei анонсировала не только смартфоны серии Nova 5, но и новую однокристальную систему Kirin 810. Как и Qualcomm Snapdragon 855, Apple A12 и Kirin 980, новая SoC Kirin 810 производится по 7-нанометровому технологическому процессу. Поэтому Huawei стала единств...

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Анонс AOC Agon AG273QX: монитор с частотой обновления 165 Гц и поддержкой FreeSync 2 Компания AOC пополнила линейку мониторов Agon, представив новую игровую модель Agon AG273QX. Как и все устройства данной линейки, новинка ориентирована на геймеров, а также обладает поддержкой специальных игровых режимов, RGB-подсветкой и имеет программное обеспечение G-Menu...

[Из песочницы] Анонсирован Dart 2.2: более оптимальный машинный код, поддержка Set литералов Источник [Announcing Dart 2.2: Faster native code, support for set literals] Сегодня (26 февраля 2019) мы объявляем о выпуске Dart 2.2 SDK — обновления для Dart 2, которое предлагает улучшенную производительность ahead-of-time (AOT) кода и поддержку Set литералов. Читать...

Intel представила Lakefield: пятиядерный гибрид Core и Atom с трёхмерной компоновкой Intel представила свой первый гибридный процессор Lakefield, в котором объединено пять вычислительных ядер с различной архитектурой, работающих по принципу big.Little. Несмотря на то, что для изготовления Lakefield применяется 10-нм техпроцесс и технология 3D-компон...

Первые тесты Ice Lake: впечатляет лишь производительность встроенной графики Компания Intel, как и многие рядовые пользователи, возлагает большие надежды на новые мобильные процессоры Ice Lake, которые за счёт 10-нм техпроцесса и новой архитектуры Sunny Cove должны быть намного производительнее, нежели их предшественники. Однако первые тесты новинок ...

Samsung начала выпуск первой в отрасли памяти eUFS 3.0 объёмом 512 Гбайт Компания Samsung Electronics объявила о начале серийного производства первых в отрасли чипов памяти eUFS 3.0 ёмкостью 512 Гбайт. Новые чипы памяти ориентированы на использование в "следующем поколении мобильных устройств" и обеспечивают вдвое большую скорость работы по сравн...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

Названы возможные причины, по которым Intel не будет использовать свой 10-нм техпроцесс для выпуска графических процессоров Корейское – значит "лучшее"?

Графический процессор AMD Navi оказался почти на 60% компактнее конкурирующего NVIDIA Turing 7-нм техпроцесс помогает AMD не только в сегменте центральных процессоров.

Xiaomi Mi Play — уже в Украине Mi Play работает на базе процессора MediaTek P35, который построен по 12 нм техпроцессу и имеет 8 ядер Cortex A53 с максимальной тактовой частотой 2,3 ГГц.

Смартфоны Oppo A5s и A1k с мощными аккумуляторами анонсированы в России Компания Oppo анонсировала в России два новых смартфона Oppo A5s и Oppo A1k с каплевидным вырезом и двойной основной камерой. Подробнее об этом читайте на THG.ru.

Новый процессор от MediaTek со встроенным 5G модем MediaTek выходит на рынок модемов для смартфонов 5G со своим новейшим чипом, который является первым в мире с интегрированным модемом 5G. MediaTek 5G SoC будет значительно дешевле аналогичных чипов от американской компании Qualcomm. Поэтому установка процессоров MediaTek 5G ...

Бюджетная версия Nintendo Switch выйдет уже в этом году По данным японского издания Nikkei, Nintendo готовится представить облегченную версию игровой консоли Switch, которая будет исключительно портативной, сохраняя при этом возможность подключения к телевизору. Помимо прочего новая консоль также будет компактной и более доступно...

Nvidia разрабатывает технологию рендеринга на основе нескольких GPU Nvidia инвестирует в разработку новой технологии рендеринга на базе нескольких GPU. Реализованный на уровне одного графического процессора, шахматный рендеринг был одним из многих секретов компании, который улучшил производительность со времёни семейства графических процесс...

AMD урезала FP64 производительность игровой видеокарты Radeon VII Анонсированная на CES 2019 видеокарта AMD Radeon VII стала первым в мире игровым решением, построенным на 7-нм GPU. И многие пользователи наверняка заметили её сильное сходство с ускорителем Radeon Instinct MI50. Конечно, это не устраивает AMD, и компания постаралась максима...

Samsung представила систему на кристалле Exynos 9825, выполненную по 7-нм техпроцессу EUV Уже сегодня состоится презентация долгожданной линейки смартфонов Samsung Galaxy Note10. Но Samsung решила начать привлекать к нему внимание раньше и представила новую систему-на-кристалле Exynos 9825. Компания называет ее первой в мире однокристальной системой, выполненной...

Seagate представила свой первый NVMe-накопитель с интерфейсом PCIe 4.0 x4 Seagate анонсировал обновление линейки твердотельных накопителей, представив новую флагманскую модель: FireCuda 520. Новинка будет выполнена в компактном форм-факторе M.2 2280. Подробнее об этом читайте на THG.ru.

НБУ запустил новый сайт с современным адаптивным дизайном и улучшенной структурой Национальный банк Украины запустил обновлённый сайт. Об этом сообщил в сервисе микроблогов Twitter глава НБУ Яков Смолий. Отмечается, что новая версия сайта регулятора ориентирована на пользователя, она получила более простую структуру и улучшенную навигацию. При этом сайт с...

В октябре Intel выпустит более быстрые процессоры для десктопов: Core i9-9900KS и Cascade Lake-X На специальном мероприятии «Real World Performance», которое Intel провела на IFA 2019, компания подтвердила свои намерения выпустить новые десктопные процессоры в следующем месяце. В октябре будут анонсированы 5-гигагерцевый восьмиядерник Core i9-9900KS, а также новое семей...

Huawei P Smart 2019 получил стабильную версию EMUI 10 Смартфоны Huawei и Honor продолжают получать стабильную версию EMUI 10. Вот и дошла очередь для смартфона Huawei P Smart 2019. Обновление вышло с номером сборки 10.0.0.134 и весит больше 4 ГБ. Новое обновление основана на последней версии OC Android 10 и фирменной оболочки...

Intel забуксовала со своими дискретными видеокартами, главная проблема – в их невысокой эффективности Только ленивый не упрекает Intel в том, что она засиделась на 14-нанометровом техпроцессе в своих CPU, но выбор его же для 3D-карт сыграет с последними злую шутку еще до их выпуска: по эффективности они не смогут тягаться 12-нанометровыми решениями Nvidia и 7-нанометров...

Видеокарты NVIDIA Ampere поступят в продажу в первом полугодии 2020 года: нас ждет 7 нм техпроцесс и невероятная мощь Начинаем экономить уже сейчас, а иначе на новую видеокарту не накопить!

Enermax представила кулер ETS-T50 AXE с RGB-подсветкой Enermax расширила линейку процессорных кулеров ETS-T50 AXE, представив модель ETS-T50 AXE ARGB. Новинка может поддерживать мощность охлаждения 230 Вт + TDP, а благодаря RGB-подсветке и синхронизации с ASUS Aura, ASRock Polychrome, GIGABYTE RGB Fusion и MSI Mystic Light Sync...

Большая утечка о ZTE Blade V10: характеристики и фотографии смартфона от TENAA На прошедшем в начале прошлого года MWC 2018 китайская ZTE анонсировала смартфоны Blade V9 и Blade V9 Vita. И сейчас компания работает над новым Blade V10, презентация которого может пройти уже на MWC 2019. Подробнее об этом читайте на THG.ru.

Antec представила новый прозрачный ПК-корпус P120 Crystal Компания Antec представила новый ПК-корпус P120 Crystal. Новинка является дополнением к серии Antec Performance Elegant и отличается хорошим качеством сборки, что обеспечивает максимальную производительность и бесшумную работу. Передняя и боковая панели выполнены из закалённ...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

В недорогих смартфонах Samsung Galaxy A11, A31 и A41 увеличится объём памяти Столкнувшись с сильным напором со стороны конкурентов, Samsung, наконец, решила улучшить свои позиции в бюджетном и среднем сегментах, представив обновлённую серию смартфонов Galaxy A. Благодаря привлекательному дизайну и хорошим характеристикам, смартфоны данной серии помог...

Sapphire представила серию видеокарт Radeon RX 5700 Pulse Компания Sapphire официально выпустила серию видеокарт Radeon Navi RX 5700 Pulse. Новинки отличаются высокой производительностью и набором уникальных функций в рамках утилиты для разгона TriXX. Подробнее об этом читайте на THG.ru.

Новые ноутбуки MateBook от Huawei были представлены на MWC 2019 Компания Huawei представила на выставке MWC 2019 ряд новых ноутбуков линейки MateBook, среди которых обновленный флагманский ноутбук MateBook X Pro и ориентированные на средний ценовой диапазон MateBook 13 и MateBook 14. Подробнее о новинках можно узнать в данном материале!

Китайская видеокарта Jingjia JM9271 получит память HBM и окажется не хуже GeForce GTX 1080 по производительности Китайские компании уже давно трудятся над процессорами на архитектуре x86, а некоторые работают и над видеокартами. Такую, в частности, готовит Changsha Jingjia Microelectronics, и она должна оказаться не хуже GeForce GTX 1080 по производительности, а по части отдельных...

Samsung снизит цены на смартфоны среднего уровня По данным корейских СМИ, после выпуска смартфонов бюджетной серии Galaxy M, Samsung будет стремиться к снижению цен на смартфоны среднего уровня. В своем стремлении бороться с натиском китайских брендов в сегменте низкого и среднего класса, компания готовится снизить цены на...

В России начались официальные продажи Galaxy Note 10 и Note 10+ Стилус — самое крутое, что есть в Galaxy Note 10 Думаю, не ошибусь, если предположу, что вы уже успели забыть про Galaxy Note 10. Несмотря на то что новый фаблет корейцев вышел меньше месяца назад и, по логике, впечатления о нём должны быть ещё свежи, скорее всего, это...

Протокольно ориентированное программирование. Часть 1 Давайте подробнее изучим тему протокольно ориентированного программирования. Для удобства разделили материал на три части. Данный материал является комментированным переводом презентации WWDC 2016. Вопреки частому мнению о том, что вещи "под капотом" должны там и оставаться,...

Раскрыты ключевые характеристики и производительность игрового смартфона Nubia Red Magic 3 В начале следующего месяца бренд Nubia анонсирует новый игровой смартфон Nubia Red Magic 3. Ну а пока этого не произошло, новинка появилась в бенчмарке Master Lu, раскрывшем большинство её ключевых характеристик. Подробнее об этом читайте на THG.ru.

Смартфоны на Snapdragon 855 Plus: список мощнейших телефонов Компания Qualcomm выпустила улучшенную версию флагманского процессора, ориентированного на игровые смартфоны. Snapdragon 855 Plus отличается от...

Представлен Kirin 990: самый первый, мощный, быстрый, ... Одним из главных анонсов сегодняшнего дня и всей выставки IFA 2019 стал релиз 7-нанометровой мобильной платформы Kirin 990. Сам производитель позиционирует процессор как «первая в отрасли SoC 5G», а все потому, что она получила встроенный модем с поддержкой сетей...

Intel показала 10-нм SoC Ice Lake для ноутбуков: 2-кратный прирост ИИ-производительности и графика Gen 11 Американская Intel представила новую мобильную SoC поколения Ice Lake, на основе 10-нм техпроцесса. Ice Lake SoC, по сути, построена на архитектуре Sunny Cove, предлагающей значительные улучшения по сравнению с Skylake. Подробнее об этом читайте на THG.ru.

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

Особый вид квантового туннелирования может изменить полупроводниковую отрасль Что такое парадокс Клейна, и как он может помочь дальше уменьшать техпроцесс чипов.

Процессоры Intel Rocket Lake 11-го поколения получат до 8 ядер и устаревший 14 нм техпроцесс В последнее время Интел не радует своих клиентов

Процессоры Intel Tiger Lake могут обеспечить технологический прорыв благодаря зрелому 10++ нм техпроцессу - wccftech Скоро Интел снова будет впереди планеты всей

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

Google официально представила смартфоны Pixel 4 и Pixel 4 XL Сегодня Google наконец анонсировала свои новые флагманы - Pixel 4 и Pixel 4 XL. Новинки получили ряд интересных характеристик и представляют собой взгляд компании на современный идеальный Android-смартфон. Подробнее об этом читайте на THG.ru.

Acer анонсировала игровой ноутбук Predator Helios 700 и обновлённый Predator Helios 300 Компания Acer представила новый игровой ноутбук Predator Helios 700 с уникальной клавиатурой Hyper Drift, которая выдвигается вперед для улучшения притока воздуха в корпус и обеспечения ещё более эффективного охлаждения системы. Подробнее об этом читайте на THG.ru.

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

#CES | AMD представила новую флагманскую видеокарту и процессоры Ryzen 3-го поколения Компания AMD представила на выставке CES-2019 две новинки, которые ждали очень многие. Во-первых, компания анонсировала первую в мире графическую карту, работающую на базе GPU, построенном с использованием 7-нм технологического процесса. А во-вторых, AMD представила 3-е пок...

Apple анонсировала Apple TV+ и Apple TV Channels Компания Apple представила долгожданный стриминговый сервис Apple TV Channels и обновлённое приложение Apple TV, ставшее доступным для всех устройств Apple, включая компьютеры Mac. Подробнее об этом читайте на THG.ru.

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

CloudFlare анонсировала Warp — бесплатный VPN-сервис для мобильных устройств Разработчики из CloudFlare решили придерживаться традиции и анонсировать новые продукты 1 апреля. Сегодня компания представила Warp, бесплатный VPN, который защитит трафик мобильных устройств и ускорит интернет. Это будет второй сервис компании, после 1.1.1.1, ориентированны...

EMUI 10 представят официально 9 августа на HDC 2019 Китайский технологический гигант Huawei проводит конференцию Huawei Developer 2019 (HDC 2019) в технопарке «Озеро Суншань» города Дунгуань с 9 по 11 августа. Ранее уже сообщалось, что на этой конференции компания анонсирует EMUI 10. Теперь Huawei официально объявила, что 9 а...

Samsung представила улучшенную версию своего гибкого смартфона Об этом ходило множество слухов в сети, и вот это случилось — Samsung официально анонсировала в Китае новую улучшенную версию своего складного смартфона — Samsung W20.

Qualcomm наконец-то выпустит действительно новую и современную платформу для умных часов Ситуация на рынке умных часов с Android Wear весьма плачевная. Есть мнение, что одним из основных виновников является Qualcomm, которая не может или не хочет предложить нормальную платформу для данной категории устройств. К примеру, SoC Snapdragon Wear 2100 фактически я...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Kirin 990 прогнали через бенчмарк Geekbench Вчера в сети появились результаты прогонки чипа Apple A13 Bionic в Geekbench, а сегодня пришел черед показать «мускулы» Kirin 990. Ждать того, что китайский чип обойдет своего визави от Apple, было бы наивно. Но в любом случае интересно узнать, как обстоят дела с...

В 2019 году выйдут новые Apple Watch и AirPods На сайте DigiTimes появилась информация, что система-на-кристалле Apple A13 будет производиться только TSMC по 7-нм техпроцессу и должна лечь в основу смартфонов нового поколения. Также, подтвердился факт, что в 2019 году выйдет новое поколение наушников...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

AMD Radeon VII: 7 нм high-end видеокарта за 699$ Лиза Су анонсировала крайне любопытный продукт на выставке CES 2019. Он называется AMD Radeon VII. Этот топовый графический адаптер, собранный на базе 7 нм чипа Vega 20, будет конкурировать на рынке с GeForce RTX 2080. Релиз устройства намечен на 7 февраля, его стоимость — ...

Официально представлен смартфон Samsung Galaxy A70: большой дисплей и мощная батарея Еще одной новинкой серии Galaxy A стал смартфон Samsung Galaxy A70, анонс которого состоялся сегодня. Новинка может похвастаться стильным и простым дизайном, большим безрамочный дисплей с минималистичной монобровью, улучшенной тройной камерой и мощным аккумулятором. Подробне...

Toshiba выпустила 2,5-дюймовые SSD XD5 для центров обработки данных Компания Toshiba объявила о выходе новых твердотельных накопителей Toshiba XD5 в 2,5» форм-факторе, ориентированных на центры обработки данных и облачные инфраструктуры. Подробнее об этом читайте на THG.ru.

AMD готовит «убийцу Nvidia» — видеокарту на базе GPU Navi 23 Как пишет источник со ссылкой на хорошо информированных о планах AMD людей, компания готовит новую флагманскую 3D-карту, которая проходит под условным обозначением «Убийца Nvidia». Видимо, в AMD всерьез полагают, что новинка сможет похвастать производительно...

Чипсет Intel B365 нашёл применение в пяти материнских платах ASRock Модельный ряд системных плат ASRock для платформы Intel LGA1151-v2 пополнился пятью изделиями, созданными на базе набора логики B365. Данный чипсет, напомним, был представлен в прошлом месяце, производится по 22-нм техпроцессу и может обеспечить...

Intel: AMD проделал большую работу, но процессоры Intel все равно лучше Не секрет, что AMD добилась огромного успеха благодаря своим процессорам семейства Zen, а также вернулась на рынок ПК. Однако Intel пренебрегла присутствием AMD и только недавно признала, какое влияние оказала компания. В этом году на Gamescon Intel начала новую кампанию про...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

AOC представила флагманские мониторы Agon AG353 с частотой 200 Гц и VESA DisplayHDR 1000 Компания AOC анонсировала новые флагманские мониторы серии Agon AG353. Их главными особенностями стали высокая частота обновления до 200 Гц, пиковая яркость 1000 нит и сертификат VESA DisplayHDR 1000. Подробнее об этом читайте на THG.ru.

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

В России представлен смартфон Honor 8A Pro: 6-дюймовый дисплей и чипсет MediaTek Суббренд Huawei Honor представил бюджетный смартфон Honor 8A Pro в России. Ранее устройство было анонсировано в Китае, как Honor Play 8A. Подробнее об этом читайте на THG.ru.

Huawei Kirin 810 опередил флагманские Snapdragon 855 и Kirin 980 в бенчмарке AI Benchmark 3 Уже сегодня Huawei представит новую линейку Nova 5, а самым интересными представителем этой серии станет смартфон Huawei Nova 5 на совершенно новом чипсете Kirin 810. И незадолго до этой презентации нам удалось узнать о производительности SoC в бенчмарке AI Benchmark 3. Подр...

Анонс Kingston KC2000: быстрый M.2 NVMe SSD на памяти 3D TLC NAND Компания Kingston представила высокоскоростной твердотельный накопитель Kingston KC2000. Новинка заметно обходит KC1000 в производительности и ёмкости за счёт использования свежего контроллера Silicon Motion и 96-слойной памяти TLC NAND. Подробнее об этом читайте на THG.ru.

До 8 ядер и частота до 5,0 ГГц. Представлены бюджетные серверные процессоры Intel Xeon E-2200 Компания Intel сегодня представила линейку бюджетных серверных процессоров Xeon E-2200 – они пришли на смену моделям серии Xeon E-2100. Новинки – и тут никаких сюрпризов – базируются на микроархитектуре Coffee Lake Refresh и выполнены по нормам техпроц...

Видеокарты семейства “Ampere” от NVIDIA могут появиться на рынке в первой половине 2020 года По сети уже гуляют слухи о том, что следующее поколение видеокарт от NVIDIA может быть анонсировано в первой половине 2020 года. Ожидается переход на более современный техпроцесс (с 12 нм на 7 нм), а значит и увеличение кол-ва транзисторов, а также показателя энергоэффектив...

Honor назвала дату анонса телевизора Honor Smart Screen Как известно, Honor работает над своим новым продуктом, который будет представлен как Honor Smart Screen. Это устройство с большим дисплеем, напоминающее телевизор, но будет выполнять гораздо больше полезных функций. И сегодня компания сообщила, что покажет Honor Smart Scree...

GlobalFoundries и ARM спроектировали тестовый чип с объёмной упаковкой Компания GlobalFoundries отказалась от гонки за техпроцессами и замерла на отметке 12 нм, но это не означает, что она не будет внедрять передовые технологии объёмной упаковки чипов. За счёт 3D-компоновок даже старый техпроцесс можно использовать таким образом, что результиру...

NVIDIA анонсировала ноутбуки RTX Studio, предназначенные для создателей контента Во время проведения выставки Computex 2019 компания NVIDIA анонсировала новую инициативу, ориентированную на создателей цифрового контента. В рамках инициативы NVIDIA RTX Studio предлагаются набор API, SDK и драйверов для GPU серии RTX GPU, призванные повысить производительн...

Существенно ускоряем выполнение задач на примере дополнительной настройки обновленной памяти HyperX FURY DDR4 Выбор оперативной памяти для рабочего или игрового ПК – головная боль для тех, кто хочет одновременно получить максимум производительности и не опустошить свой кошелёк. Нет, сегодня мы не будем в очередной раз говорить «такая-то память стоит столько и является оптимальным вы...

EFORTH для программируемого калькулятора Транслятор EFORTH теперь есть и на отечественном калькуляторе «Электроника МК-161»! 17 мая версия v0.5b успешно прошла мои тесты, а также пять авторских тестов TEST-TEST4. Я добился того, что можно сделать в одиночку, но считаю это лишь половиной дела. Настало время представ...

Фотогалерея дня: видеокарты Radeon Navi в исполнении ASRock Вчера компания AMD номинально представила видеокарты поколения Navi. Нам рассказала о новой архитектуре, новом техпроцессе и новом принципе именования, но не раскрыли ни параметров, ни цен, ни каких-либо иных подробностей. А вот компания ASRock взяла и показала уже &laq...

У новых процессоров AMD интегрированные GPU будут работать на сумасшедших частотах В начале следующего года компания AMD представит мобильные гибридные процессоры Ryzen 4000. Это поколение будет называться Renoir и оно наконец-то перейдёт на семинанометровый техпроцесс и архитектуру Zen 2. Сегодня известный инсайдер поделился подробностями о графичес...

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

В России представлен смартфон Honor 10i с мощными камерами Бренд Honor представил на российском рынке новый смартфон среднего уровня под названием Honor 10i. Характеристики новинки сильно напоминают уже анонсированный в Китае Nova 4e, но назвать эти аппараты идентичными всё-таки не получится. Подробнее об этом читайте на THG.ru.

Apple iPhone и iPad 2019 будут оснащены новыми антеннами Сейчас то самое время, когда начинает появляться все больше слухов и утечек, касательно будущих моделей iPhone и iPad. И сегодня стало известно, что Apple планирует оказаться от антенн, созданных на базе технологии LCP (жидкокристаллического полимерного материала), в пользу ...

Intel Ice Lake. 10 нм — в массы Итак, подходит к логическому завершению одна из самых долгоиграющих интриг в новейшей технологической истории Intel — «когда же, наконец, в серию пойдет 10 нм». Перипетии этой истории у нас еще в памяти, нет смысла их повторять. А завершается все, как ему и положено заверш...

Видеокарта Nvidia RTX 2080 Super показала свою производительность Буквально пару недель назад Nividia представила видеокарты RTX 2060 Super и 2070 Super, но у компании есть еще одна новинка - RTX 2080 Super. И в этот раз видеокарта была обнаружена в бенчмарке, где показала свою производительность. Подробнее об этом читайте на THG.ru.

Смартфон Samsung Galaxy M30 вышел в «урезанной» версии. Есть аргументы к покупке? Нет! Зачастую многие производители выпускают улучшенные версии ранее представленных смартфонов, расширяя объём памяти и добавляя новые функции. Компания Samsung решила пойти по противоположному пути, анонсировав «урезанную» модификацию аппарата Galaxy M30.

Intel представила десятое поколение процессоров Ice Lake с шагом 10 нм После не самого впечатляющего анонса нового i9-9900KS на Computex от Intel, многие могли подумать, что компания окончательно сдала позиции и не привезла ничего впечатляющего в отличие от своего прямого конкурента — компании AMD, которая показала линейку процессоров Ryzen тре...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Huawei представила сгибаемый смартфон Mate X и анонсировала улучшенную версию Mate Xs Модель Mate X поступит в продажу уже в следующем месяце.

AMD представила видеокарты Radeon RX 5700 XT и Radeon RX 5700 Американская AMD наконец-то анонсировала новые видеокарты Radeon RX 5700 XT и Radeon RX 5700. Обе модели используют свежие 7-нм GPU поколения Navi и построены на архитектуре RDNA, пришедшей на смену дебютировавшей ещё в 2011 году GCN. Подробнее об этом читайте на THG.ru.

Представлен смартфон Redmi Note 7 Pro с чипсетом Snapdragon 675 и 48 Мп камерой Sony IMX586 Как мы и думали, прошедшая в Индии презентация Redmi принесла с собой не только уже анонсированный в январе Redmi Note 7, но и новый смартфон среднего уровня Redmi Note 7 Pro. Подробнее об этом читайте на THG.ru.

Вице-президент Honor подтвердил, что в смартфоне Honor 9X будет использоваться новейшую 7-нанометровая SoC Kirin 810 Сегодня Huawei официально представила Kirin 810 — свою вторую однокристальную систему, выполненную по техпроцессу 7 нм. И практически сразу после этого вице-президент Honor Сюн Цзюньминь (Xiong Junmin), отвечающий за новые продукты, сообщил, что новая платформа бу...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

Стабильная версия MIUI 11 вышла на Xiaomi Mi CC9 Компания Xiaomi обновляет свои смартфоны до прошивки MIUI 11 очень быстрыми темпами. На данный момент прошивка стала доступна на Xiaomi Mi 6, Mi 9, Mi Mix 3, Mi Mix 2s, Mi 8 SE, Mix 2, а также наRedmi K20 и Redmi 7 и других недорогих смартфонах. Теперь же пользователи X...

Анонс смартфона Redmi Y3: мощная селфи-камера и доступный ценник Бренд Redmi представил новый бюджетный смартфон Redmi Y3. Гаджет ориентирован на любителей селфи и оснащается фронтальной 32 Мп камерой с апертурой f/2.0 и поддержкой технологии пиксельного биннинга Super Pixel. Подробнее об этом читайте на THG.ru.

[Перевод] Встречайте новый терминал Visual Studio Развивая тему недавно анонсированного Developer PowerShell, мы рады представить вам первую preview-версию нового терминала Visual Studio. Данная функция является частью Visual Studio версии 16.3 Preview 3. Терминал Visual Studio не создан с нуля, а разделяет большую част...

Lenovo представила новые ноутбуки серии Yoga На конференции TechLife в рамках выставки IFA 2019 Lenovo представила новинки серии Yoga. Ноутбуки данной серии отличаются высокой производительностью и уникальными мультимедийными функциями. Модели Yoga C940, С740 и S740 доступны в вариантах с 14- и 15-дюймовыми дисплеями, ...

80+ новых игровых ноутбуков с GPU GeForce GTX 16-ой серии Nvidia представила видеокарты GeForce GTX 1660 Ti и 1650, которые значительно повысят производительность и энергоэффективность систем в современных играх. И начиная с сегодняшнего дня на рынке ноутбуков появится свыше 80 моделей на базе новейших GPU GeForce по цене от 65 000...

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Nvidia GeForce RTX 2070 Super и RTX 2060 Super: большая утечка характеристик Уже 2 июля Nvidia анонсирует новую линейку видеокарт GeForce RTX 2080 Super. В неё войдут RTX 2080 Super, RTX 2070 Super и RTX 2060 Super. Но первоначально на рынке появятся только две последние модели. И теперь нам удалось узнать их подробные характеристики. Подробнее об эт...

Большая утечка о Honor 20 и Honor 20 Pro: характеристики, цена и дата анонса флагманов Пока не анонсированные смартфоны Honor 20 и Honor 20 Pro уже получили одобрение нескольких регуляторов. А сегодняшняя утечка раскрывает достаточно подробные характеристики новых флагманов китайской компании. Подробнее об этом читайте на THG.ru.

Intel снизила цену на процессоры Cascade Lake-X в 2 раза: обещание улучшить в 2 раза соотношение цены и производительности выполнено Невероятные цены, которые могут лишить покоя фанатов краснго лагеря

Анонс Colorful iGame G-One: мощный игровой моноблок за $5000 Компания Colorful объявила о выходе нового моноблока iGame G-One с производительной начинкой. Новинка ориентирована на геймеров и имеет весьма приличный ценник в $5000. Подробнее об этом читайте на THG.ru.

Чипсет Snapdragon 675 обошел по производительности Snapdragon 710 В октябре прошлого года Qualcomm представила 11 нм чипсет Snapdragon 675, который будет использоваться в смартфонах среднего уровня. Сегодня чипсет появился в бенчмарке AnTuTu. Подробнее об этом читайте на THG.ru.

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Российская SoC NM6408 НТЦ «Модуль» выходит в свет: 28 нм, 512 гигафлопс, 35 Вт В последней декаде февраля российский научно-технический центр «Модуль» стал участником ряда отраслевых выставок, прежде всего Еmbedded World 2019 и 12-й Международной авиакосмической выставки Aero India ― 2019. На каждом из этих мероприятий разработчик заключил определённые...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Sony: консоль PlayStation 5 получит "захватывающий" геймплей и "бесшовную" производительность Японская Sony уже успела раскрыть некоторую важную информацию о пока не анонсированной игровой консоли PlayStation 5. А теперь японский производитель рассказал о самых сильных сторонах будущей новинки. Подробнее об этом читайте на THG.ru.

AMD представила линейку процессоров Ryzen 3000 и долгожданную графику Navi Начавшаяся ежегодная выставка компьютерных технологий Computex 2019 стартовала сразу с тяжелой артиллерии. Исполнительный директор компании AMD Лиза Су представила третье поколение процессоров Ryzen, построенных на первой в мире 7-нм десктопной архитектуре. Текущим флагмано...

AMD готовит революцию на рынке ноутбуков Компания AMD уже выпустила настольные семинанометровые процессоры и серверные. А вот в мобильном сегменте пока самыми свежими являются Ryzen 3000 — носители архитектуры Zen+, которые производятся по 12-нанометровому техпроцессу. Если верить источнику, мобильные AP...

Анонс Nvidia EGX: платформа периферийных вычислений для применения ИИ в индустрии Компания Nvidia представила платформу ускоренных вычислений Nvidia EGX. Она призвана удовлетворить растущий спрос на мгновенное выполнение задач ИИ локально - там, где создаются данные, с гарантированным временем отклика, позволяя снизить объём данных, отправляемых в облако....

Пробуем улучшенный оператор instanceof в Java 14 Не за горами новая, 14-я версия Java, а значит самое время посмотреть, какие новые синтаксические возможности будет содержать эта версия Java. Одной из таких синтаксических возможностей является паттерн-матчинг по типу, который будет осуществляться посредством улучшенного (р...

Intel Tremont — совершенно новая микроархитектура для энергоэффективных процессоров Компания Intel только что представила новую процессорную микроархитектуру Tremont. Она ляжет в основу грядущих «атомных» процессоров компании, а также ядра Tremont будут частью гибридных процессоров Lakefield. Intel называет Tremont самой передовой процессо...

Большая утечка о Huawei P20 Lite 2019: "дырявый" дисплей и четыре камеры сзади В сети появилась целая серия рендеров нового смартфона Huawei P20 Lite 2019, которую дополнила утечка технических характеристик и даже стоимости пока не анонсированного гаджета. Подробнее об этом читайте на THG.ru.

EMUI 10 тёмная тема, улучшенный дизайн и многое другое Компания Huawei на конференции HDC 2019 показала новую оболочку EMUI 10. Что же там Новая версия основана на операционной системе Android 10 Q. В оболочку, наконец-то, добавили функцию Always-On Display, которая выводит на экран разные виды часов, заряд аккумулятора и уведо...

[Перевод] AMD Ryzen Matisse третьего поколения: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК AMD Ryzen Matisse третьего поколения выйдет в середине 2019 года: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК Моргните, и вы уже рискуете пропустить это событие: основной доклад AMD в этом году стал вихрем анонсов прайм-тайма для компании. Идея ясна: AMD пообещала и...

Спецификации Snapdragon 865 накануне официального анонса В начале декабря компания Qualcomm проведет мероприятие Snapdragon Tech Summit 2019, в рамках которого с большой долей вероятности представит новый флагманский чип Snapdragon 865, который станет прямым наследником Snapdragon 855 Plus. В сеть уже утекли спецификации новой од...

/e/ анонсирует Android-смартфоны, ориентированные на приватность Смарт-устройства в 2019 году стали нормой. И, к сожалению, нормой стало скрытое слежение с их помощью за владельцами. При этом обычно слежкой занимаются крупные технологические компании, вроде Google. Для тех, кто хотел бы иметь больше приватности, организация e Foundat...

И снова о макетах Всем привет! У нас тут очередные макеты были отгружены заказчику.В этот раз конструктора Заказчика отдали 3D-файлы горной техники без права передачи на сторону. Но при масштабировании, естественно, слетели размеры тонких элементов, пришлось кое-что и немало допиливать. Но в ...

Подтверждено: Nokia 9 PureView анонсируют 24 февраля HMD Global уже анонсировала специальное мероприятие, которое пройдёт 24 февраля в рамках выставки MWC 2019. И сегодня в компании официально подтвердили, что главной звездой этой презентации станет долгожданный флагманский смартфон Nokia 9 PureView. Подробнее об этом читайте ...

Завтра Huawei представит новый процессор Kirin Как вы уже наверняка знаете, заявления о том, что Huawei при производстве процессоров для своих смартфонов полностью полагается на себя, оказались неправдой. Да, ее «дочка» HiSilicon разработала часть важных компонентов для чипсетов самостоятельно, но это было сделано с исп...

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

Фотографии чипсета AMD X570 пролили свет на его происхождение А вот техпроцесс изготовления на фотографиях не разглядишь.

Представлен смартфон OUKITEL K9 с огромным 7,12-дюймовым экраном и мощным аккумулятором Компания OUKITEL представила смартфон OUKITEL K9 с невероятно большим 7,12-дюймовым экраном, производительным процессором и мощным аккумулятором. Подробнее об этом читайте на THG.ru.

Western Digital представила линейку игровых накопителей WD_BLACK Western Digital официально представила игровые накопители серии WD_BLACK. Этот новый бренд ориентирован на игровую аудиторию. Стоит отметить, что WD_BLACK отличается от классической линейки жестких дисков премиум-класса Western Digital Caviar Black. Подробнее об этом читайте...

AOC выпустила изогнутый игровой монитор Agon AG272FCX6 с частотой обновления 165 Гц Компания AOC представила новый изогнутый игровой монитор Agon AG272FCX6. Он стал модернизированной версией AG272FCX, отличаясь от него более высокой частотой обновления. Подробнее об этом читайте на THG.ru.

Google представила умные очки Glass Enterprise Edition 2 Компания Google представила миру второе поколение умных очков Glass Enterprise Edition, работа над которым велась на протяжении последних двух лет. Стоимость Google Glass Enterprise Edition 2 составляет $999. Как и в случае с HoloLens от Microsoft, Google ориентирует умные ...

Ускоряем PHP-коннекторы для Tarantool с помощью Async, Swoole и Parallel В экосистеме PHP на данный момент существует два коннектора для работы с сервером Tarantool ― это официальное расширение PECL tarantool/tarantool-php, написанное на С, и tarantool-php/client, написанный на PHP. Я являюсь автором последнего. В этой статье я хотел бы подели...

ЦП AMD Ryzen 4000-ой серии и чипсет X670 появятся в конце 2020 года Следующее поколение процессоров AMD Ryzen будет создано на базе 7 нм+ техпроцесса (архитектура Zen 3). Ожидается, что эти решения появятся на рынке вместе с новым чипсетом Х670 в конце следующего года. Специалисты предполагают, что свежие ЦП будут еще мощнее (больше ядер, в...

Роскомнадзор грозит Google новыми штрафами В прошлом месяце Google снизила фильтрацию запрещенного в России контента. И сегодня глава Роскомнадзора Александр Жаров пригрозил американской компании новым штрафом. Подробнее об этом читайте на THG.ru.

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Большая утечка о OPPO Reno: подробные характеристики и цена всех версий смартфона Анонс смартфона OPPO Reno состоится только 10 апреля. Ну а пока этого не произошло, в сети появилась большая утечка характеристик и стоимости пока не представленной новинки. Подробнее об этом читайте на THG.ru.

Представлен бюджетный смартфон Realme C2 с двойной камерой и мощной батареей Бренд Realme анонсировал новый бюджетный смартфон Realme C2. Он получил чрезвычайно доступный ценник и необычный дизайн тыльной панели. Подробнее об этом читайте на THG.ru.

be quiet! представила новый бесшумный корпусный вентилятор e quiet!, один из лидеров в производстве блоков питания для ПК, анонсировал Shadow Wings 2 White - новый бесшумный вентилятор с широкими возможностями использования моддерами в сборке дизайнерских компьютеров. Подробнее об этом читайте на THG.ru.

Toshiba Memory представила память XL-FLASH Компания Toshiba Memory официально представила новую память для систем хранения (SCM) - XL-FLASH. Новинка основана на инновационной технологии флэш-памяти BiCS FLASH 3D, в которой каждая ячейка хранит 1 бит, а также обеспечивает низкую задержку и высокую производительность д...

Представлен внешний SSD-накопитель Adata SC680 Помимо SSD-накопителя XPG SX8100, Adata также представила внешний SSD-накопитель SC680. Новинка выполнена в стильном и компактном форм-факторе для удобной переноски и имеют поддержку интерфейса USB 3.2 Gen 2 для отличной производительности чтения и записи. Подробнее об этом ...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Игровые мониторы AOC AGON 3 выходят на российский рынок Компания AOC выводит на российский рынок новые изогнутые игровые мониторы AG273QCG (Nvidia G-SYNC) и AG273QCX (AMD FreeSync 2 HDR). Новинки отличаются улучшенными характеристиками, набором новейших технологий и обновленным стильным дизайном. Подробнее об этом читайте на THG....

Разогнанная версия топового чипа готовится к выпуску Официально представленный мобильный чип Qualcomm Snapdragon 855 Plus – это улучшенная версия Snapdragon 855, которая работает с частотой 2.96 ГГц (вместо 2.84 ГГц) и предлагает оптимизированную графическую производительность за счет адаптера Adreno 840 с...

Анонс Acer ConceptD 7 Notebook: профессиональный ноутбук с Quadro RTX 5000 Acer привезла на Computex 2019 не просто новый ноутбук, а целый бренд. И Acer ConceptD 7 Notebook стал первой моделью высокопроизводительной линейки ноутбуков, ориентированной на дизайнеров, инженеров и других создателей контента. Подробнее об этом читайте на THG.ru.

Acronis True Image 2020: Новые схемы реплицирования и улучшенная защита Вышла новая версия Acronis True Image — системы защиты данных для персональных пользователей. И мы хотим рассказать о том, чем True Image 2020 отличается от True Image 2019. Всех, кому интересно, милости просим под кат. Читать дальше →

Печальные новости о Samsung Galaxy Note 10 Samsung уже давно повадилась выпускать по две версии своих флагманов для разных рынков: с процессором Snapdragon и с процессором Exynos, которые Samsung производит сама. По словам представителей издания WinFuture, версия Galaxy Note 10 с процессором от Qualcomm будет работа...

Анонс Honor Play 3e: бюджетный смартфон за $100 Бренд Honor анонсировал новый бюджетный смартфон Honor Play 3e. Новинка привлекает внимание своей доступной ценой, стартующей всего от $99 и достаточно большим объёмом встроенной памяти от 32 Гбайт в базовой конфигурации. Подробнее об этом читайте на THG.ru.

[Из песочницы] Построение сервис-ориентированной архитектуры на Rails + Kafka Привет, Хабр! Представляю вашему вниманию пост, который является текстовой адаптацией выступления Stella Cotton на RailsConf 2018 и переводом статьи «Building a Service-oriented Architecture with Rails and Kafka» автора Stella Cotton. В последнее время отчетливо виден перех...

Процессор Intel Core i9-9900KS показал свою производительность в 3DMark Процессор Intel Core i9-9900KS является специальной версией Core i9-9900K, анонс которого состоялся на Computex 2019. По словам компании, Core i9-9900KS способен поддерживать работу всех восьми ядер на частоте 0,5 ГГц. Для сравнения, данный показатель Core i9-9900K составляе...

Уже в этом году MediaTek выпустит флагманскую семинанометровую однокристальную систему с поддержкой 5G У компании MediaTek на данный момент самой производительной однокристальной системой является Helio P70, причём она очень мало отличается от Helio P60. Но ещё в декабре производитель анонсировал также Helio P90, которая должна появиться в смартфонах уже в нынешнем кварт...

Kirin 990 в Huawei Mate X подтвержден Несколько дней назад пришла информация, что компания модернизировала складной Huawei Mate X, сменив Kirin 980 на Kirin 990. Сегодня руководитель подразделения Huawei в Канаде Янминг Ван подтвердил изданию Techradar, что коммерческий вариант гибкого мобильника Huawei действит...

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

[Перевод] Выпускаем Predator — предкомпилированные Data-репозитории Сегодня, команда Micronaut в Object Computing Inc (OCI) представила Predator, новый проект с открытым исходным кодом, цель которого — значительно улучшить время выполнения и производительность (по памяти) доступа к данным для микросервисов и serverless-приложений, при этом ...

Архитектура AMD Zen — это надолго. Компания уже работает над Zen 5 Как известно, в следующем году компания AMD выпустит процессоры Ryzen и Epyc, основанные на архитектуре Zen 3. Такие CPU будут производиться по улучшенному семинанометровому техпроцессу и сохранят имеющееся сейчас количество ядер. За Zen 3 выйдет Zen 4, а затем и Zen 5....

Смартфон Vivo NEX 3 официально представлен в России Vivo официально представила в России смартфон нового поколения Vivo NEX 3. Новинка оснащена последними инновационными технологиями, большим безрамочным дисплеем Waterfall FullView и тройной камерой. Подробнее об этом читайте на THG.ru.

Intel i9 10920X значительно обошёл AMD Threadripper 2920X в тестах на производительность Ноябрь может ознаменоваться противостоянием между процессорами AMD Threadripper 3000 и Intel Cascade Lake-X. Незадолго до анонса Core-X HEDT в сети начинает появляться всё больше утечек. В частности, сегодняшняя касается 12-ядерного Intel i9 10920X. Подробнее об этом читайте...

Thermalright представила новый процессорный кулер AXP-90 Full Copper с медным радиатором Компания Thermalright официально представила новый процессорный кулер AXP-90 Full Copper. Новинка стала младшей версией AXP-100 Full Copper и рассчитана на использование в небольших компьютерных системах. Подробнее об этом читайте на THG.ru.

IBM представила улучшенный квантовый компьютер с 53 кубитами Компания IBM представила улучшенный квантовый компьютер с 53 кубитами по сравнению со всего лишь 20 кубитами у предшественника. Новинка будет запущена в октябре и станет крупнейшим коммерческим квантовым компьютером в мире. Подробнее об этом читайте на THG.ru.

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Селфи-смартфон Redmi Y3 официально получит мощный 4000 мАч аккумулятор Мы уже знаем, что 24 апреля бренд Redmi представит новый селфи-смартфон Redmi Y3 с мощной фронтальной 32 Мп камерой. Ну а пока до анонса этого аппарата остаётся ещё немного времени, Redmi продолжает публиковать всё новые тизеры, описывающие самые сильные стороны новинки. Под...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)