Социальные сети Рунета
Четверг, 25 апреля 2024

Samsung начинает массовое производство накопителя eUFS 3.0 емкостью 512 Гб Компания Samsung Electronics объявила о начале массового производства встраиваемого накопителя Universal Flash …

Samsung начинает массовое производство первой флэш-памяти объемом 1 ТБ Компания Samsung объявила о начале массового производства первой флэш-памяти eUFS (embedded Universal Flash Storage) 2.1 емкостью 1 ТБ для мобильных устройств следующего поколения. ***

Samsung начинает массовое производство 12 ГБ оперативной памяти LPDDR4X для смартфонов Компания Samsung уже представила смартфоны Galaxy S10+ и Galaxy Fold с 12 ГБ оперативной памяти в прошлом месяце. Сегодня она объявила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ.  ***

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Yangtze Memory начинает массовое производство 64-слойной памяти 3D NAND Китайская государственная полупроводниковая компания Yangtze Memory Technologies (YMTC), основанная в 2016 году, заявила о начале массового производства 64-слойной флэш-памяти.

Samsung начала массовое производство оперативной памяти LPDDR4X на 12 Гбайт В прошлом месяце Samsung представила новые флагманы с объемом оперативной памяти 12 Гбайт. Сегодня компания объявила о старте массового производства оперативной памяти LPDDR4X объемом 12 Гбайт для премиальных смартфонов. Подробнее об этом читайте на THG.ru.

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Samsung начинает массовое производство памяти MRAM Вслед за компанией Intel о начале массового внедрения магниторезистивной памяти MRAM объявил еще один гигант индустрии — Samsung Electronics. Речь идет о микросхемах eMRAM для различных встраиваемых систем, микроконтроллеров, устройств «интернета вещей» и.....

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

Yangtze Memory начала массовое производство 64-слойных микросхем 3D NAND Китай стремится к технологической независимости, вкладывая значительные средства в полупроводниковую промышленность, что позволит уменьшить зависимость от иностранного импорта и технологий. Для достижения этой цели в 2016 году была создана компания Yangtze Memory Technologie...

TSMC приступила к массовому производству по технологии 7 нм+ Компания TSMC заявила, что начала массовое производство микросхем по технологии 7 нм+ (N7+), и уже достигла одинакового темпа выпуска пластин по сравнению с оригинальным процессом 7 нм (N7).

SK Hynix приступает к массовому производству 128-слойной 4D NAND Компания SK Hynix начала массовое производство первой в мире 128-слойной памяти типа 4D NAND.

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Foxconn подтвердила предстоящий запуск массового производства iPhone в Индии Foxconn вскоре запустит массовое производство смартфонов iPhone в Индии. Об этом объявил глава компании Терри Гоу (Terry Gou), развеяв опасения по поводу того, что Foxconn предпочтёт Индии Китай, где она ведёт строительство новых производственных линий.

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

Samsung начала массовое производство микросхем памяти LPDDR5 DRAM плотностью 12 Гбит для флагманских смартфонов Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о начале массового выпуска первых в отрасли микросхем памяти LPDDR5 DRAM плотностью 12 Гбит. Новая оперативная память производится по технологии 10-нанометрового класса второго поколения (1y нм). Она пре...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Samsung запускает в массовое производство мобильного чипа памяти на 12 ГБ Компания Samsung объявила о начале массового производства чипа LPDDR4X DRAM емкостью 12 ГБ для смартфонов, который дает возможность предлагать смартфонам больше оперативной памяти, чем многие ультратонкие ноутбуки. Чип емкостью 12 ГБ имеет толщину 1,1 мм и позволяет про...

Samsung начинает массовое производство памяти LPDDR4X uMCP емкостью 12 ГБ Компания Samsung Electronics, объявила о начале массового производства первого в отрасли многочипового пакета на базе UFS (uMCP) с 12-гигабайтной (ГБ) и двойной скоростью передачи данных 4X (LPDDR4X) с низким энергопотреблением. Samsung представляет решение uMCP-модулей на...

Samsung начала массовое производство модемов 5G, а Apple собрала команду из более 1000 инженеров для разработки собственного 5G-модема Компания Samsung заявила о начале массового производства собственных чипов для обеспечения поддержки связи 5G. Речь идёт о многорежимном чипсете Exynos Modem 5100, который используется в смартфоне Galaxy S10 5G. Чип Samsung Exynos Modem 5100 был впервые анонсирован в августе...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

Intel готова к массовому производству энергонезависимой памяти MRAM Согласно отчету издания EETimes, компания Intel готова начать массовое производство магниторезистивной оперативной памяти SST-MRAM. Благодаря высокой стойкости и высокой прочности, STT-MRAM может стать будущей универсальной альтернативой традиционным DRAM и NAND. MRAM…

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

TSMC начнет производство 5-нм чипов в марте 2020 года Ранее в 2019 году компания приступила к массовому производству микросхем по технологическому процессу 7 нм+ второго поколения.

Samsung выпускает оперативную память LPDDR5 на 12 ГБит Samsung Electronics объявила о начале массового производства первой в отрасли 12-гигабитной мобильной DRAM-памяти LPDDR5, оптимизированной для поддержки функций 5G и AI. Помимо этого, в конце текущего месяца, южнокорейский производитель планирует начать массовое производство...

TSMC начинает массовое 7 нм EUV производство Компания TSMC продолжает расширение производства блинов по 7 нм нормам. Промышленные источники сообщают, что уже в марте компания начнёт выпуск микросхем по усовершенствованному 7 нм EUV процессу.

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

Массовое производство чипа Kirin 985 от Huawei начнется во втором квартале 2019 года В прошлом году компании Hisilicon, которая принадлежит Huawei, удалось опередить всех своих конкурентов, выпустив первый в мире 7-нм процессор Kirin 980. ***

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Samsung представила память eUFS ёмкостью 1 ТБ для Galaxy S10 Plus Компания Samsung объявила о начале массового производства встроенного чипа Universal Flash Storage (UFS 2.1) или eUFS ёмкостью до 1 ТБ. Чип предоставит владельцам смартфонов «ёмкость, сопоставимую с ноутбуком премиум-класса». Чип eUFS ёмкостью 1 ТБ имеет тот же размер…

Процессоры A13 готовы к серийному производству TSMC, основной производитель чипов для Apple, готов запустить массовое производство процессоров следующего поколения A13 для нового модельного ряда iPhone.

Революция близко: Xiaomi уже занимается массовым производством 100-Ваттных зарядок Недавно компания Xiaomi опубликовала ролик, где продемонстрировала зарядное устройство мощностью 100 Ватт, с помощью которого аккуулятор емкостью 4000 мАч можно зарядть до 100% за 17 минут. На тот момент подробностей о том, предназначена ли эта технология для массового рынк...

Samsung начала массовое производство чипсетов 5G Samsung Electronics объявил, что его коммуникационные решения 5G находятся в массовом производстве для новейших мобильных устройств премиум-класса. Коммуникационные решения 5G включают в себя Exynos Modem 5100, Exynos RF 5500 (новый однокристальный радиочастотный прием...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

Стартовало массовое производство SoC Snapdragon 855 для флагманских смартфонов Тайваньская компания TSMC (Taiwan Semiconductor Manufacturing Company) приступила к массовому производству флагманской однокристальной системы Qualcomm 2019 года — Snapdragon 855.  Вскоре ожидается большое количество флагманских смартфонов и TSMC должна подг...

Samsung начала выпуск 12-гигабитных микросхем памяти LPDDR5 Samsung объявила о начале массового производства микросхем оперативной памяти стандарта LPDDR5 ёмкостью 12 Гбит (1,5 ГБ), предназначенных для смартфонов и других мобильных устройств. Чипы изготавливаются по технологическим нормам 10-нм класса и обеспечивают скорость...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Samsung начнёт выпускать смартфоны с 1000 ГБ на внутренней памяти Компания Samsung Electronics объявила о начале массового производства флеш-памяти типа eUFS 2.1 объёмом в 1 ТБ для смартфонов . Это первый в мире модуль такого типа и такой ёмкости.

Samsung начинает массовое производство uMCP-модулей памяти LPDDR4X объёмом 12 Гбайт Сегодня Samsung объявила, что начала массовое производство первых в отрасли uMCP-модулей памяти LPDDR4X объёмом 12 Гбайт. Данное заявление было сделано в рамках ежегодного мероприятия Samsung Tech Day, которое прошло в Сан-Хосе (Америка, Калифорния). Подробнее об этом читайт...

Yangtze Memory наладила массовый выпуск 64-слойных микросхем 3D NAND Китайский чипмейкер Yangtze Memory Technologies Company (YMTC) приступил к массовому производству 64-слойных микросхем памяти 3D NAND TLC вместимостью 256 Гбит. Об этом сегодня сообщило тайваньское веб-издание DigiTimes, ссылаясь на отраслевые источники. В новых...

TSMC развернёт массовое производство 5-нм продуктов в марте 2020 года Второе поколение 7-нм продуктов уже попало на конвейер.

Samsung начала выпуск скоростной памяти eUFS 3.0 объёмом 512 ГБ Мировой лидер в области передовых технологий памяти Samsung Electronics объявил о начале массового производства первой в отрасли встроенной универсальной флэш-памяти eUFS 3.0 емкостью 512 ГБ для мобильных устройств следующего поколения. В соответствии с новейшей…

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

SK Hynix начала массовое производство 128-слойной памяти 3D NAND TLC SK Hynix в официальном пресс-релизе сообщила о запуске масштабного производства 128-слойных микросхем флэш-памяти типа 3D NAND TLC ёмкостью 1 Тбит (128 ГБ). В маркетинговых материалах данная память называется 4D NAND, что на самом...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Производство Apple A13 для новых iPhone начнётся во 2 квартале TSMC уже разрабатывает чипсеты для устройств Apple, а массовое производство A13 начнётся во втором квартале этого года.

Стартовало массовое производство экранов для смартфона Samsung Galaxy Fold Компания Samsung Display приступила к массовому производству экранов для гибкого смартфона Galaxy Fold. Генеральный директор компании 9 апреля провёл мероприятие с целью отметить старт производства. На мероприятии присутствовало около 100 руководителей Samsung различны...

OnePlus 7 запущен в массовое производство, к старту продаж обещано более миллиона смартфонов Смартфон OnePlus 7 ждут многие — он, как и предшественники, должен обеспечить идеальный баланс цены, характеристик и возможностей. Понимая это, в компании рассчитывают создать достаточный объем складских запасов — чтобы не повторилась ситуация с Xiaomi Mi 9....

Apple запускает массовое производство iPad 7, сборка 16-дюймового MacBook Pro начнется в конце года Тайваньская компания Radiant Opto-Electronics, поставщик модулей подсветки для устройств Apple, раскрыла данные, о которых в американской компании предпочли бы молчать. Теперь, благодаря азиатской фирме, мы знаем, что массовое производство iPad нового поколения стартует...

В декабре TSMC поделится успехами в освоении 5-нм технологии Массовое производство будет налажено уже во втором квартале.

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Apple уже начала производство процессоров A13 Уже начато производство процессоров для нового семейства iPhone, которые выйдут осенью этого года. Это однокристальная платформа Apple A13, выполненная по 7-нанометровому техпроцессу. Производством занимается компания TSMC (Taiwan Semiconductor Manufacturing). Пока нача...

Boston Dynamics раскрыла дату начала массового производства роботов-собак Представители Boston Dynamics рассказали о планах компании на конференции TechCrunch Sessions: Robotics + AI. Выяснилось, что начало производства четвероногих роботов SpotMini для массового потребителя запланировано на лето.

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

Наконец-то стартовало производство беспроводной зарядки Apple AirPower Apple анонсировала беспроводную зарядку AirPower в сентябре 2017 года, и с тех пор в Сети регулярно появлялись слухи о том, что выпуск зарядки откладывается. И тому называли массу причин. Но, судя по всему, все проблемы с AirPower уже решены, и зарядное устройство готов...

Samsung начинает массовое производство 12 Гб памяти LPDDR5 Переход на мобильную связь пятого поколения требует от устройств всё больших объёмов памяти.

Массовое производство процессора Kirin 985 начнется в 3 квартале 2019 года Компания Huawei представила чипсет Kirin 980 на международной выставке технологий IFA 2018 в качестве первого 7-нм процессора в мире. ***

Nikon будет выпускать лидары Velodyne Lidar Компания Velodyne Lidar объявила о соглашении с компанией Nikon, в соответствии с которым японский производитель будет серийно выпускать лидары, созданные специалистами Velodyne. Выпуск должен начаться во втором полугодии. «Массовое производство наших выс...

Теперь и у недорогих смартфонов будет по 12 ГБ ОЗУ Компания Samsung объявила о том, что приступила к массовому производству первой в отрасли 12-гигабайтной мультичиповой упаковки памяти LPDDR4X. Компания называет такие решения uMCP.

Samsung разработала первые в отрасли чипы DDR4 на базе технологии 10-нм класса третьего поколения Компания Samsung заявила, что она первой в отрасли смогла разработать чипы памяти Double Data Rate 4 (DDR4) ёмкостью 8 Гбит на базе производственной технологии 10-нанометрового класса третьего поколения. При этом отмечается, что прошло всего лишь 16 месяцев с момента начала ...

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

Huawei Mate 30 с HongMeng OS или Ark OS представят 22 сентября Ожидается, что линейка флагманских телефонов Huawei Mate 30 и Mate 30 Pro будет представлена осенью этого года. Свежая информация, которой поделился в Twitter источник, указывает на то, линейка Mate 30 будет построена на однокристальной системе Kirin и работать под упра...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Samsung выпускает SSD накопитель с флэш-памятью V-NAND шестого поколения Samsung Electronics объявила о начале массового производства твердотельных накопителей с интерфейсом SATA ёмкостью 250 Гбайт, в которых используется флэш-память V-NAND шестого поколения. Подробнее об этом читайте на THG.ru.

Производители NAND внедряют 120/128-слойную память 3D NAND По информации DigiTimes многие производители стали ускорять переход своих производств на выпуск 120/128-слойной памяти 3D NAND, чтобы начать её массовое производство в 2020 году.

[Перевод] Смартфоны Samsung в скором времени получат 1 ТБ внутренней памяти Надоела нехватка дискового пространства на вашем телефоне, заполненном приложениями, фотографиями и видео? Когда-нибудь в ближайшем будущем смартфоны будет поставляться с более чем одним терабайтом внутренней памяти которая будет работать в 10 раз быстрее, чем SD-карточка. ...

Apple представит свои очки дополненной реальности в 2020 году Как сообщают информированные аналитики, компания Apple планирует представить свои долгожданные очки дополненной реальности уже во втором календарном квартале следующего года. Массовое производство нов...

Samsung Display потратит на модернизацию производства 11 миллиардов долларов Компания столкнулась с перепроизводством ЖК-панелей из-за снижения спроса на телевизоры и смартфоны и переходом крупнейших заказчиков на OLED-панели. Компания Samsung Display до 2025 года планирует вложить в разработки технологий изготовления дисплеев и модернизацию произ...

Samsung планирует начать массовое производство 3-нм чипов в 2021 году Южнокорейская корпорация Samsung поделилась планами начать серийное производство 3-нм полупроводниковой продукции с новым типом транзисторов GAAFET (gate-all-around FET) в 2021 году. Технологию GAAFET разрабатывается Samsung и другими компаниями с начала 2000 годов. Она...

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

Intel начала отгружать 10-нанометровые процессоры Ice Lake производителям готовых устройств с опережением графика Во время подведения итогов работы во втором квартале текущего года представители Intel заявили, что компания уже начала отгружать 10-нанометровые процессоры Ice Lake производителям готовых устройств — ноутбуков. Причем случилось это еще во втором квартале — ...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Samsung выпустила шестое поколение флэш-памяти 3D V-NAND со 136 слоями Корпорация Samsung Electronics отчиталась об успешном запуске в производство 136-слойных микросхем флэш-памяти TLC 3D V-NAND шестого поколения. Говорится о массовом производстве 256-гигабитных (32 ГБ) чипов. Также до конца этого года с конвейера начнут...

Президент Xiaomi заверил, что компания усердно работает над массовым производством продуктов со 100-ваттной зарядкой В марте этого года Xiaomi анонсировала технологию быстрой зарядки Super Charge Turbo, которая имеет максимальную мощность 100 Вт. Компания заявила, что данная технология позволит заряжать смартфон с аккумулятором емкостью 4000 мА•ч до 100% за 17 минут, как показано...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

Carbon развивает скоростную 3D-печать с прицелом на массовое аддитивное производство Компания Carbon анонсировала новую модель скоростного стереолитографического 3D-принтера промышленного класса по фирменной технологии CLIP, обеспечивающей возможность беспрерывной фотополимерной 3D-печати с высокой производительностью. Системы Carbon L2 рассматриваются компа...

Foxconn начала подготовку к массовому производству новых iPhone Если верить недавним слухам, то официальный анонс нового поколения iPhone состоится 10 сентября. Во второй половине месяца Apple должна начать продавать свои новинки. Сейчас же партнеры яблочной компании готовятся к старту производства новых моделей. Например, компания Foxco...

TSMC скоро начнет массовое производство 7-нм чипсета Snapdragon 855 Поскольку тайваньский производитель микросхем MediaTek уже вышел из рынка высокопроизводительных чипсетов, ожидается, что в этом сегменте будут доминировать флагманские телефоны, работающие на новейшем процессоре Snapdragon 855 компании Qualcomm. ***

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Samsung объявила о начале массового производства многорежимных чипсетов 5G Компания Samsung объявила о начале массового производства многорежимных чипсетов 5G. Они включают в себя ранее представленный Exynos Modem 5100, новый однокристальный радиочастотный приемопередатчик Exynos RF 5500 и модулятор питания Exynos SM 5800. Samsung заявляет, что ...

Ученые обнаружили массовое вымирание, которое изменило мир животных на Земле Группа ученых объявила о том, что на Земле произошло ранее недооцененное серьезное массового вымирание живых существ. Произошло оно 260 млн лет назад, в результате чего теперь считается, что общее количество массовых вымираний на нашей планете произошло шесть раз.

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

iPhone в 2020 году получат 5-нм процессоры Тайваньская компания по производству полупроводников (TSMC) объявила о создании инфраструктуры для 5-нм техпроцесса, которая уже находится в стадии предварительного производства. Компания планирует инвестировать $25 миллиардов в объём производства к 2020 году. Микросхемы, в…

Toshiba нацелилась на конкуренцию с 3D XPoint Компания Toshiba анонсирует старт массового производства памяти XL-Flash уже в 2020 году.

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Redmi, а не Xiaomi первой предложит смартфон с поддержкой зарядки мощностью 100 Вт На вчерашний неформальный анонс технологии быстрой зарядки Xiaomi Super Charge Turbo, обеспечивающей передачу до 100 Вт мощности, отреагировал глава бренда Redmi Лю Вейбинг (Lu Weibing). Причем его сообщение в соцсети Weibo сложно трактовать неоднозначно. Дословно сооб...

Intel анонсировала свои первые массовые 10-нанометровые процессоры Ice Lake – они предназначены для ноутбуков Сегодня на специальном мероприятии в рамках выставки CES 2019 компания Intel официально анонсировала свои первые массовые CPU, произведенные по техпроцессу 10 нм. Правда, новинки именно анонсированы – массовое производство их стартует позже в этом году (вероятно, ...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

Samsung начинает массовое производство чипов памяти eUFS 3.0 ёмкостью 512 ГБ Samsung начала массовое производство eUFS 3.0 объемом 512 ГБ, который состоит из восьми 512 Гбит V-NAND кристаллов пятого поколения с интегрированным высокопроизводительным контроллером.Он может похвастаться последовательной скоростью чтения 2100 МБ/с и скоростью записи 410 ...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

SK Hynix хочет производить 3D-NAND с 800 слоями На саммите по флэш-памяти в Санта-Кларе, корейский производитель SK Hynix представил новые продукты и объявил о планах на будущее. В настоящее время SK Hynix работает над 128-слойным 3D-nand, и его массовое производство должно начаться в четвертом квартале этого года. Компан...

SK Hynix начнёт массовое производство памяти типа HBM2E в 2020 году Кому-нибудь она да пригодится.

Micron: за последний квартал поставки чипов 3D NAND QLC почти удвоились Micron Technology была одной из первых компаний, которая начала массовое производство и поставки памяти 3D NAND с четырьмя битами на ячейку (QLC). Неудивительно, что в настоящее время Micron входит в число ведущих поставщиков...

Следующее поколение смартфонов Samsung получит 1 ТБ встроенной памяти Компания Samsung Electronics начинает массовое производство первого в отрасли встроенного модуля флеш-памяти Embedded Universal Flash Storage 2.1 (eUFS) емкостью 1 ТБ, предназначенного для использования в мобильных устройствах следующего поколения.

«Рикор» запустил производство СХД в России Российский инновационный холдинг «Рикор» объявил об окончании разработки и начале производства опытной партии …

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Массовое 14-нм производство чипов в Китае стартует в первой половине года Мы строили, строили...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

General Motors подтвердила отказ от гибридов в пользу электромобилей Компания General Motors (GM) подтвердила принятое решение отказаться от разработки и выпуска гибридов, чтобы полностью сосредоточиться на производстве электромобилей. В рамках своей новой инициативы по переходу на электрическую тягу, объявленной в прошлом месяце, GM...

Китайская компания ChangXin Memory Technologies приступила к массовому производству DRAM-памяти Конкуренция обостряется, цены падают, покупатели счастливы

Yangtze Memory начинает массовое производство 64-слойной 3D NAND Flash памяти Yangtze Memory Technologies (YMTC), китайская государственная полупроводниковая компания, основанная в 2016 году в рамках усилий правительства Китая по технологической независимости, начала массовое производство 64-слойных чипов флэш-памяти 3D NAND со скоростью от 100 000 до...

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Начало производства компьютерных материнских плат в России. производство Российских материнских плат формата MicroATX на архитектуре процессоров INTEL

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

К концу 2020 года Китай будет выпускать до 4 % микросхем на мировом рынке памяти Японское издание Nikkei изучило возможное влияние зарождающегося в Китае национального производства памяти NAND и DRAM на мировой рынок. Немногочисленным китайским компаниям ещё предстоит преодолеть много препятствий на пути к производству массовой памяти, но даже сейчас на ...

TSMC ускоряет переход на 5 нм Компания TSMC приступила к рисковому производству чипов по 5 нм нормам. К массовому производству эта технология будет доступна в первой половине 2020 года.

Преемник eFlash готов. Samsung Electronics начинает коммерческую поставку eMRAM Компания Samsung Electronics объявила о начале массового производства своего первого коммерческого продукта со встроенной магнитной памятью с произвольной выборкой (eMRAM) на основе 28-нанометрового технологического процесса 28FDS, построенного на использовании полность...

Телевизоры 8K с платформой MediaTek не подходе Летом компания MediaTek представила S900 — первую 12-нанометровую однокристальную систему для телевизоров 8K. В конфигурацию платформы входит многоядерный процессор с ядрами Cortex-A73 и GPU Mali-G52. Решение поддерживает HDMI 2.1A и HDR10+ и способно выводить кар...

Sharp перемещает производство из Китая вслед за другими поставщиками Apple Sharp стала одним из последних поставщиков Apple, который объявил о планах вывести часть производства продукции из Китая в связи с продолжающейся торговой войной между Вашингтоном и Пекином. REUTERS/Toru Hanai Генеральный директор Apple Тим Кук (Tim Cook) в ходе недавнего кв...

Intel готовится к массовому производству 5G-модемов Корпорация Intel начнёт работать над инженерными проектами в рамках организации массового производства 5G-модемов уже в следующем квартале. По крайней мере, об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Изображения Intel В конце прошлого года, напомним...

Intel начнёт массовое производство 7-нм продуктов только в 2022 году В 2021 году будет "генеральная репетиция".

Компания Open Bionics предлагает бионические протезы киборгов и известных героев Компания Open Bionics объявила о готовности к массовому производству новой модели бионических протезов руки «Hero Arm» (Рука героя). Ставка сделана на рынок США, где они будут реализовываться в сотрудничестве с клиникой Hanger. Ранее такие протезы создавались на заказ и толь...

Intel готова начать массовое производство память MRAM Компания Intel показала, что она может вывести на рынок совершенно новый продукт. Накопители Optane уже достаточно распространены, хотя речи о замене обычных SSD, конечно, не идёт. Как сообщает источник, Intel уже готова к массовому производству ещё одного нового проду...

Куо: Apple может выпустить AR-гарнитуру во 2 квартале 2020 года Согласно новому отчёту известного аналитика Минг-Чи Куо (Ming-Chi Kuo), в 4 квартале текущего года Apple запускает массовое производство гарнитуры дополненной реальности, а в продаже устройство появится как раз к началу 2020 года. Подробнее об этом читайте на THG.ru.

OneWeb привлекла $1,25 млрд инвестиций для массового производства спутников В раунде финансирования, о котором было объявлено 18 марта 2019 года, участвовали SoftBank, Qualcomm, правительство Руанды, а также мексиканская группа компаний Grupo Salinas. В число других инвесторов также вошли Intelsat, Bharti Enterprises и Virgin Group."Этот раунд ...

Маск пообещал инвесторам начать массовое производство Model Y в следующем году Массовое производство электрического кроссовера Tesla Model Y, о котором глава американской компании Илон Маск впервые рассказал еще в 2015 году, начнется к концу 2020 года, говорится в корпоративном письме, разосланном акционерам компании на этой неделе в среду, сообщает п...

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

За год Intel увеличила производство 14-нм пластин на 25% В середине прошлого года Intel столкнулась с дефицитом собственных процессоров для серверного и массового рынка. С тех пор руководство корпорации ищет пути решения данной проблемы, но последствия дефицита проявляются и сегодня. Корпорация уже...

Смартфоны Samsung получат 1 ТБ памяти! Корейская компания уже приступила к массовому производству модулей памяти eUFS соответствующего объема. Причем микросхемы имеют точно такие же габариты, как и их предшественники емкостью 512 ГБ – 11,5 х 13 мм.

Массовое производство чипсета MediaTek S900 MediaTek анонсировал свой интеллектуальный чипсет, названный S900. Его особенностью является возможность декодировать 8K видео. Массовое производство чипа уже началось на литейных заводах TSMC, которые также являются производителем для таких компаний, как Apple, Qualcomm и N...

Apple запускает производство флагманских iPhone в Индии Apple уже производит в Индии «бюджетные» смартфоны iPhone 6S, SE и iPhone 7, а в скором времени к ним присоединяться и флагманские модели iPhone X и XS. Как пишет источник, партнер Apple, компания Foxconn, запланировала приступить к опытному производству эти...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

AMD представила первый игровой 16-ядерный процессор На выставке E3 2019 компания AMD официально представила Ryzen 9 3950X. По словам производителя, это первый в мире 16-ядерный игровой процессор, предназначенный для массового рынка.

Tesla обошла BMW, General Motors и Daimler и вошла в Топ-3 самых дорогих автопроизводителей Илону Маску (Elon Mask) совсем не обязательно пользоваться Twitter, чтобы подстегнуть интерес инвесторов к своей компании Tesla. На этот раз ему достаточно было лишь объявить о строительстве завода в Германии. На фоне этой новости акции Tesla взлетели, причем настолько,...

Energizer Power Max P18K с аккумулятором на 18 000 мАч не поступит в массовое производство Energizer Power Max P18K был одним из самых обсуждаемых продуктов на MWC 2019, во многом благодаря его невероятной емкости батареи на 18 000 мАч и здоровенному 22-миллиметровому профилю. Телефон появился на краудфандинговом сервисе IndieGoGo, который используется для сб...

Умные часы Apple Watch с экраном microLED могут выйти в следующем году Источник сообщает, что компания Apple ведёт переговоры с тайваньским производителем дисплеев относительно поставок экранов microLED для будущих умных часов. Сейчас, напомним, Apple Watch используют экраны OLED производства LG. Массовое производство необходимого ...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Китай запускает производство полноценного электромобиля Ora R1 ценой 9000 долларов Китайский автопроизводитель компания Great Wall Motors объявила о начале серийного производства малолитражного электромобиля Ora R1 с дальностью пробега до 310 км. Выпуск новинки субсидируется государством, что наверняка сделает ее доступной для миллионов потенциальных владе...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Samsung будет выпускать для Intel процессоры Rocket Lake Не секрет, что компания Intel до сих пор полностью не справилась с дефицитом своих процессоров. В конце прошлого года Intel пришлось в связи с этой ситуацией отдать на аутсорсинг производство чипсетов — этим занялась TSMC. Также ходили слухи, что TSMC может начать...

Производство легендарных тамагочи возобновится Японская компания Bandai объявила о возобновлении производства тамагочи

Гендиректор Meizu заявил, что смартфон Zero не должен был попасть в массовое производство Мы уже несколько раз рассказывали о провале краудфандинговой кампании Meizu по сбору средств на смартфон Meizu Zero без кнопок и отверстий. ***

OneWeb привлекла $1,25 млрд на массовое производство спутников для покрытия Земли интернетом Компания запустила свои первые спутники в космос в феврале 2019 года.

Анонс 12 Гб оперативной памяти от Samsung Компания Samsung Electronics официально приступила к массовому производству первого в мире мультичипового пакета UFS с двойным объемом данных 12 ГБ. UMCP (Multi-Chip Package) сочетает в себе хранилище UFS 3.0 с 12 ГБ оперативной памяти LPDDRX4 и будет работать на смарт...

iPhone 2020 может получить гибкий дисплей от LG   Apple заинтересована в сотрудничестве с корейской компанией. Корейский гигант LG начинает массовое производство гибких OLED-дисплеев уже в этом году. По слухам, Apple заинтересована в том, чтобы заключить сделку на поставку таких панелей. Купертиновцы могут испо...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

Huawei начала пробное производство 5-нм SoC Kirin 1000 Компания Huawei уже начала пробный этап производства новых флагманских чипов. По предварительным данным, данные SoC получат название Kirin 1000 и будут построены по 5-нм техпроцессу. Ожидается, что первыми смартфонами на базе этих процессоров окажутся флагманские Huawei Mate...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Huawei Mate X уже готов к продажам Huawei уже начала массовое производство Mate X. В продажу смартфон должен поступить к концу октября. Но смартфон появится в Китае и ограниченным тиражом. В сети появилось видео распаковки Huawei Mate X. Также в комплекте идет необычный футляр для складного смартфона вместо...

AMD поручила ASMedia разработку массовых чипсетов 500-й серии Этим летом Advanced Micro Devices планирует вывести на рынок третье поколение центральных процессоров Ryzen, несущих с собой поддержку PCI Express 4.0 в массовый настольный сегмент. Вместе с ними в продажу поступят новые системные...

Huawei начинает пробное производство 5-нм процессора Kirin 1000 Новейшими процессорами компании Huawei являются Kirin 990 и Kirin 990 5G. На данный момент они установлены внутри флагманов Mate 30/Mate 30 Pro и их 5G версий. ***

SSD с флэш-памятью V-NAND шестого поколения от Samsung Samsung Electronics объявила о начале массового производства твердотельных накопителей с интерфейсом SATA ёмкостью 250 Гбайт, в которых используется флэш-память V-NAND шестого поколения. V-NAND от Samsung шестого поколения отличается самой высокой в отрасли скоростью перед...

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

Новый эксперимент в России: облачный планшет вместо школьных тетрадей На первом этапе этот продукт будет экспериментальным, а по результатам тестирования будет принято решение, запускать его массовое производство или нет.

Galaxy Note10 получит камеру с 5-кратным зумом Камера для смартфона уже находится на стадии массового производства

Samsung приступила к массовому производству 5G-модемов Модем Exynos 5100 стал доступен для лицензирования

У Adata готов модуль DDR4 DIMM обычной высоты объемом 32 ГБ В прошлом году компания Asus отклонилась от стандартов, чтобы увеличить емкость модулей памяти DDR4 DIMM, воспользовавшись возможностями чипсета Intel Z390. Она предложила модели Double Capacity DIMM или DC DIMM, которые отличались большим числом микросхем DRAM, для раз...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Xiaomi и Samsung представили 108-мегапиксельную матрицу Не так давно компания Xiaomi объявила о партнерстве с Samsung и разработала первый датчик изображения, который достигнет трехзначной отметки в мегапикселях. 108-мегапиксельная матрица ISOCELL Bright HMX была представлена ​​Samsung чуть более чем через три месяца после того, ...

Смартфон Energizer с аккумулятором на 18 000 мАч не выйдет Впервые смартфон Energizer Power Max P18K Pop с огромной батареей ёмкостью 18 000 мАч показали на MWC 2019. Тогда компания Avenir Telecom, лицензирующая торговую марку Energizer, планировала запустить устройство в массовое производство до конца лета текущего года. После…

Названы сроки выхода первого российского электромобиля Глава Минпромторга России сообщил, что сертификация первого российского серийного электромобиля Zetta находится на финальном этапе. Скоро начнётся массовое производство машины.

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Intel официально отказывается от Itanium Без лишнего шума компания Intel сняла с производства процессор Itanium.

Китайская компания BOE начала серийное производство micro-OLED панелей По сообщениям сетевых источников, китайская компания BOE в октябре этого года приступила к серийному производству панелей по технологии micro-OLED. Производство развёрнуто в городе Куньмин, который находится на территории провинции Юньнань. В сообщении говорится, что в насто...

Samsung сертифицировала инструменты для 5-нм производства Компания готовится к производству 5-нм процессоров

Samsung начала массовое производство первых в отрасли чипов памяти eUFS 3.0 ёмкостью 512 ГБ со скоростью чтения до 2100 МБ/с Грядущие смартфоны флагманского уровня будут обеспечивать скорость чтения и записи информации, сопоставимую с возможностями накопителей ноутбуков. Это станет возможным благодаря новым чипам памяти, которые подготовила к выпуску компания Samsung. Как отмечает Samsung, анонсир...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Sony прекращает производство PS Vita Игровая консоль PlayStation Vita официально мертва. Компания Sony сообщила о прекращении производства игровых консолей, последних двух моделей Vita.

Samsung Galaxy S10 поступил в массовое производство Вот уже как много лет компания Samsung занимается выпуском на рынок мобильных устройств топового уровня, причем даже дольше, чем подавляющее большинство других брендов. Такое положение дел ставит ее в более выигрышное положение, ведь у нее Сообщение Samsung Galaxy S10 посту...

MSI работает над платой Creator TRX40 для чипов Ryzen Threadripper 3000 В следующем месяце компания AMD официально представит третье поколение процессоров Ryzen Threadripper. Подобно CPU Ryzen 3000 для массовой платформы AM4, новинки базируются на 7-нм микроархитектуре Zen 2 и несут поддержку интерфейса PCI Express...

Samsung увеличила скорость работы накопителей в смартфонах Samsung Electronics объявила о начале массового производства первого в отрасли встраиваемого накопителя Universal Flash Storage (eUFS) 3.0 емкостью 512 ГБ для мобильных устройств нового поколения. В соответствии с требованиями спецификаций eUFS 3.0, представленное ре...

Tesla уволит 7% сотрудников, пытаясь повысить прибыльность Tesla долго находилась в положении «сжигателя денег» (cash burn), пытаясь решить проблему с массовым производством седана Model 3. Теперь, когда основные технические проблемы решены, компания обратила внимание на свое финансовое положение.

TCL вскоре начнет массовое производство гибких OLED-дисплеев На февральской выставке MWC 2019 китайская компания TCL Group, являющаяся обладательницей брендов BlackBerry и Alcatel, продемонстрировала несколько моделей складных смартфонов с гибкими дисплеями, которые будут выпущены уже 2020 году. Сейчас, спустя 3 месяца, на заводе TCL…

Samsung приступила к массовому производству смартфонов Galaxy S10 Мы пока еще довольствуемся всевозможными слухами и утечками относительно флагманских смартфонов Samsung Galaxy S10, но для самой компании уже все решено — аппараты запущены в массовое производство. Причем, по данным корейского источника, стартовало оно еще пять дн...

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Китайцы готовят массовый выпуск смарт-очков Ведущая компания-производитель электронных компонентов Foxconn заявила об открытии в Китае завода для производства носимых «умных» устройств. Так в ближайшем будущем на китайском рынке появятся очки с удалённым подключением к компьютеру.

ПриватБанк начинает выпуск “цветных” карт ПриватБанк объявил о запуске "цветной" линейки массовых платежных карт Mastercard, которые заменят карты традиционного для банка дизайна.

Твердотельный накопитель Galax HOF Pro типоразмера M.2 оснащен интерфейсом PCIe 4.0 Твердотельный накопитель Galax HOF Pro типоразмера M.2, выпускаемый объемом 1 ТБ и 2 ТБ, стал одним из первых SSD с интерфейсом PCIe 4.0. Он демонстрирует скорость последовательного чтения 5000 МБ/с и скорость последовательной записи 4400 МБ/с. Производительность на опе...

«Актив» начинает серийное производство токенов с разъемом USB Type-C В 2019 году компания «Актив» начала серийное производство токенов с разъемом USB Type-C. В компьютерной индустрии USB-C …

TSMC готовит 7 нм EUV производство на этот квартал Сайт DigiTimes сообщает, что компания TSMC близка к началу производства продукции по 7 нм EUV технологии. Источником информации выступил китайский ресурс Commercial Times.

Новый iPad mini и недорогой iPad можно ожидать до середины лета Тайваньский ресурс DigiTimes подтвердил слухи о том, что компания Apple готовит к выпуску пятое поколение планшета iPad mini и новый недорогой iPad в первой половине 2019 года.  Об этом говорят ожидаемые объёмы поставок сенсорных панелей от поставщиков компании Ap...

Бактерии научились производить паучий шелк, который можно использовать в скафандрах С давних пор известно, что паучий шелк обладает крайне высокой прочностью — считается, что в этом он превосходит даже сталь. Его бы активно применяли в производстве спортивной одежды и даже космических костюмов, однако наладить массовое производство материала крайне сложно,...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Intel заявляет о массовой доступности 10-нм процессоров Ice Lake-U во втором полугодии Вместе с оглашением финансовых результатов первого квартала представители Intel также рассказали об успехах в освоении 10-нанометровых технологических норм. Корпорация уже начала квалификационные испытания чипов Ice Lake-U, инженерные образцы которых рассылаются партнёрам ко...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Volkswagen тоже займется выпуском аккумуляторных батарей для электромобилей Компания Volkswagen планирует производить в Германии аккумуляторные батареи и зарядные станции для электромобилей, пересмотрев работу подразделения по производству компонентов для автомобилей, которое сейчас выпускает двигатели и детали рулевого управления. Готовясь к м...

Настольные процессоры Intel Rocket Lake получат до восьми ядер и графику Gen12 В начале следующего года корпорация Intel выведет на рынок массовую платформы LGA1200 вместе с 14-нм процессорами Comet Lake-S. Эти CPU, как мы уже знаем, предложат вплоть до 10 ядер с поддержкой Hyper-Threading. Вслед...

3М выпустила первый 3D-принтер, печатающий фторопластом Фторопласт используют в широком спектре отраслей: от потребительских товаров до аэрокосмической промышленности. На днях компания 3М продемонстрировала на выставке Formnext опытный образец 3D-принтера, который в качестве материала использует политетрафторэтилен. Его примен...

TSMC запустит тестовое производство по нормам N5+ в первом квартале 2020 Планируется, что производство начнется во второй половине 2019 года, но к коммерческому производству компания будет готова ко второму кварталу 2020 года.

Nikon поможет Velodyne в выпуске лидаров для робомобилей За исключением одного автопроизводителя (у главы Tesla есть возражения по этому поводу), большинство компаний в целом согласны с тем, что лидар является жизненно важным компонентом оборудования, необходимого для обеспечения определенного уровня автономности автомобиля. Тем н...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Бюджетный прорыв. Huawei показала смартфон со сканером отпечатков пальцев под LCD На конференции для разработчиков Huawei Developer Conference 2019 компания Huawei продемонстрировала прототип смартфона со сканером отпечатков пальцев, встроенным под экран, изготовленный по технологии LCD.  До настоящего момента производители смартфонов были выну...

LG Display дополнительно инвестирует 3 трлн. вон (2,5 млрд. долл.) Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370

LG Display дополнительно инвестирует 3 трлн. вон (2,5 млрд. долл.) в линию Gen 10.5 по производству OLED-панелей Лидер мировых инноваций в производстве дисплеев - компания LG Display - объявила о своем намерении дополнительно инвестировать 3 трлн. вон в линию по производству OLED-панелей Gen 10.5 (2940 мм X 3370 мм) на своем заводе в Пхаджу, Корея.

Apple планирует выпустить очки с поддержкой дополненной реальности? Ни для кого не секрет, что Apple давно интересуется технологиями дополненной реальности. Пока компания ограничилась лишь запуском платформы ARKit с набором инструментов для разработчиков. Но, как утверждает авторитетный аналитик Минг Чи-Куо, корпорация планирует развивать э...

Процессоры Intel Core i3 для платформы LGA1200 поддерживают Hyper-Threading Не секрет, что за последние годы Intel существенно нарастила вычислительную мощность процессоров для массовой настольной платформы. Если ещё в начале 2017 года звание флагманского CPU в конструктиве LGA1151 принадлежало 4-ядерному чипу Core i7-7700K,...

TSMC начнёт массовое производство 5-нм чипов в ближайшие месяцы Контрактный производитель полупроводников Taiwan Semiconductor Manufacturing Company (TSMC) близок к запуску 5-нанометрового конвейера, сообщает веб-издание China Times. Серийный выпуск микросхем по новой технологии он планирует наладить уже в первом квартале 2020 года. В...

Blue Origin построит фабрику по производству двигателей для ракеты New Glenn Компания Blue Origin официально объявила о начале строительства завода в Хантсвилле, штат Алабама. Как сообщается, предприятие будет выпускать двигатели BE-4. Их намерены использовать в собственной ракете Blue Origin New Glenn, а также — в ракете Vulcan от United Launc...

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

SK Hynix начала производство микросхем 4D QLC NAND объёмом 1 Тбит Компания SK Hynix приступила к производству 96-слойных микросхем памяти 4D QLC NAND объёмом 1 Тбит. На данный момент начаты поставки образцов этих микросхем крупным разработчикам контроллеров для твердотельных накопителей. А это означает, что до массового производства этих м...

LG Chem покупает у DuPont технологию, необходимую для изготовления панелей OLED методом струйной печати LG Chem, дочерняя компания LG Group, приобрела у американской химической компании DuPont ключевые технологии, используемые для производства «растворимых органических светоизлучающих диодов» (soluble OLED). Эти технологии необходимы для изготовления дисплеев ...

Подробности о процессорах AMD с архитектурой Zen 3 и Zen 4 На конференции Консультативного совета HPC-AI в Великобритании AMD обнародовала некоторые подробности о своих будущих архитектурах Zen 3 и Zen 4, а также установила временные рамки для процессоров следующего поколения Epyc Milan и Genoa. AMD собирается обновлять свою архит...

Массовые мероприятия в столице будут проходить под видеонаблюдением По информации СМИ запрос на техническое обеспечения массовых событий в Москве поступил в ИТ-интегратор «Ситроникс» от департамента информационных технологий. Общая сумма контракта доходит до 260 миллионов рублей. Представители ДИТ прокомментировали новость, сообщив, что проц...

TSMC запустит серийное производство по нормам 2 нм уже в 2024 году Компания TSMC сообщила о том, что она начала стадию исследований и разработок, связанных с 2-нанометровым технологическим процессом. TSMC первой на рынке сделала подобное заявление. В настоящее время конкретные детали процесса не разглашаются. Соответствующий завод рас...

Galaxy Note 10 становится быстрее и эффективнее Компания Samsung начала массовое производство чипов для мобильных DRAM 12 ГБ LPDDR5. Samsung говорит, что эти модули на 12 ГБ предназначены для использования в телефонах высокого класса. Вполне вероятно, что один из таких пакетов DRAM сможет обеспечить питание для Galaxy N...

В Китае стартовало массовое производство компактных робокурьеров Китайский стартап Neolix, открывший на днях собственный завод площадью 13 600 м², запускает массовое производство компактных автономных фургонов для доставки. Среди клиентов компании — JD.Com и Huawei. Согласно планам предприятия, до конца года с конвейера сойдет 1000 беспил...

SMARTtech: Интернет вещей: чего ждать от будущего? Развитие наукоемких технологий в области физики, химии, электроники и программного обеспечения, дало возможность массового производства и использования малогабаритных устройств, которые напрямую связаны с интернетом через каналы мобильной связи.

Что ещё слышно в радиоэфире? Радиовещание на КВ (DXing) Данная публикация дополняет цикл статей «Что слышно в радиоэфире?» темой о радиовещании на коротких волнах. Массовое радиолюбительское движение в нашей стране началось со сборки простейших радиоприёмников для прослушивания передач вещательных радиостанций. Впервые констру...

Нехватка процессоров Intel сохранится до 2020 года По данным тайваньского ODM-производителя Compal Electronics, нехватка процессоров Intel продолжится во втором полугодии этого года и сохранится до начала 2020 года. В конце прошлого года Intel заявила о дефиците производственных мощностей, что привело к нехватке 14-нанометро...

Рикор модернизировал производство серверных платформ Российский инновационный холдинг "Рикор" объявил о завершении ряда мероприятий по модернизации технологических процессов линии производства современных серверных платформ.

Видео: подготовка к массовым сражениям в дополнении Band Of Bastards к Kingdom Come: Deliverance Warhorse Studios после релиза Kingdom Come: Deliverance объявила о поддержке игры дополнениями. Разработчики уже подготовили к выпуску третье DLC под названием Band Of Bastards. В честь этого они опубликовали трейлер, где показали дату релиза и сюжетную завязку...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Apple сняла с производства iPhone 6 Apple официально прекратила производство старых моделей до серии iPhone 6S. Стартовыми моделями теперь будут iPhone 7 и iPhone 8

Для новых iPhone уже готовы процессоры Компания TSMC (Taiwan Semiconductor Manufacturing) уже начала производство новых процессоров для смартфонов iPhone, чей анонс ожидается осенью. Об этом сообщило издание Bloomberg со ссылкой на осведомлённые источники.

TCL наладит выпуск гибких OLED панелей в этом году Интерес производителей смартфонов к складному форм-фактору очень высок. В числе них — TCL, которая еще в начале года заявила о своих планах выпустить гибкий мобильник. И, возможно, даже не один.     Серьезный шаг к созданию складного смартфона уже сделан &md...

Samsung начала массовое производство оперативной памяти LPDDR5 на 12 ГБ Компания Samsung начала массовое производство первой мобильной оперативной памяти DRD 12 Гбит LPDDR5, которая оптимизирована для функций 5G и AI в будущих смартфонах. LPDDR5 12 Гбит в 1,3 раза быстрее, чем предыдущая мобильная память LPDDR4X, 4266 Мбит/с, со скоростью ...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

Google перенесёт производство смартфонов на фабрику Nokia из-за антикитайских пошлин Трампа Компания Google начала комплектовать старую фабрику Nokia во Вьетнаме в рамках подготовки переноса производства смартфонов Pixel из Китая. Об этом сообщили два источника издания Nikkei Asian Review.

Samsung представила 12-слойный чип HBM2 Южнокорейский гигант Samsung представил первую в промышленности 12-слойную 3D-TSV (Through Silicon Via) микросхему, которую она назвала «одной из самых сложных пакетных технологий для массового производства высокоскоростных чипов».

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

LG Display начала массовое производство OLED-панелей в Китае В пятницу руководство компании LG Display торжественно ввело в строй завод по выпуску панелей OLED в Китае в городе Гуанчжоу. Первоначально планировалось, что этот завод будет обрабатывать подложки для выпуска LCD-панелей. Однако год назад перед началом установки производств...

Volkswagen запустит производство мобильных зарядных станций для электромобилей Автопроизводитель Volkswagen (VW) намерен начать выпуск аккумуляторов для электромобилей, а также зарядных станций у себя на родине в Германии, поскольку он готовится к старту массового производства электромобилей. Также будет проведена реорганизация подразделения по произво...

Возвращение Rockchip. SoC RK3588 предложит восьминанометровый техпроцесс и ядра Cortex-A76 и Cortex-A55 Компания Rockchip на данный момент редко мелькает в новостях тематических ресурсов. Даже китайские производители планшетов сейчас используют платформы Rockchip достаточно редко. Однако вскоре компания сможет предложить производителям устройств весьма современную SoC. Од...

Samsung ограничит производство смартфонов в Китае Руководство компании Samsung приступило к оптимизации своей производственной площадки в Китае, осуществляющей выпуск смартфонов. Корейский гигант объявил о сокращении масштабов производства в связи с общим падением объемов реализации и необходимостью избежать перепроизводств...

Canon анонсировала более доступную полнокадровую беззеркальную камеру EOS RP за $1300 и семь новых сменных объективов с байонетом RF Компания Canon официально анонсировала свою вторую полнокадровую беззеркальную камеру под названием EOS RP. Напомним, устройство Canon EOS R было представлено в прошлом году. Новинка отличается более доступной ценой и ориентирована на массовый сегмент рынка. Новая камера Can...

Пингвин, виртуализация и $23 млрд: как и почему облачные технологии навсегда изменили ИТ-мир Каждые несколько лет на ИТ-рынке появляется новая технология или парадигма, которая радикально меняет бизнес-модели большинства компаний. Например, еще 25 лет назад этой парадигмой стал массовый бум ПК, бенефициаром которого стала компания Microsoft. 15 лет назад – массо...

Foxconn уже строит крупную фабрику по производству телевизионных панелей 8K На сегодняшний день телевизоры 8K являются невероятно дорогой и в целом бесполезной диковинкой. Однако аналитики указывают на то, что определённую популярность такие модели наберут уже по итогам текущего года, а уж спустя несколько лет и вовсе начнёт переход с 4K на 8K....

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

Планшет-новинка от Samsung — Galaxy Tab S5e Samsung — одна из немногих компаний сегодня, которая занимается производством планшетов для массового потребительского рынка. она приготовила для нас новую модель — Galaxy Tab S5e. В компании достоинствами устройства называют дизайн и мощную «начинку». Внешний вид вы запро...

Назван год, когда смартфоны 5G возьмут верх Со ссылкой на отраслевых наблюдателей источник обрисовал наиболее вероятный сценарий распространения смартфонов с поддержкой 5G. Как утверждается, китайские производители второго эшелона, следуя примеру ведущих поставщиков, начнут выпускать модели с поддержкой сотовых с...

Открыто новое производство тонера CET Group в Японии В июле 2018 года открылся новый филиал группы компаний СЕТ в Японии, что послужило началом собственного бизнеса по производству «механических» ...

Kaby Lake: Если “тик-так” не получается… Время от времени законы мироздания предоставляют нам случай узнать много нового о себе и о самих этих законах. Перед такими случаями, как правило, у тех кто на передовом крае науки и техники, возникает иллюзия всезнания. Они уверенно планируют достижения, рисуют стрелки на...

Сети 2008: дорогущий G-big и «солома» в подъездах Конец 2007-го, начало 2008-го года. Мне посчастливилось застать если не зарю, то назовем это словом "рассвет" массового строительства сетей FTTB. Вы скажете, что мелкие сети уже были, и многим из них было больше 5-7 лет к этому времени. Даже спорить не стану, мой рассказ име...

Сети-2008: дорогущий "джи-бик" и «солома» в подъездах Конец 2007-го, начало 2008-го года. Мне посчастливилось застать если не зарю, то назовем это словом "рассвет" массового строительства сетей FTTB. Вы скажете, что мелкие сети уже были, и многим из них было больше 5-7 лет к этому времени. Даже спорить не стану, мой рассказ име...

Компания Lightning представляет Strike – первый в мире доступный спортивный электробайк Компания Lightning завершила строительство крупного завода в Китае и существенно снизила затраты на производство собственных электробайков. Первенцем в новой категории массовых и недорогих машин станет модель Strike, которая будет поставляться в трех модификациях. И все они ...

Samsung разработала 15,6-дюймовый 4K OLED-дисплей для ноутбуков Компания Samsung объявила о своем первом 15,6-дюймовом 4K OLED-дисплее для ноутбуков, подтвердив, что она будет поставлять эту панель ведущим производителям для ноутбуков премиум-класса. Ожидается, что 4K OLED-дисплей запустят в массовое производство к середине февраля...

Первый 5G-смартфон Nokia будет стоить около 700 долларов Никто не сомневается в том, что смартфоны Nokia с поддержкой мобильных сетей пятого поколения находятся в разработке, однако они появятся в продаже только в следующем году. Руководство HMD Global считает 2020 год правильным для начала массового выпуска 5G-устр...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Последний Saab продали с молотка Bilweb Auctions Данный автомобиль — образца 2014 года — хранили в музее Saab в городе Тролльхеттан; пробег машины составляет 66 километров. Продали последний Saab на аукционе, проведенном шведским аукционным домом Bilweb Auctions, за 465 000 шведских крон (примерно 3,1 ...

Samsung получила заказы на производство процессоров от Intel Компания Intel в очередной раз сообщила, что она испытывает проблемы с объёмами производства процессоров. Гонка с AMD за количество ядер привела к увеличению физического размера чипов, а значит, уменьшению их числа на одной пластине и дальнейшему росту дефицита.

Samsung разрабатывает технологию упаковки чипов в 3D-TSV с 12 слоями Компания Samsung Electronics Co., Ltd., мировой лидер в области передовых полупроводниковых технологий, объявила о разработке первой в отрасли 12-слойной технологии 3D-TSV (Through Silicon Via). Новая инновация считается одной из самых сложных технологий упаковки для массово...

Samsung анонсировала память UFS 2.1 объемом 1 ТБ – она будет использоваться в топовой версии смартфона Samsung Galaxy S10+ Слухи о том, что у смартфона Samsung Galaxy S10+ обрели под собой реальную основу: южнокорейская компания сегодня официально представила память UFS 2.1 такого объема. Модуль eUFS объемом 1 ТБ имеет габариты предшественника объемом 512 ГБ – 11,5 х 13 мм. Он состои...

Куо: массовое производство iPhone SE 2 начнётся в январе 2020 года Сравнительно недавно в сети начали появляться слухи о бюджетном смартфоне Apple iPhone SE 2, и если верить этой информации, то его официальный анонс состоится в 1 квартале 2020 года. Известный аналитик Минг-Чи Куо (Ming-Chi Kuo) полагает, что массовое производство будущей но...

Toshiba Memory запустила в производство XL-FLASH Компания Toshiba Memory Europe (TME) объявила о запуске в производство нового решения в области памяти класса хранилища (Storage Class Memory, SCM) — XL-FLASH. Оно создано на основе собственной инновационной технологии TME — ...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

Дорогие процессоры AMD оказались востребованнее дешевых 12-ядерный процессор Ryzen 9 3900X производства AMD уже много месяцев как официально представлен и поступил в продажу, но несмотря на это, устройство все еще находится в заметном дефиците, в первую очередь из-за своего соотношения цена/мощность.

Apple выпустит тонкие и лёгкие очки дополненной реальности в 2020 году Аналитик Мин-Чи Куо (Ming-Chi Kuo), отлично известный по своим прогнозам о продукции Apple, выдал новую порцию предсказаний. По данным аналитика, компания Apple может представить очки дополненной реальности в середине 2020 года.  Очки будут подвигаться как ак...

Samsung начинает производство чипов памяти eUFS 3.0 на 512 ГБ для смартфонов Мобильные устройства в скором времени по скорости чтения и записи данных будут сопоставимы с современными ноутбуками, по крайней мере флагманские смартфоны компании Samsung так уж точно. Южнокорейский гигант приступил к массовому производству первого в отрасли чипа флеш-памя...

Смартфон Xiaomi Mi 9 производят уже на трех заводах Недавно компания Xiaomi выполнила план по производству 1 миллиона смартфонов Mi 9 за месяц, а сегодня подробно рассказала о выпуске своего флагмана. Оказывается, смартфон производят уже на трех фабриках: Langfang Foxconn, Xi'an BYD и Nanjing Yinghuada, принадлежащей Inventec...

Необычные умные часы Amazfit X с гибким экраном выйдут ещё не скоро Умные часы Huami Amazfit X с гибким экраном были представлены в конце августа. Устройство показали живьём, рассказали об экране и некоторых функциях, но не раскрыли ни цены, ни даты старта продаж. И вот теперь сообщается, что массовое производство данных часов стартует ...

Пикап Hyundai запустят в производство в 2021 году Hyundai Впервые пикап Santa Cruz был представлен в начале 2015 года в виде концепт-кара. Сейчас же стало известно о срока начала производства серийной модели — как объявила Hyundai, машину начнут собирать в 2021 году, на заводе в Монтгомери, штат Алабама, США. Перед зап...

Xiaomi запускает производство своего самого дорого смартфона Буквально несколько месяцев назад китайский гигант Xiaomi показал свой революционный Mi Mix Alpha с дисплеем, занимающим “180,6% передней панели”. Однако когда начнется массовое производство смартфона и когда он поступит в продажу было неясно. Сейчас же, по словам инсайдеров...

Xiaomi работает над смартфоном с двойной подэкранной селфи-камерой Буквально на прошлой неделе стало известно, что Samsung готовится начать массовое производство камер, которые можно будет помещать под дисплей. Но дело в том, что Samsung не единственная, кто работает над данной технологией. Несколько месяцев назад в своём Twitter Xiaomi зая...

Спасибо, Samsung. Теперь и у недорогих смартфонов будет по 12 ГБ ОЗУ Компания Samsung объявила о том, что приступила к массовому производству первой в отрасли 12-гигабайтной мультичиповой упаковки памяти LPDDR4X. Компания называет такие решения uMCP. Если проще, то речь идёт о микросхемах оперативной памяти LPDDR4X объёмом 12 ГБ,...

Флагманский Honor V30 может стать первым смартфоном со сканером под ЖК-экраном Индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) на своей страничке в Twitter опубликовал порцию новой информации о следующем флагмане компании Honor. Мы уже публиковали фотографию, на которой был запечатлен экран смартфона Honor V30, который получил сдвоенную фр...

Xiaomi показала концепт смартфона со складным экраном В разложенном виде устройство по размерам больше похоже на планшет. Однако два края устройства можно сложить, и получится обычных размеров смартфон. Массовое производство гаджета будет зависеть от реакции пользователей.

Австрия планирует коммерческий запуск воздушных беспилотных такси В Австрии прошли испытания автономного воздушного такси модели EHang 216. Наладить массовое производство аэромобилей марки EHang в Австрии намерен китайско-австрийский авиационный концерн FACC AG.

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

Samsung создала LPDDR5 DRAM емкостью 12 Гбит для 5G-флагманов Samsung официально заявила о старте массового производства первого в мире высокоскоростного микрочипа памяти LPDDR5 DRAM емкостью 12 Гбит. Изделие предназначено для установки в мобильниках с поддержкой сетей пятого поколения, а также гаджетах со средствами искусственного инт...

TSMC начала производство чипов по технологии 7 нм+ второго поколения Для тайваньской компании это первый производственный проект с использованием литографии в жестком ультрафиолетовом диапазоне.

JOLED начала строить завод для финальной сборки печатных OLED-экранов Японская JOLED намерена быть в числе первых компаний, которые начнут массовое производство экранов OLED с использованием технологий струйной печати. В отличие от уже освоенной технологии производства OLED с помощью осаждения в вакууме с использованием трафаретов (масок), стр...

SK Hynix в третьем квартале провалила всё что можно Южнокорейская компания SK Hynix опубликовала информацию о работе в третьем квартале 2019 календарного года, который закончился для неё 30 сентября. Квартальная выручка этого производителя памяти DRAM и NAND показала годовое снижение на 40 %, снижение чистой прибыли составил...

1478 и 373 МБ/с. Реальная скорость последовательного чтения и записи Samsung Galaxy Fold В феврале этого года компания Samsung Electronics сообщила о начале массового производства скоростных модулей флэш-памяти eUFS (embedded Universal Flash Storage) 3.0 объемом 512 ГБ для смартфонов. Первым смартфоном, в котором установлено 512 ГБ такой флэш-памяти, являет...

Японская SBI Holdings займется производством чипов для майнинга Японский финансовый конгломерат SBI Holdings объявил о создании нового предприятия, которое будет ориентироваться на производство чипов и систем для майнинга криптовалют. В сообщении на сайте компании говорится, что SBI Mining Chip Co. (SBIMC) является частью стратегии SBI H...

«Сбербанк» поверг в шок владельцев всех банковских карт «Мир» Еще в 2014 году на территории России появилась национальная платежная система под названием «Мир», на базе которой довольно оперативно наладили массовое производство банковских карт. Такими с лета прошлого года обязаны пользоваться все россияне для получения Сообщение «Сбер...

12 ГБ ОЗУ и накопители типа UFS 3.0 появятся в недорогих смартфонах в середине 2020 Всего несколько лет назад бюджетные устройства были с массой компромиссов: отвратительный дизайн, низкое качество используемых материалов, слабая начинка и малый объем памяти. Но уже сегодня за $100+ можно купить устройство, которое справится с большинством поставленных зада...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Huawei начинает производство 5-нм чипов Kirin 1000, которые дебютируют в смартфонах Mate 40 В начале сентября китайская компания Huawei представила новый флагманский чип Kirin 990, который производится по улучшенному 7-нанометровому технологическому процессу с использованием EUV-литографии.

Apple утверждает, что Google преувеличила опасность, говоря о массовых взломах iOS Представители Apple заявляют, что специалисты Google, недавно сообщившие о массовой компрометации пользователей iOS, длившейся годами, преувеличивают масштаб проблемы и умалчивают о ряде важных деталей.

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Intel уже готова к массовому производству памяти MRAM, сочетающей в себе лучшие возможности DRAM и NAND По данным осведомлённых источников, компания Intel уже готова приступить к массовому производству памяти MRAM (Magnetoresistive Random-Access Memory). Память MRAM является энергонезависимой. Она способна сохранять данные даже в случае неожиданного прекращения энергоснабжения...

Comet Lake — десятое поколение процессоров Intel для новых MacBook Внутри процессора Intel Comet Lake Не все из чипов нового поколения уже объявлены. Это необычное поколение: выпускаются они по двум технологическим процессам (14 нм++ и 10 нм+), на двух микроархитектурах из разных эпох. Эта статья про 14-нм чипы Comet Lake. На мой взгляд, он...

AMD откладывает выпуск Ryzen 9 3950X, но обещает новый Ryzen Threadripper уже в этом году Многие энтузиасты с нетерпением ожидают пополнения семейства массовых процессоров с микроархитектурой Zen 2 флагманской моделью — 16-ядерным процессором Ryzen 9 3950X. Во время представления семейства Ryzen 3000 в июле его выход был обещан на сентябрь. Однако сегодня AMD раз...

Новый флэш-чип от Samsung eUFS 512 ГБ в два раза быстрее своего предшественника Будущие телефоны Samsung, в том числе Galaxy Fold, будут иметь скорость чтения и записи, сопоставимую со сверхбыстрыми ноутбуками. Корейский технологический гигант начал массовое производство первого в отрасли чипсета для смартфонов объемом 512 ГБ, соответствующего специ...

Micron начала производство 16-Гбит памяти с использованием 1z нм технологии Компания Micron объявила об очередном достижении в области миниатюризации, начав серийное производство 16-Гбит памяти DDR4 с использованием технологии 1z нм. Подробнее об этом читайте на THG.ru.

Массовое производство AirPower может начаться уже в конце месяца С момента анонса яблочной беспроводной зарядной станции под названием AirPower прошло уже больше года. Но пока этот аксессуар так и не появился в продаже. Однако пользователи не теряют надежду и хотят, чтобы компания Apple начала продавать AirPower. Если верить источникам го...

AMD анонсировала второе поколение мобильных процессоров Ryzen Pro Mobile Компания AMD объявила о выходе второго поколения мобильных процессоров Ryzen Pro с графикой Radeon Vega, которые предлагают улучшенную энергоэффективность, безопасность и управляемость. По словам старшего вице-президента AMD, пользователи бизнес-ноутбуков хотят использовать...

LG Chem инвестирует более 1 млрд долларов в расширение производства аккумуляторов в Китае Южнокорейская компания LG Chem сообщила, что планирует инвестировать в общей сложности 1,07 млрд долларов в расширение двух своих заводов, расположенных в Китае и занятых выпуском аккумуляторных батарей. Расширение производства должно быть завершено в 2020 году, чтобы п...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

Процессоры Apple A13 уже делают Компания готовится к массовым поставкам процессоров для будущих iPhone

Kingston начинает продажи регистровых модулей памяти DDR4-3200 для систем на процессорах AMD EPYC второго поколения Компания Kingston Technology объявила о начале продаж регистровых модулей DIMM DDR4 Server Premier объемом 8, 16 и 32 ГБ, которые работают на эффективной частоте 3200 МГц. По словам производителя, эти модули способны полностью раскрыть потенциал процессоров AMD EPYC вто...

Уже в этом году TCL начнет массовое производство гибких экранов OLED для складных смартфонов На недавней выставке MWC китайская компания TCL Group, владеющая брендами смартфонов BlackBerry и Alcatel, показала несколько вариантов складных смартфонов с гибкими экранами, заявив о планах выпустить первые гибкие модели 2020 году. И вот сейчас TCL сделала критически важны...

Заметно дешевле ожидаемого. Новый флагманский CPU Intel Core i9-9900KS Special Edition представлен официально Intel сегодня явила миру новый флагманский процессор для массовой настольной платформы LGA1151 — Core i9-9900KS Special Edition. Если кто забыл, этот процессор мы ждем еще с момента первого анонса на майской выставке Computex 2019, и уже успели все о нем узнать. Но все же In...

[Перевод] Samsung SSD 860 QVO 1 ТB и 4 ТB: первый потребительский SATA QLC (1 часть) А внедрение флэш-памяти NAND с четырьмя битами на ячейку (QLC) продолжается, свидетель тому — первый потребительского SATA SSD с QLC NAND от Samsung. Новый 860 QVO поднимает планку «начального уровня» в очень успешном семействе продуктов SSD от Samsung. В отличие от предыдущ...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

2100 МБ/с для смартфонов. Samsung начала серийный выпуск скоростной флэш-памяти eUFS 3.0 объёмом 512 ГБ Компания Samsung Electronics сообщила о начале массового производства скоростных модулей флэш-памяти объёмом 512 ГБ  для смартфонов. Это первые в отрасли модули такого объёма для мобильных устройств, соответствующие требованиям спецификации eUFS (embedded Universal...

Перенос производства iPhone в Индию из-за торговой войны с Китаем оказался провалом Производство iPhone на заводах в Индии официально началось в августе. Решение о переносе производственных мощностей в страну Apple приняла во многом для того, чтобы избежать негативного влияния торговой войны между США и Китаем. Однако компания столкнулась с некоторыми трудн...

В следующем поколении смартфонов Samsung будет 1 Тбайт памяти Компания Samsung запустила в массовое производство флеш-память Embedded Universal Flash Storage 2.1 (eUFS) на 1 Тбайт. Именно она будет использоваться во флагманских телефонах следующего поколения. Объем — не единственная технологическая особенность eUFS. Данная память пре...

Yangtze Memory организовала массовый выпуск 64-слойной памяти 3D NAND Китайская компания Yangtze Memory Technologies (YMTC) приступила к массовому производству 64-слойных микрочипов флеш-памяти TLC 3D NAND. Об этом сообщает ресурс Digitimes, ссылаясь на отраслевые источники. Речь идёт об изделиях ёмкостью 256 Гбит. Упомянутая технология TLC пр...

Германия намерена увеличить субсидирование покупок электромобилей на 50 % Германия собирается вдвое увеличить субсидирование покупок электромобилей начиная с 2020 года. По данным агентства Reuters, проект этих предложений должен был обсуждаться на встрече высокопоставленных правительственных чиновников и представителей автомобильных компаний в пон...

Компания Panasonic первой в отрасли разработала технологию массового производства микрофлюидных приборов методом литья стекла Компания Panasonic сообщила о разработке совместно со специалистами института микрохимических технологий (IMT) технологии массового производства микрогидродинамических или микрофлюидных приборов методом литья стекла. Эта технология обеспечивает снижение стоимости приме...

Яндекс запускает производство собственных сериалов Компания Яндекс намерена в этом году заказать производство 8−10 сериалов и, вероятно, показать свои первые проекты в начале 2020. С помощью контента собственного производства компания планирует развивать свой онлайн-кинотеатр и увеличивать число платных п...

Электромобиль Porsche Taycan оказался популярным у владельцев Tesla До запуска компанией Porsche массового производства собственного первого полностью электрического автомобиля Taycan ещё ждать порядка года, но на него уже выстроилась очередь из покупателей. На спрос не влияет даже тот факт, что цена новинки начинается с $90 тыс. Не...

Intel предлагает сэкономить ничего: объявлены цены на процессоры Core F-серии Компания Intel опубликовала свежий официальный прайс-лист, в который оказались включены процессоры, анонсированные компанией на выставке CES 2019. Исходя из этого документа, стоимость разновидностей Coffee Lake Refresh F-серии, то есть лишённых встроенного графическ...

SK Hynix начала производство первой в мире 128-слойной 1-Тбит памяти 3D TLC NAND SK Hynix объявила о завершении разработки первой в мире 128-слойной памяти 3D TLC NAND рекордной плотностью 1 Тбит и начале её серийного производства. Подробнее об этом читайте на THG.ru.

Samsung выпустит свой второй складной смартфон в сентябре еще до выхода Huawei Mate X Корейские источники получили из цепочки поставок информацию о том, что компания Samsung уже готовится к выпуску нового складного смартфона, который будет отличаться от Samsung Galaxy Fold. Вчера мы сообщали, что следующий Samsung Galaxy Fold будет основан на линейке Gal...

AMD анонсировала мобильные процессоры Ryzen, Athlon и A-Series для ноутбуков всех сегментов рынка Накануне открытия выставки CES 2019 компания AMD анонсировала линейку мобильных процессоров модельного ряда 2019 года. Причём, одновременно анонсированы разные серии чипов для ноутбуков всех сегментов. Так, для ультратонких и игровых ноутбуков предназначена линейка мобильных...

Samsung планирует внедрять 1 ТБ памяти в будущие смартфоны Технический гигант Samsung начал массовое производство в отрасли встроенной технологии флэш-памяти eUFS. Это предоставит компании прекратить внедрять в мобильные устройства слоты для хранения данных, без необходимости использования карт памяти большого размера. Ожидается...

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Трения между Кореей и Японией отражаются на производстве смартфонов Samsung По данным инсайдеров, Samsung пришлось на 10% снизить запланированный объем производства мобильных процессоров Exynos, которыми будут укомплектованы новые смартфоны Note 10.

Пока вы спали. Выпущено более 100 устройств с поддержкой 5П По данным Глобальной ассоциации мобильных поставщиков (Global Mobile Suppliers Association, GSA), по состоянию на август 2019 года на рынке представлено более 100 моделей устройств с поддержкой 5G. В данном списке присутствуют самые разные устройства, от смартфонов до т...

Роскомнадзор инициировал дела в отношении Facebook и Twitter Федеральная служба по надзору в сфере связи, информационных технологий и массовых коммуникаций (Роскомнадзор) инициировала процедуру административного производства в отношении социальных сетей Facebook и Twitter. Дела возбуждены в связи с несоблюдением названными ко...

BOE готова начать массовое производство LCD-дисплеев со встроенным сканером отпечатков пальцев С момента появления экранного сканера отпечатков пальцев, производители смартфонов стали оснащать им свои флагманские устройства. Данная технология работает только с OLED-дисплеями, что значительно ограничивает возможности ее использования. Но вскоре ситуация может изменитьс...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Google анонсировал серию локальных мероприятий Webmaster Conference Google официально объявил о запуске Webmaster Conference – серии локальных мероприятий по всему миру. Эти мероприятия будут проводиться в тех странах, где затруднён доступ к поисковым конференциям и информации о поиске Google, а также там, где есть особая потребность в таком...

Нефтеперерабатывающий завод Zeeland Refinery устраняет разрыв между объемным и календарным планированием и фактическим производством c применением программного обеспечения от Aspen Technology Нефтеперерабатывающий завод осуществляет развертывание программного обеспечения Aspen GDOT с целью максимизации прибыли Aspen Technology, Inc. (NASDAQ: AZPN), компания-разработчик программного обеспечения для оптимизации производственных активов, объявила о том, что нефтепер...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Pegatron потратит до 1 миллиарда, чтобы перенести производство чипов для iPhone из Китая Поставщик Apple компания Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple iPhone, о чем сегодня сообщили официальные источники. Тайваньский производитель дал документальное обещание правительству ...

Специалистам EOSRL, похоже, удалось совершить прорыв в технологии micro-LED Подразделение Electronic and Optoelectronic System Research Laboratories (EOSRL) института Industrial Technology Research Institute (ITRI), в ноябре 2017 года взявшееся за разработку дисплеев micro-LED в сотрудничестве с PlayNitride, Macroblock и Unimicron, похоже, сове...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Seagate выпустила жёсткие диски Exos X16 и IronWolf ёмкостью 16 Тбайт Компания Seagate Technology объявила о начале массовых поставок гелиевого жёсткого диска корпоративного класса Exos X16 с рекордной ёмкостью 16 Тбайт, одновременно с этим обновив линейки накопителей для систем NAS IronWolf и IronWolf Pro моделями ёмкостью 16 Тбайт. Подробнее...

Модули оперативной памяти Samsung DDR4 SO-DIMM объёмом 32 ГБ пока не радуют ценой Ещё в мае прошлого года Samsung приступила к массовому производству модулей оперативной памяти DDR4 SO-DIMM объёмом 32 ГБ. Кроме повышенного объёма такие модули могут похвастаться ещё и большей скоростью за счёт новых микросхем. Однако, как оказалось, за это придётся из...

Яндекс прокомментировал массовую пессимизацию сайтов за перенаправление на автоподписки С начала апреля на форуме Searchengines.guru ведется горячее обсуждение массовой пессимизации сайтов из-за некачественных рекламных блоков Adsense. Многие вебмастера отметили падение трафика на своих ресурсах в несколько раз, при том, что в Кабинете вебмастера не появлялось ...

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

Неожиданно: смартфоны Samsung новой линейки Galaxy A получат камеры 3D ToF для трехмерного сканирования Компания Samsung обещала сделать смартфоны Galaxy A инновационными (даже более инновационными, чем Galaxy S10), и все больше источников свидетельствуют о том, что все так и будет. Мы уже писали, что как минимум три модели Galaxy A нового поколения, А50, А70 и А90, будут...

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

Intel NNP-I — ускоритель искусственного интеллекта, созданный на основе процессора Ice Lake В 2016 году Intel приобрела компанию Nervana Systems, специализирующуюся на технологиях, связанных с искусственным интеллектом. Позже Intel представила платформу Nervana для приложений ИИ, а в 2017 году пообещала выпустить первую в отрасли микросхему для обработки нейро...

Sony официально прекратила производство PlayStation Vita Эпоха PlayStation Vita подошла к концу. Компания Sony сообщила о завершении производства двух последних моделей своей портативной консоли, передает портал Polygon. Vita была выпущена в конце 2011 года. Приставка так и не смогла получить по‑настоящему большой популярност. Все...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

Представлен дизайн первого процессора RISC-V для европейских суперкомпьютеров будущего Разработкой процессоров и платформ в рамках инициативы по созданию новых суперкомпьютеров на базе европейских компонентов занимается консорциум European Processor Initiative (EPI). На днях EPI представил Еврокомиссии первый дизайн процессора, который и станет основной для бу...

BOE начнет массовое производство ЖК-панелей с подэкранным дактилоскопом в этом году До этого момента подэкранные дактилоскопические датчики могли использоваться только в связке с OLED-дисплеями, однако в скором времени ситуация должна измениться. Ведущий китайский производитель экранов компания BOE Display Technology объявила о создании ЖК-панелей, под...

Из-за массовых расстрелов в США Colt перестал выпускать оружие для гражданских Производитель огнестрельного оружия Colt сообщил о приостановке выпуска оружия для гражданского рынка. Гендиректор компании утверждает, что из-за перепроизводства. Эксперты считают, что Colt поддалась общественному давлению, вызванному участившимися случаями массовой стрель...

Производство наушников Apple AirPods 3 стартует уже в октябре Вчера компания Apple представила нам новые смартфоны, новые умные часы и новый доступный планшет. Согласно слухам, до конца года мы также увидим полностью новый MacBook Pro и новые наушники AirPods. Последние будут именно новой моделью, а не очередным обновлением. Если...

Advantech выпустила безвентиляторный компьютер MIC-770 на базе 8 поколения процессора Intel Компания Advantech, поставщик интеллектуальных систем и платформенных решений, объявила о запуске производства высокопроизводительного, компактного, безвентиляторного компьютера MIC-770, оборудованного новейшим процессором Intel Core i ...

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

Samsung Display начинает продвигать 4K OLED панели для ноутбуков Компания Samsung Display начала приём заказов на производство 4K OLED панелей с диагональю 15,6”. Заказчиками уже выступили HP, Dell и Lenovo. Ожидается, что ноутбуки этих компаний с новыми дисплеями появятся на рынке уже в текущем году.

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

SK Hynix представляет самую быструю память SK Hynix анонсировала новое поколение высокоскоростной памяти. Hbm2e - это улучшенная версия hbm2, которая в настоящее время доступна на различных графических процессорах. Размер hbm2e SK Hynix может достигать 16 ГБ благодаря восьми слоям по 16 ГБ. Пропускная способность на ...

Volkswagen начинает строительство нового завода по производству электромобилей в США Компания Volkswagen сообщила о начале строительства нового завода по производству электромобилей. Завод на юго-востоке США, в Чаттануга, штат Теннесси, станет североамериканской сборочной базой Volkswagen для электромобилей на модульной платформе MEB. К выпуску продукци...

Смартфон Oppo с подэкранной камерой выйдет только в 2020 году Oppo обещает показать смартфон с подэкранной камерой 26 июня Через несколько дней компания Oppo покажет прототип смартфона, оснащенного подэкранной фронтальной камерой, которая скрыта под поверхностью дисплея. Это произойдет на мероприятии Mobile World Congress 2019...

Vivo X30 получит чип Exynos 980 В начале сентября Samsung представила флагманский процессор Exynos 980 со встроенным 5G-модемом. Массовое производство чипа стартует к концу нынешнего года, а первые смартфоны с ним появятся уже в 2020 году.   Не сложно было предположить, что новая однокристальная сис...

Jetson Nano: $99 за маленький, но мощный компьютер NVIDIA CUDA-X для работы со всеми ИИ-моделями Маленький, но мощный компьютер для задач ИИ на базе CUDA-X™ обеспечивает производительность в 472 гигафлопс в сегодняшних приложениях для ИИ при энергопотреблении всего 5 Вт. Представленный на GPU Technology Conference генеральным директором NVIDIA Дженсеном Хуанго...

Три важных обновления в новом интерфейсе Директа В новом интерфейсе Директа появилось сразу несколько важных обновлений: новые возможности массового редактирования, работа со смарт-баннерами, медийной и видеорекламой. Массовые изменения в текстово-графических объявлениях Вносить изменения стало очень пр...

Руководитель производства электромобилей Tesla во Фримонте покинул компанию Питер Хоххолдингер (Peter Hochholdinger), вице-президент Tesla по производству на заводе во Фримонте, покинул компанию после трех лет работы в ней. Об этом сообщает Reuters со ссылкой на собственный источник. Хоххолдингеру было поручено улучшить производство седана Tesl...

Ученые разработали безопасный бактериальный сахар, который не портит зубы Исследователи из Университета Тафтса разработали метод массового производства перспективного заменителя сахара. Речь идет о «тагатозе», которая на 92 % слаще сахарозы – основного компонент того сахара, что продается в магазинах. При этом ее калорийность в разы ниже, что...

Производством Snapdragon 865 займется Samsung, а Snapdragon 875 — TSMC Недавно стало известно, что в случае с Snapdragon 865, анонс которого состоится в конце нынешнего года, Qualcomm сменит партнера по его производству. Выбор вновь пал на Samsung — одного из крупнейших производителей электроники в мире.   С конвейера южнокорейског...

Samsung Galaxy S11 получит 108-мегапиксельную камеру Несмотря на то, что до анонса флагманской серии Samsung Galaxy S11 ещё несколько месяцев, в Сети уже начали появляться первые интересные детали о преемниках Samsung Galaxy S10 и Galaxy S10+. Одной из главных фишек новых флагманов станет основная камера с рекордным разрешение...

Samsung готовит 5-кратный оптический модуль для будущих смартфонов Компания Samsung начала массовое производство своего нового 5-кратного оптического модуля, который может появится в будущих телефонах Samsung серии Note. Датчик не будет иметь выступ, благодаря своему тонкому 5-миллиметровому профилю по сравнению с 6-миллиметровой толщи...

BOE начала массовое производство панелей Micro OLED Согласно последним сообщениям, BOE начал массовое производство панелей Micro OLED в Куньмине, провинция Юньнань, в октябре этого года. Диагонали дисплеев не уточняются. Теперь китайскому производителю дисплеев ищет партнеров, которые будут использовать панели Micro OLED...

AU Optronics анонсировала экран для смартфонов с самым маленьким вырезом для фронтальной камеры У анонсированного не так давно смартфона Honor V20 диаметр выреза фронтальной камеры составляет всего 4,5 мм. Но в AU Optronics пошли еще дальше: диаметр выреза перспективного экрана составил 4,2 мм. Дисплей выполнен по технологии LTPS, характеризуется диагональю 6,2 д...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

Samsung разработала 10-нм DDR4 DRAM 3-го поколения Компания Samsung разработала новые микросхемы памяти 10-нм DDR4 DRAM третьего поколения без использования экстремальной ультрафиолетовой (EUV) литографии. Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого года. 10нм класс ( 1z-нм ) 8G...

TSMC готова к массовым поставкам 7-нм продуктов второго поколения AMD ещё нет.

Huawei Mate X начнет продаваться в Китае 15 ноября, все-таки на Kirin 980 С Samsung Galaxy Fold многие уже знакомы. Смартфон не очень хорошо показал себя на старте, был отправлен на доработку, но даже сейчас не лишен недостатков. Вчера же официально объявили дату старта продаж второго массового смартфона со сгибаемым экраном — Huawei Mate X. Он з...

Samsung за десять лет инвестирует в производство мобильных SoC около $115 млрд В 2017 году Samsung Electronics стал новым лидером мирового рынка полупроводниковой продукции, сместив с трона Intel, который удерживал этот титул 24 года. Само собой, в Samsung Electronics отлично понимают, что в условиях столь высокой конкуренции без инвестиций в расширени...

Toyota и Panasonic запустят совместное производство призматических аккумуляторов для электромобилей Японские корпорации ToyotaMotor и Panasonic подписали соглашение о создании совместного производства призматических автомобильных аккумуляторов. Новая компания должна обеспечить Toyota и другим автопроизводителям стабильные поставки высокоэффективных, мощных, безопасных и на...

Samsung начала выпуск первой в отрасли памяти eUFS 3.0 объёмом 512 Гбайт Компания Samsung Electronics объявила о начале серийного производства первых в отрасли чипов памяти eUFS 3.0 ёмкостью 512 Гбайт. Новые чипы памяти ориентированы на использование в "следующем поколении мобильных устройств" и обеспечивают вдвое большую скорость работы по сравн...

TSMC начинает производство чипсета A13 для Apple iPhone 2019 Уже известно, что тайваньский чипмейкер TSMC станет единственным поставщиком новых чипсетов A13 для будущих Apple iPhone Сообщается, что компания уже начала производство чипов нового поколения. Подробнее об этом читайте на THG.ru.

Новинка от Huawei Новинка была продемонстрирована в ходе конференции для разработчиков Huawei Developers Conference. Ранее вице-президент компании BOE Лю Саодонг пообещал, что производитель начнёт массовое производство оптических сканеров для LCD-панелей к концу текущего года. Под экранные ск...

Troldesh в новой маске: очередная волна массовой рассылки вируса-шифровальщика С начала сегодняшнего дня и по настоящее время эксперты JSOC CERT фиксируют массовую вредоносную рассылку вируса-шифровальщика Troldesh. Его функциональность шире, чем просто у шифровальщика: помимо модуля шифрования в нем есть возможность удаленного управления рабочей станц...

Озеро янтарного виски для Apple. От Intel Хороший виски найти непросто! На первый взгляд, Amber Lake Y и Whiskey Lake U (“новые” архитектуры процессоров 8-го поколения) ничем не отличались от уже существующих процессоров Kaby Lake R. Отличия все-таки были, но вовсе не те о которых сообщила Intel в июне 2018 года в Т...

Apple запускает массовое производство iPad с 10,2-дюймовым экраном Издание EDN со ссылкой на осведомленные источники сообщило о том, что в ближайшее время должно стартовать массовое производство недорогого iPad. Согласно имеющимся данным, новинка будет оснащена экраном с диагональю 10,2 дюйма, ее анонс состоится осенью этого года.Читать дал...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

TSMC начинает производство чипсета A13 для iPhone 2019 Согласно новому отчету от Bloomberg TSMC, один из основных поставщиков компании Apple, начала производство 5-нанометровых микросхем, которые будут использоваться в будущих поколениях смартфонов.

Xiaomi Mi 9 выпускают уже на трех заводах, а проблемы с производством были связаны с перебоями в поставках компонентов камеры Сначала Xiaomi отчиталась о выполнении прогноза по производству 1 миллиона смартфонов Mi 9 за месяц, а потом организовала поездку на завод Foxconn, находящийся в 70 км от штаб-квартиры компании. Во время этого было озвучено немало интересных подробностей относительно ко...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

«Всё ближе к Украине»: Tesla объявила о начале продаж электромобилей в Польше, Венгрии, Румынии и Словении Компания Tesla объявила, что начиная с сегодняшнего дня электромобили Tesla Model 3, Model S и Model X можно официально заказать в четырех новых восточноевропейских странах — Польше, Венгрии, Румынии и Словении. Hint: starts with P and ends with oland, Hungary, Romania...

Airbus прекратит производство самых больших авиалайнеров А380 в 2021 году Компания Airbus объявила о прекращении производства крупнейшего серийного пассажирского авиалайнера в мире А380 в 2021 году сразу после отгрузки последнего борта авиакомпании Emirates в рамках ранее подписанного соглашения. Причина принятия такого решения заключается в сокра...

Пыль в глаза. Oppo не собирается выпускать показанный на MWC 2019 гибкий смартфон Сегодня утром мы публиковали в нашей новостной ленте качественные фотографии первого смартфона компании Oppo, который оснащен сгибающимся экраном. Позже появились уточнения, что устройство демонстрировал в ходе выставки Mobile World Congress 2019 вице-президент компании...

Вслед за Ice Lake: Intel может скоро предсавить 10-нанометровые CPU Lakefield для бюджетных ультрабуков Intel выпустила процессоры Ice Lake всего 10 дней тому назад, но компания уже готовится представить следующее семейство мобильных 10-нанометровых CPU — Lakefield. В отличие от старших братьев, они будут предназначены для использования в доступных ультрабуках и уст...

14 марта Tesla официально представит свой новый электромобиль – Tesla Model Y После насыщенного февраля у Tesla будет не менее насыщенный событиями март, главным из них станет анонс нового электромобиля – Tesla Model Y. Он состоится 14 марта в лос-анджелесской дизайн-студии. Model Y unveil event on March 14 at LA Design Studio — Elon ...

Организаторы массовых протестов в Google обвинили компанию в репрессиях Двое из семи сотрудников Google, организовавших массовую акцию протеста Google Walkout в ноябре, заявили, что после этого подверглись репрессиям. Об этом сообщает Wired. Так, Мередит Уиттакер (Meredith Whittaker) после акции, призванной изменить отношение Google к харассмент...

Обсуждение: станут ли ДНК-хранилища массовыми ДНК-хранилища пока не готовы выйти в массы, но некоторые эксперты считают, что ситуация изменится уже в ближайшее время. Все больше компаний начинает заниматься этом вопросом. Читать дальше →

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Процессор Samsung Exynos 7 Series 7904 рассчитан на смартфоны среднего уровня Компания Samsung Electronics анонсировала новый мобильный процессор — изделие Exynos 7 Series 7904, изготавливающееся по 14-нанометровой технологии. Чип рассчитан на смартфоны среднего уровня. Основу решения составляют восемь вычислительных ядер: это дуэт ARM Cortex-A73 с та...

В Германии нашли способ надежно сваривать металл и пластик Обычно при соединении металлических и пластиковых объектов используется клей или заклепки. Однако у этих методов есть свои недостатки: склеиваемые поверхности необходимо какое-то время оставлять в покое, чтобы клей «схватился», что тормозит производственный процесс, а заклеп...

Samsung Galaxy A70S будет пионером с 64 Мп камерой В этом месяце в портфолио датчиков изображения Samsung появился новичок — ISOCELL Bright GW1 разрешением 64 Мп. Были предположения, что он может дебютировать в планшетофоне Samsung Galaxy Note 10, который должен выйти в августе нынешнего года. Но впоследствии авторитет...

Panasonic прекращает производство LCD к 2021 году Panasonic объявляет о том, что к 2021 году выйдет из производства ЖК-дисплеев. Компания была ведущим производителем телевизоров, но из-за острой конкуренции со стороны китайских и южнокорейских производителей, ранее прекратила производство ЖК-телевизоров в 2016 году. Вместо...

Китай стянул бронетехнику к границам Гонконга С июня в Гонконге проходят массовые акции протеста, периодически переходящие в беспорядки, связанные с законом об экстрадиции и вмешательством Китая во внутреннюю жизнь государства. Пока Китайская Народная Республика никак не принимала участие в подавлении массовых выступлен...

Samsung выпустит 3-нм процессоры в 2021 году С каждым годом флагманские SoC, составляющие основу современных смартфонов, становятся всё меньше. На данный момент доступны чипы, изготовленные по 7-нм техпроцессу, а в следующем году выйдут и 5-нм чипы. Тем не менее, Samsung объявила о прорыве в производстве микросхем, кот...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Samsung анонсировала встраиваемый флэш-накопитель UFS 2.1 объемом 1 ТБ для смартфонов нового поколения Мы уже не раз слышали из разных китайских и корейских источников, что продвинутая версия смартфона Samsung Galaxy S10+ в максимальной конфигурации будет иметь 12 ГБ оперативной памяти и 1 ТБ флэш-памяти. И вот сегодня южнокорейский гигант Samsung заявил о том, что он приступ...

Apple переносит производство Macbook в США Руководство корпорации Apple официально анонсировало организацию производства нового Macbook на своем заводе в американском Остине. Поставку комплектующих будут обеспечивать также американские компании, причем доля деталей американского происхождения в стоимостном исчислении...

Смартфоны Samsung получат 1 ТБ встроенной памяти Samsung Electronics начала массовое производство первого в отрасли встроенного модуля флеш-памяти Embedded Universal Flash Storage 2.1 (eUFS) емкостью 1 ТБ. Данное решение будет использоваться в мобильных устройствах следующего поколения. Несмотря на прежние разм...

Дефицит процессоров Intel закончится! К Рождеству. Может быть… Первым массовым 10-нм процессором Intel должен стать ноутбучный Ice Lake-U, основанный на микроархитектуре Sunny Cove и продемонстрированный впервые …

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Совершенно новый и потенциально революционный продукт Apple выйдет примерно через полгода О том, что Apple хочет выпустить очки дополненной реальности, слухи ходят уже достаточно давно. Но внятных сроков никто не называл. Если верить аналитику Мин-Чи Куо (Ming-Chi Kuo), послужной список которого заслуживает того, чтобы прислушиваться к его прогнозам, Apple ...

Впервые за два года консоль Xbox 360 получила обновление системы В 2016 году Microsoft сняла с производства Xbox 360 и после никаких обновлений для консоли не было. Но спустя 2 года компания неожиданно для всех выпустила апдейт 2.0.17526.0 для устаревшей консоли. Никто особо не знает, что именно приносит данное обновление, кроме незначите...

Qualcomm представила массовые SoC Snapdragon 765 и 765G со встроенным модемом Snapdragon X52 5G. Первым смартфоном на Snapdragon 765G станет Redmi K30 Немалую частью презентации в рамках ежегодного саммита Snapdragon Tech Summit 2019, открывшегося 3 декабря на Гавайях, Qualcomm уделила 5G — и оно понятно, учитывая  нынешние тенденции и приоритеты. В следующем году начнется массовое распространение 5G по всему миру. В Qualc...

Московские власти внедрят систему распознавания лиц на массовых мероприятиях Российское издание “Ведомости” сообщило, что департамент информационных технологий Москвы совершил заказ технического оборудования для дальнейшего видеонаблюдения за массовыми мероприятиями. По имеющимся данным, сумма сделки с IT-интегратором “Ситроникс” составила порядка 26...

Samsung Electronics инвестирует 133 трлн вон в производство логических микросхем к 2030 году Компания Samsung Electronics объявила, что к 2030 году инвестирует 133 трлн вон для укрепления своей конкурентоспособности в области производства …

Huawei представила свой первый массовый 5G-смартфон Компания Huawei представила официально свой первый массовый смартфон для сотовых сетей пятого поколения 5G. Им стал Mate 20 X 5G.

Alphacool выпустила семейство процессорных водоблоков Eisblock XPX Aurora Немецкий производитель компонентов СЖО Alphacool начал приём заказов на процессорные водоблоки серии Eisblock XPX Aurora. Данная линейка представлена охладителями как для массовых платформ Intel/AMD, так и для HEDT-процессоров в конструктиве Intel LGA2066, LGA3647...

Вице-президент Meizu Ли Нан покинул компанию Когда компании переживают тяжёлые времена, в какой-то момент это начинает приводить к массовым отставкам руководителей. Надеемся, перед нами не «первая ласточка» этого сценария, но всё же: вице-президент Ли Нан, ранее отвечавший за линейку Meilan, покидает Meizu.

LG закроет завод по производству смартфонов Компания перебросит производство во Вьетнам, чтобы избавить мобильный бизнес от затяжных убытков.

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Экзоскелет Hyundai VEX в виде жилета облегчит работу на производствах Hyundai Motor Group представила экзоскелет VEX (Vest EXoskeleton), призванный облегчить работу сотрудников различных производств, которые длительное время держат руки поднятыми над головой. VEX — это особый жилет с несколькими полицентрическими шарнирами и тягами. Изделие им...

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

Власти РФ опасаются, что соцсети могут стать причиной массовой паники и агрессии во время ЧС Случаев, когда паника, рожденная из-за ложной информации в соцсетях, привела бы к жертвам среди населения, в России пока не было. Но массовое распространение панических слухов через интернет - явление довольно частое и, как отмечают психологи, их правдоподобность особенной р...

Официально: Следующее поколение кроссовера Porsche Macan будет полностью электрическим, серийное производство стартует в 2021 году в Лейпциге Немецкий автопроизводитель Porsche официально объявил, что следующее поколение компактного кроссовера Porsche Macan будет исключительно электрическим. Таким образом, электрическая модель Porsche Taycan выйдет на рынок уже в конце текущего года, при этом спустя весьма коротки...

Американские телеканалы отказались транслировать чемпионат по Apex Legends из-за массовой стрельбы Телеканалы ABC и ESPN отказались от показа матчей турнира XGames Apex Legends EXP Invitational по шутеру Apex Legends. По данным киберспортивного журналиста Рода Бреслау (Rod Breslau), телеканал направил партнёрским организациям письмо, где объясняется, что причиной стала ма...

Sony начала массовую распродажу консолей PlayStation и популярных игр Безумные скидки от японской компании

Nissan закроет производство дизельной версии минивэна NV200 в Барселоне, так что в Европе останется только электрическая версия Nissan e-NV200 Компания Nissan анонсировала прекращение производства дизельной версии минивэна NV200 для европейского рынка до конца лета текущего года. Таким образом, вскоре в Европе можно будет официально приобрести только электрическую версию данной модели Nissan e-NV200. Минивэн с дизе...

Официально представлен новый процессор для флагманского смартфона Samsung Galaxy Note10 Чип Exynos 9825 стал улучшенной версией процессора Exynos 9820, который лежит в основе Galaxy S10. Благодаря применению 7-нанометрового техпроцесса EUV чипсет получил улучшенные показатели энергопотребления и производительности.

Мобильные процессоры Tiger Lake-U будут поддерживать память LPDDR5 В таможенной базе данных Евразийской экономической комиссии (ЕЭК) были зарегистрированы наборы для разработчиков с ещё не вышедшими процессорами Tiger Lake-U. И благодаря этому выяснилось, что данные мобильные процессоры будут поддерживать работу с памятью типов LPDDR4X и LP...

Китайцы готовятся выпускать первую разработанную в стране память DRAM На днях с подачи интернет-ресурса DigiTimes мы сообщили, что китайская компания ChangXin Memory Technologies (ранее ― Innotron Memory) собирается в четвёртом квартале приступить к производству 19-нм 8-Гбит чипов LPDDR4 (или DDR4, в этом мнения разделились). Чуть подробнее о ...

Сотовый оператор «МТС» объявил о массовом закрытии тарифных планов С начала нынешнего года очень многие операторы связи в России подняли цены на свои тарифные планы и услуги, сделав это на основании многих причин. Тем не менее, даже не смотря на все такие, компания «МТС» Сообщение Сотовый оператор «МТС» объявил о массовом закрытии тарифных...

Essential прекращает производство Essential Phone Последний год был не самым удачным для Essential. На данный момент, компания подтвердила, что прекращает производство смартфона Essential Phone и сконцентрируется на производстве нового продукта. Подробнее об этом читайте на THG.ru.

Наигрались: Razer сворачивает производство Razer Phone 3 и закрывает мобильное подразделение Компания уволила 30 сотрудников, которые занимались производством и продвижением смартфонов, а также созданием программного обеспечения для них.

Hyundai тестирует технологии 5G для беспилотных автомобилей Корейский оператор сотовой связи KT официально сообщил сегодня о том, что компания вместе с производителем автомобильных запчастей Hyundai Mobis занимается разработкой технологий для машин, которые смогут обмениваться данными в сетях пятого поколения. На начальной ...

AMD выпустит новые HEDT-процессоры Ryzen Threadripper с большим числом ядер Сегодняшнее выступление главы AMD Лизы Су было полностью посвящено 7-нм продуктам компании для массового рынка, включая процессоры Ryzen 3-го поколения и видеокарты Radeon RX 5700 (Navi) на базе прогрессивной архитектуры RDNA, однако совсем...

Утечка ключевых характеристик Samsung Galaxy A51 В сети всё чаще начинают появляться утечки, касательно Samsung Galaxy A51, анонс которого состоится в 1 квартале 2020 года. Согласно последней информации, Samsung уже начала производство смартфона, а сегодняшняя утечка раскрыла его ключевые характеристики. Подробнее об этом ...

Новые MacBook Pro и iPad Pro получат дисплеи Samsung Как сообщают различные источники, компания Samsung ведет переговоры с Apple о поставке OLED-дисплеев для 16-дюймового MacBook Pro и будущих моделей планшета iPad Pro. Впервые мы узнали о потенциальном MacBook Pro с диагональю от 16 до 16,5 дюйма от известного аналитика...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

BigRep ONE и Keter Plastics: 3D-печать прототипов промышленных конструкций Компания Keter - один из крупнейших производителей пластика в мире. Она использует 3D-принтер BigRep для ускорения процессов конструирования и производства инновационных изделий из пластика.Перед запуском серийного производства изделия посредством отливки в пресс-формы необх...

Samsung представила мобильный процессор Exynos 980 с интегрированным 5G-модемом Сложно сказать наверняка, когда 5G превратится из технологии будущего в нечто, доступное каждому. Но производители смартфонов активно представляют новинки с поддержкой связи нового поколения. Большая часть компаний используют Snapdragon X50 5G — чип компании Qualcomm. У Huaw...

В часах Apple Watch вскоре будут использоваться экраны OLED производства Japan Display Несмотря на то, что Japan Display достаточно серьёзно пострадала из-за Apple, компания всё равно не намерена отказываться от сотрудничества с купертинским гигантом. Как сообщают источники, Japan Display начнёт поставлять экраны OLED для умных часов Apple Watch в конце ...

В Индии появится свой завод по производству аккумуляторов, сравнимый по мощности с Gigafactory Компания Tesla показала всем не только то, как нужно делать и продавать электромобили, но и как решать вопросы с производством аккумуляторов для таких машин. С тех пор немало производителей объявили о намерении построить свои крупные фабрики по выпуску АКБ. Как сообщае...

Panasonic и Merlion открыли производство IP-камер в России Компании «Panasonic Россия» и Merlion официально открыли на мощностях iRU производство IP-камер Panasonic. На начальном …

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Новые флагманы Meizu можно ждать уже в апреле О том, как примерно будет выглядеть смартфон Meizu 16s, мы уже знаем. Компания сохранит удачную, по мнению очень многих, концепцию без использования вырезов, только сделает рамки сверху и снизу экрана ещё тоньше. Кроме того, новый флагман перейдёт на Snapdragon 855 и по...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

Не хватит даже винтов: Apple никак не сможет полностью производить iPhone в США Как известно, в США с приходом к власти Дональда Трампа американские компании начали активно склонять к тому, чтобы переводить производства из Китая в родную страну. Мы уже упоминали об этом сегодня, когда писали про Apple. Однако так ли всё просто? Ресурс NY Times реш...

Samsung инвестирует $116 млрд в разработку и производство микропроцессоров в ближайшие 10 лет В 2018 году подразделение по производству чипов принесло компании 75% всего операционного дохода, а новые инвестиции, по мнению Samsung, помогут обогнать Qualcomm и TSMC.

Философ из Оксфорда назвал массовую слежку единственным спасением человечества от гибели Известный философ и профессор Оксфордского университета Ник Бостром (Nick Bostrom) предсказал, что в будущем за людьми будет осуществляться массовая слежка при помощи искусственного интеллекта (ИИ). И это поможет человечеству спастись от гибели.

Печальные новости о Samsung Galaxy Note 10 Samsung уже давно повадилась выпускать по две версии своих флагманов для разных рынков: с процессором Snapdragon и с процессором Exynos, которые Samsung производит сама. По словам представителей издания WinFuture, версия Galaxy Note 10 с процессором от Qualcomm будет работа...

Все проблемы позади. Электромобиль Faraday Future FF91 готов к массовому производству Изначально компания Faraday Future планировала выпустить свой первый полностью электрический автомобиль в 2017 году, а позже расширить модельный ряд еще несколькими впечатляющими автомобилями. Однако в итоге компании пришлось столкнуться с проблемами, с отсутствием фина...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Apple начала производство iPhone 7 в Индии, но дешевле от этого смартфон на местном рынке вряд ли станет Компания Apple производит в Индии смартфоны iPhone SE и iPhone 6s. Это позволяет избежать дополнительных налогов и, как следствие, снизить цены. Не так давно мы писали о том, что вскоре партнёры Apple начнут строить фабрики для производства актуальных моделей на террит...

Samsung представила процессор Exynos 990 и модем 5G Exynos Modem 5123 В сентябре в модельном ряду чипов Samsung появилась новинка Exynos 980, ставшая первым процессором производителя со встроенным 5G-модемом. А сегодня состоялся анонс его продолжения — Exynos 990. Но здесь решено отказаться от интеграции модуля связи для сетей пятого пок...

LG Display инвестирует 2,6 млрд долларов в производство панелей OLED в Южной Корее Компания LG Display сообщила, что инвестирует 2,6 млрд долларов в свою линию по производству панелей OLED, расположенную в Южной Корее. Ранее поставщик панелей для устройств Apple прогнозировал, что 2019 год будет тяжелым, поскольку значительные средства в выпус...

Беспроводная зарядная станция Apple выйдет в этом году В последние несколько недель в сети снова стали активно появляться разнообразные слухи, касающиеся беспроводной зарядкой станции Apple. Сразу несколько сетевых источников сообщило о том, что купертиновцы решили не забрасывать AirPower, и сейчас компания активно подгоняет сво...

Intel ценит лестные отзывы о процессоре Xeon W-3175X Есть опасения, что массовым он точно не станет.

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Предварительные данные о производстве и продаже электромобилей компании Tesla за 4-й квартал и за 2018-й год Источник Сегодня компания Tesla в своем пресс-релизе сообщила предварительные результаты производства и реализации автомобилей за 4-й квартал и за 2018-й год в целом. В прошлом квартале компания почти достигла отметки в 1 тыс. автомобилей, которые она производила и реализ...

Intel снимет с производства процессоры Skylake Компания Intel выпустила 6-е поколение процессоров Core Skylake в августе 2015 года. И вот, спустя 4 года, в Санта-Кларе решили прекратить их производство.

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

В Руанде открыли первое в Африке предприятие по производству смартфонов Завод компании Mara Group расположен возле Кигали, и на нем осуществляется не только сборка двух недорогих смартфонов под брендом Mara, но и производство комплектующих для них.

Официально: видеокарты AMD Radeon Navi выйдут в третьем квартале 2019 года Компания AMD официально подтвердила информацию о том, что первые видеокарты на базе новой графической архитектуры Navi выйдут в третьем квартале 2019 года. Чипы будут выполнены по 7-нм техпроцессу TSMC. Стоит отметить, что Navi — это семейство графических процессоров, поэто...

Французы предложили недорогую технологию производства экранов MicroLED любого размера Предполагается, что экраны с использованием технологии MicroLED станут следующим этапом развития дисплеев во всех проявлениях: от маленьких экранов для носимой электроники до больших телевизионных панелей. В отличие от LCD и даже OLED экраны MicroLED обещают лучшие разрешени...

Роскомнадзор разблокировал миллионы IP-адресов Amazon Федеральная служба по надзору в сфере связи, информационных технологий и массовых коммуникаций (Роскомнадзор) объявила о снятии ограничения доступа с ряда IP-подсетей компании Amazon. Фотографии Reuters

Pro Bulk Sms Sender: массовая отправка СМС Pro Bulk Sms Sender - открытое приложение для Android для массовой отправки SMS.

Meizu даже не планировала запускать провалившийся смартфон Meizu Zero в массовое производство Как уже сообщалось, кампания по сбору средств на выпуск смартфона Meizu Zero завершилась провалом. Проект поддержали всего 29 человек, а необходимая сумма в 100 000 долларов не была собрана даже наполовину. Однако генеральный директор компании Хуан Чжан (Huang Zhang) у...

Кажется, дефицит процессоров Intel подходит к концу Дефицит процессоров Intel, который мучает рынок уже на протяжении нескольких месяцев, судя по всему, в скором времени начнёт ослабевать. В прошлом году Intel инвестировала дополнительные 1,5 млрд долларов в расширение своих 14-нм технологических мощностей, и похоже, что эти ...

Samsung инвестирует $11 млрд в производство дисплеев на ... Сегодня корпорация Samsung сделала официальное объявление о своих планах инвестировать $11 млрд (13,1 трлн вон) в разработку и производство дисплеев следующего поколения с квантовыми точками. Такое решение принято в попытке справиться с падением спроса на LCD-матрицы, растущ...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

Поставщиком гибких экранов AMOLED для складного смартфона Xiaomi является компания Visionox После того, как шесть дней тому назад президент Xiaomi Лин Бин (Lin Bin) продемонстрировал складной смартфон с гибким экраном, в Сети стали активно появляться слухи о производителе дисплея. Сначала говорилось, что его изготовителем является LG. Потом появились данные о ...

Фотографии прототипа iPad Mini 5 Ранее сообщалось о том, что компания Apple ведет работу над планшетом iPad Mini нового поколения, который выйдет на рынок под названием iPad Mini 5. Теперь же ресурс Slashleaks опубликовал фотографии, на которых нам демонстрируют прототип iPad Mini 5, а также ко...

Covestro нарастит производство фотополимеров для 3D-принтеров Carbon Немецкая химическая компания Covestro AG поможет американскому производителю скоростных стереолитографических 3D-принтеров Carbon с наращиванием производства специализированных фотополимерных смол, используемых в качестве расходных материалов.Подробнее...

Intel работает над 10-ядерным процессором Comet Lake-S Первые слухи о намерениях Intel в очередной раз увеличить число ядер в процессорах для массовой настольной платформы появились ещё в прошлом году. Сообщалось, что флагманом семейства Comet Lake-S, призванного заменить собой Coffee Lake-S...

Redmi K30 может получить 100-ваттную зарядку Индийский информатор Мукул Шарма (Mukul Sharma), который регулярно публикует достоверные сведения о новинках мобильной индустрии, поделился важными сведениями о работе отдела исследований и разработок Xiaomi. Как стало известно, китайский гигант, который поставляет на р...

Rolls-Royce опробует 3D-принтеры от SLM Solutions в производстве авиационных двигателей Компания Rolls-Royce, один из ведущих производителей авиационных двигателей, возьмет на вооружение 3D-принтеры производства немецкой компании SLM Solutions, работающие по технологии селективного лазерного наплавления металлопорошковых композиций (SLM).Подробнее...

Индийские iPhone XR и iPhone XS появятся на рынке уже в августе, ожидается снижение цен Согласно свежим данным агентства Reuters, в Индии в скором времени могут снизиться цены на старшие модели смартфонов iPhone. Reuters ссылается на неназванный источник, который утверждает, что произведенные в Индии смартфоны iPhone XR и iPhone XS могут появиться на рынке...

Всё своё: представлен первый SSD-контроллер на китайской архитектуре Godson Для Китая массовое производство контроллеров для выпуска SSD так же важно, как организация домашнего производства памяти NAND-флеш и DRAM. В стране уже стартовало ограниченное производство 32-слойной 3D NAND и чипов DDR4. А как обстоят дела с контроллерами? По сообщению сайт...

Google объявила о прекращении производства планшетов Компания сосредоточится на выпуске ноутбуков Pixelbook.

AMD развеяла миф о четырёх потоках на ядро в процессорах с архитектурой Zen 3 Самым настойчивым слухом последних месяцев, имеющим отношение к будущим процессорам AMD, можно считать переход в рамках архитектуры Zen 3 от двух потоков на ядро к четырём. Предполагалось, что подобная метаморфоза принесёт пользу в серверном сегменте, где производительность ...

Как делают стеклянные ёлочные украшения Согласно одной из версий, первые стеклянные ёлочные игрушки появились в Саксонии в XVI веке — раньше первой документально зафиксированной установки рождественского дерева! Другая версия звучит более правдоподобно и связывает их появление с катастрофическим неурожаем яблок в ...

Natura Siberica решила заняться производство бутилированной воды Компания Natura Siberica анонсировала строительство на Курильских островах завода по производству бутилированной воды. В реализацию данного проекта производитель косметики планирует вложить более 200 млн рублей.

Apple запустила производство беспроводной зарядки AirPower Компания Apple приступила к организации серийного производства новой безпроводной зарядки AirPower. Представители бренда провели анонс своей разработки еще в 2017-м году, но по ходу прошлого года она так и не поступила в продажу.

Intel расширит 14 нм производство В Сети ходят слухи о том, что компания Intel может в очередной раз столкнуться с трудностями при производстве 14 нм процессоров. Компания предприняла меры по выходу из кризиса, однако к традиционному всплеску спроса в конце года она может оказаться не готова.

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

Tesla начинает принимать предзаказы на Model 3 китайского производства Сетевые источники сообщают о том, что компания Tesla начала принимать предварительные заказы на покупку электрокаров Model 3, которые сойдут с конвейера Gigafactory в китайском Шанхае. Стоимость автомобиля, который доступен для заказа исключительно на территории Поднебесной,...

Свежие подробности о 14-нм процессорах Intel Comet Lake-S и платформе LGA1200 С каждым днём Intel становится всё труднее держать в секрете информацию о будущем обновлении массовой настольной платформы. Мы уже знаем, что в ближайшее время «синий» гигант выведет на рынок семейство 14-нм процессоров Comet...

[Перевод] Срочная новость: крупнейший в Западном полушарии завод по производству солнечных батарей начал работу Hanwha Q Cells начали отгрузку первых солнечных батарей со своего 1,7ГВт завода на границе Джорджии и Теннесси. Это второй крупный завод на территории США, выпускающий солнечные модули, запустивший производство на этой неделе. Подробности о солнечной энергетике США

Новым iPhone и iPad — новые антенны Аналитик Минг-Чи Куо (Ming-Chi Kuo) утверждает, что в этом году Apple откажется от использования антенн, созданных на базе технологии LCP (жидкокристаллический полимер). Такой материал был использован для антенн iPhone XS, iPhone XS Max и iPhone XR. В новых смартфонах 2...

Nikkei: Apple сократит производство iPhone По данным Nikkei Asian Review, Apple уменьшит объёмы производства смартфонов в январе–марте. Сокращение затронет новые модели.

Разработчики конструктора сайтов uCoz создали веб-игру 14 марта создатели uCoz и uKit открыли онлайн-симулятор управления веб-разработкой. Игра моделирует процесс создания, запуска и развития веб-проектов. Игра Web Tycoon открылась для массового пользователя после окончания бета-тестирования: теперь она доступна как в браузерной...

Видеоускоритель Nvidia GeForce GTX 1660: Turing уже в массовом сегменте (базовый обзор с теоретической частью, синтетическими и игровыми тестами) Nvidia GeForce GTX 1660 подхватил у GeForce GTX 1660 Ti инициативу выведения архитектуры Turing в самый массовый сегмент рынка игровых видеоускорителей. Будучи значительно быстрее, чем GeForce GTX 1060, и составляя прямую конкуренцию ускорителям Radeon RX 580 и даже Radeon R...

Samsung Display начинает расширять применение AMOLED Компания Samsung Display объявила, что планирует расширить производство дисплеев AMOLED, чтобы охватить основные сегменты рынка ИТ. К достоинствам дисплеев AMOLED производитель относит «выдающуюся цветопередачу», низкое энергопотребление, малую толщину и ма...

Flexgate: У ноутбуков MacBook Pro 2016 и новее нашлась новая массовая проблема с дисплеем Владельцы ноутбуков Apple MacBook Pro столкнулись с новой проблемой. И она носит массовый характер. Фактически, рано или поздно с ней может столкнуться каждый пользователь MacBook Pro модельного ряда 2016 года и новее. Проблема заключается в том, что со временем при открытии...

«Рикор» приступил к производству опытных образцов серверных блоков питания Российский инновационный холдинг «Рикор» приступил к производству опытных образцов серверных блоков питания …

Intel пытается продать свой бизнес по производству 5G ... Как сообщает «The Wall Street Journal», Intel намерена продать свой бизнес, связанный с производством 5G модемов для смартфонов, поскольку с недавних пор компания официально вышла из гонки. Еще начиная с лета прошлого года компания Apple планировала закупить весо...

TSMC начала производство процессоров Apple A13 для iPhone 2019 Тайваньский производитель микросхем TSMC открыл производство новых процессоров для грядущих iPhone 2019 года, которые будут традиционно представленных осенью, – сообщает издание Bloomberg, ссылаясь на компетентных инсайдеров. Тестовое производство Apple A13 было начато TSMC…

Массовое производство гибких экранов TCL для смартфонов начнется в этом году 30 мая компания TCL в сообщила, что в Ухане началась эксплуатация производственной линии по выпуску гибких панелей LTPS-AMOLED с запланированной производительностью 45 тыс. единиц в месяц. Компания также подписала соглашения с некоторыми производителями смартфонов, сред...

Samsung ISOCELL Slim 3T2 – самый компактный датчик изображения производителя, идеально подходящий для фронтальной камеры Сегодня компания Samsung объявила о выпуске датчиков изображения для смартфонов ISOCELL Slim 3T2. Новинка преподносится как самая компактная модель в линейке датчиков изображения производителя: ее оптический формат составляет 1/3,4 дюйма (размер по диагонали – око...

Высокий спрос на 7-нм и 5-нм чипы поможет росту TSMC в 2020 году TSMC планирует в 2019 году достичь ещё одного рекорда, но из-за разочаровывающих результатов в первой половине года чистая прибыль вряд ли побьёт результаты 2018 года. Однако, как считают источники, в 2020 году снова наметится рост общегодовой выручки и прибыли тайваньской п...

Ducati готовит экспансию на рынок электрических мотоциклов Один из мировых лидеров в производстве мотоциклов итальянская компания Ducati (в настоящее время принадлежит Audi AG) уже неоднократно заявляла о своих намерениях вплотную заняться производством электрических мотоциклов.

В ожидании процессоров Snapdragon 865/875 После выпуска процессора Snapdragon 855 Plus, который отличается высокой производительностью, компания Qualcomm готовит еще две новинки, одна из которых будет основана на 5-нм техпроцессе. Известно, что производством займутся на мощностях TSMC, а также на...

Производство электроэнергии солнечными электростанциями выросло в Казахстане По итогам I полугодия 2018 года объем производства электроэнергии всеми объектами ВИЭ составил 629,5 млн кВт/ч.

В России стартовало производство SSD GS Group с интерфейсом PCIe Центр разработки микроэлектроники в составе GS Group ― GS Nanotech ― приступил к производству первых в России твердотельных накопителей с интерфейсом PCIe и с поддержкой протокола NVMe. Разработка и производство новинок полностью локализованы в России в инновационном кластер...

Sony называет 3D-сенсоры будущим мобильной фотографии Как заявил руководитель подразделения датчиков Sony Сатоши Йошихара, Sony с оптимизмом смотрит на продажи своих 3D-сенсоров и увеличила производство, несмотря на спады в общем объеме поставок мобильных устройств. Их датчики основаны на технологии LiDAR, которая гораздо чаще ...

Kingston Technology представила новую линейку SSD Data Center 500 Компания Kingston Digital, подразделение по производству флеш-памяти Kingston Technology Company, объявила …

Audi вынуждена сократить производство электрокаров e-tron По сообщениям сетевых источников, компания Audi вынуждена сократить поставки своего первого автомобиля с электрическим приводом. Причиной тому стала нехватка комплектующих, а именно: недостаток аккумуляторных батарей, поставки которых осуществляет южнокорейская компания LG C...

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

Контрактный производитель Jabil вдвое увеличил производственные мощности завода в Ужгороде Сегодня, 15 марта, компания Jabil, оказывающая услуги по контрактному производству разного рода электроники, открыла новую площадку на производстве в Ужгороде. Введение нового объекта в эксплуатацию увеличивает мощности завода вдвое. Сколько было инвестировано в расширение, ...

Новый флагман Samsung под угрозой. Производство Galaxy Note10 пострадало из-за торговой войны Кореи с Японией Затянувшиеся торговые трения между Южной Кореей и Японией уже сказались на Samsung Electronics. Компания была вынуждена снизить темпы производства однокристальных систем для будущего флагманского смартфона Galaxy Note10. Об этом сообщило корейское издание The Inves...

В смартфоне Meizu 16s не будет "моноброви" В сети появился первый рендер и подробности о флагманском смартфоне Meizu 16s, который еще не был представлен официально. Согласно заявлениям самого производителя, новинка унаследует дизайн Meizu 16th, но рамки вокруг дисплея при этом станут тоньше. Если быть точнее, верхний...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Xiaomi начала массовое обновление своих смартфонов до стабильной MIUI 11 Как и было запланировано, китайская компания Xiaomi дала старт распространению стабильной версии своей фирменной прошивки MIUI 11. ***

Выходцы из Soylent привлекли 5 млн USD на производство никотиновых жвачек Один из соучредителей Soylent Д. Рентельн спустя два года после ухода из стартапа запустил проект Lucy. Новая компания специализируется на производстве никотиновой жвачки.

Бизнес на 3D печати. Реальный кейс от SHOKOBOX - Влог 11 Возможно ли внедрить 3D печать в производство и получить реальный профит? Мы запустили серию влогов, где на примерах конкретных бизнесов и производств расскажем, как компании внедряют 3D печать.Подробнее...

AMD: 16-ядерный Ryzen 9 3950X и первые процессоры Ryzen Threadripper 3-го поколения выйдут в ноябре На днях AMD назвала сроки выпуска следующих процессоров, которые имеют особое значение для компании и, вероятно, окажут, существенное влияние на рынок. Конечно же, речь о новом флагмане массовой платформы AMD AM4 — Ryzen 9 3950X (16 ядер/32 потока, 3,5/4,7 ГГц), и высокопрои...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)