Социальные сети Рунета
Четверг, 25 апреля 2024

В TSMC уже ведут исследования, связанные с освоением норм менее 2 нм По сообщению источника, на встрече с инвесторами представители TSMC подтвердили, что компания уже разрабатывает 2-нанометровый техпроцесс и ведет предварительные исследования, связанные с освоением норм менее 2 нм. Как мы уже сообщали, в будущем году TSMC рассчитыв...

Из-за пандемии TSMC задержится с освоением норм 3 нм как минимум на полгода Ссылаясь на «многочисленные источники на Тайване», наши коллеги утверждают, что компания вывела производство по нормам 5 нм на проектную мощность, но освоение норм 3 нм задержится как минимум на полгода. Из-за пандемии COVID-19 производитель не сможет воврем...

У Samsung готов прототип 3-нанометровой микросхемы с использованием транзисторов GAAFET На сегодняшний день самым передовым техпроцессом для массового производства полупроводниковой продукции является 7-нанометровый. Его используют TSMC и Samsung для производства процессоров и однокристальных систем. Следующий этап — 5-нанометровый техпроцесс, не считая промежу...

Intel планирует выпускать будущие поколения GPU Xe с использованием 6- и 3-нм техпроцессов TSMC Как мы знаем, компания Intel испытывает проблемы с освоением 10-нанометрового технологического процесса. Внедрение соответствующих процессоров откладывается на протяжении уже нескольких лет, а конкурент в лице AMD не сидит на месте и уже предлагает клиентам чипы, выпускаемые...

Процент выхода годных 5-нанометровых SoC Apple A14 в первой партии превысил 80% Ссылаясь на сообщения тайваньских СМИ, источник утверждает, что компания TSMC добилась большого успеха в освоении норм 5 нм. В первой партии однокристальных систем Apple A14 процент выхода годной продукции превысил 80%, заложив основу для начала массового выпуска в след...

TSMC полностью развернула серийный выпуск 5-нанометровой продукции Сразу несколько независимых тайваньских отраслевых источников сообщают, что крупнейший контрактный производитель полупроводниковой продукции Taiwan Semiconductor Manufacturing Company (TSMC) развернул серийное производство продукции по новому 5-нанометровому техпроцессу (или...

TSMC начнет выпускать 3-нанометровые процессоры на год раньше обещанного срока Пока Intel оттачивает до сверхидеального совершенства свой 14-нанометровый техпроцесс и вовсю буксует с переходом на 10-нанометровый, а Samsung только начинает масштабный выпуск однокристальных систем по техпроцессу 7 нм с применением EUV-литографии, TSMC уже финализиро...

Intel будет культивировать 7-нанометровый техпроцесс в течение трех лет Сегодня во время подведения финансовых итогов первого квартала Intel опубликовала дорожную карту освоения новых техпроцессов. Хорошая новость в том, что компания не собирается задерживаться на 7 нм на пять лет, как это было с 14 нм. Плохая – в том, что 5-нанометро...

Техпроцесс TSMC N5P обеспечивает повышение плотности размещения транзисторов на 84-87% Проанализировав 5-нанометровый техпроцесс TSMC N5P, специалисты WikiChip признали значительное повышение плотности размещения транзисторов на кристалле по сравнению с N7 — наиболее передовым на сегодня техпроцессом TSMC, в котором не используется EUV. Как утвержда...

Intel не теряет надежды освоить выпуск 10-нанометровых процессоров На недавней конференции в UBS компания Intel положила конец слухам, что она собирается пропустить нормы 10 нм и перейти сразу к 7 нм. Производитель заверил, что придерживается ранее намеченного плана выпуска платформы Whitley, начиная с производства Cooper Lake в перво...

Графические процессоры Intel DG2 будет производить TSMC по 7-нм технологии Компания Intel с большой долей вероятности прибегнет к услугам компании TSMC для производства своих графических процессоров второго поколения DG2, сообщает ресурс AdoredTV со ссылкой на собственного инсайдера в данной сфере. Графические процессоры Intel DG2 на архитектуре Xe...

300 млн на 1 мм2. Следующий техпроцесс TSMC N3 обеспечит повышение плотности размещения транзисторов в 1,7 раза После публикации свежего квартального отчета TSMC раскрыла некоторые интересные подробности о 3-нм техпроцессе следующего поколения — N3 по внутренним спецификациям компании. Напомним, не так давно TSMC полностью развернула серийный выпуск продукции с применением 5-нм технол...

Техпроцесс TSMC N3 позволит разместить на 1 кв. мм почти 300 млн транзисторов Публикуя недавний квартальный отчет, компания TSMC впервые начала публиковать некоторые факты о своем 3-нанометровом техпроцессе, получившем обозначение N3. Вопреки неофициальной информации, производитель утверждает, что разработка техпроцесса идет по плану. Рисковое пр...

Intel: «Наш 10-нанометровый техпроцесс никогда не будет столь же продуктивным, как 22 нм или 14 нм» 10-нанометровые процессоры Intel для мобильного сегмента уже достаточно долго присутствуют на рынке. Серверные 10-нанометровые решения появятся в этом году. А ещё в этом году нас ждут мобильные CPU Tiger Lake, выполненные по техпроцессу 10+ нанометров. Несмотря на это,...

Всё по плану: В следующем году TSMC запустит массовое производство чипов по 5-нм техпроцессу По данным осведомлённых источников, компания TSMC не только активно развивает производство на базе 7-нанометрового технологического процесса, но также ударными темпами осваивает и более передовой 5-нанометровый техпроцесс. Как отмечает источник, уже на текущий момент норма в...

TSMC начнет выпуск 3-нанометровых процессоров в 2022 году, вся первая волна SoC уйдет Apple Компания TSMC уже вовсю производит 5-нанометровые однокристальные платформы и процессоры, а в следующем году, как пишет источник, стартует пробное производство однокристальных систем по техпроцессу 3 нм. Массовое производство продукции, созданной по техпроцессу 3 нм, н...

На новой производственной линии Samsung Electronics начат серийный выпуск продукции с применением EUV-литографии Компания Samsung Electronics объявила о начале серийного производства полупроводниковой продукции на новой линии, расположенной в Хвасоне, Корея. Производственная линия V1 стала первой линией Samsung, специализирующейся на выпуске продукции исключительно с применением ...

Графические процессоры NVIDIA Ampere базируются на 7-нм техпроцессе TSMC, а следующее поколения GPU NVIDIA Hopper будет использовать 5-нм техпроцесс Samsung EUV Массовое производство начнётся уже в текущем квартале

У Samsung готовы впервые в мире 3-нанометровые транзисторы GAAFET Наиболее передовым техпроцессом, освоенным TSMC и Samsung в серийном производстве, является 7-нанометровый. Сейчас компания TSMC инвестирует значительные средства в 5-нанометровый техпроцесс, который, по неофициальным данным, будет использован для выпуска SoC Apple A14 ...

Китайская компания SMIC займется производством процессоров для Huawei Китайскую компания SMIC (Semiconductor Manufacturing International Corporation) знают немногие, а она, между тем, владеет передовой китайской фабрикой по производству подложек — SMIC South Factory. SMIC с 2015 года работала над внедрением 14-нанометрового техпроце...

Наконец-то действительно новые настольные процессоры Intel. Линейка Rocket Lake может выйти уже в этом году Уже в апреле компания Intel выпустит настольные процессоры Comet Lake. К сожалению, почти ничего нового от этого поколения ждать не стоит. Единственное существенное изменение — появление 10-ядерных моделей. При этом эти CPU будут производиться по 14-нанометровой т...

AMD переводит свои старые CPU на новый техпроцесс Один из пользователей американского форума Reddit обнаружил очень интересное новшество в процессоре Ryzen 5 1600. Как оказалось (и это подтверждается его наблюдениями, а также скриншотом CPU-Z), свежекупленный вариант этого CPU выполнен по нормам технологического процес...

Intel: мы не откажемся от 10-нм техпроцесса, соответствующие процессоры Ice Lake появятся в конце 2020 года На недавней конференции UBS компания Intel объявила, что не будет пропускать 10-нанометровый технологический процесс, чтобы перейти непосредственно к 7-нм технологии. Тем самым чипмейкер положил конец слухам, утверждавшим, производитель сделает именно так из-за затянувшегося...

Samsung рассчитывает в 2022 году разработать 3-нанометровый техпроцесс Компания Samsung намерена в 2022 году разработать 3-нанометровый техпроцесс. Для этого техпроцесса придется существенно изменить конструкцию транзистора, фактически создав транзистор нового поколения. Сейчас специалисты Samsung работают над технологией Gate-All-Ar...

AMD подтверждает, что продукция на архитектурах Zen 3 и RDNA2 появится в конце 2020 года Комментируя отчет за первый квартал, компания AMD подтвердила, разработка процессоров на архитектуре Zen 3 и графических процессоров на архитектуре RDNA2 идет по графику. Первые изделия, основанные на Zen 3 и RDNA2, будут представлены в конце 2020 года. Производитель н...

Kirin 710A: первый чип от SMIC для Huawei На данный момент TSMC остается основным контрактным производителем мобильных процессоров для Huawei. Но США, одержимые идеей вытеснить китайскую компанию с рынка, вынашивают планы лишить ее доступа к продуктам тайваньского чипмейкера.     В этой ситуации у Huawei&...

TSMC полностью загрузила 5-нанометровое производство, выпуск продукции начнется в апреле Как сообщают осведомлённые отраслевые источники, уже в апреле компания TSMC будет готова перейти на производственную технологию следующего поколения и запустит массовое производство чипов по 5-нанометровому технологическому процессу. При этом отмечается, что все производстве...

Через 10 лет Intel планирует перейти на техпроцесс 1,4 нм Пару дней назад мы рассказали о том, почему же Intel попала в ту весьма непростую ситуацию на рынке CPU, в которой сейчас находится. Кроме прочего, представитель компании в том интервью рассказал, что Intel собирается перейти на техпроцесс 7 нм в 2021 году, а на техпроц...

В этом году AMD превзойдет Apple Во второй половине текущего года AMD может сместить компанию Apple с места крупнейшего заказчика TSMC на выпуск продукции по нормам 7 нм (с учетом двух вариантов литографии — DUV и EUV). Этому способствуют два фактора: значительное увеличение заказов AMD и выход о...

TSMC вкладывает в разработку 2 нм техпроцесса Мировой лидера полупроводниковой продукции, компании TSMC, успешно развивает и модернизирует свои технологии. Как известно, в ближайшее время компания начнёт выпуск микросхем по 5 нм нормам и уже ведёт активную подготовку к опытному 3 нм производству.

TSMC будет выпускать датчики изображения по заказу Sony По сообщению источника, ссылающегося на публикацию в издании Commercial Times, компания TSMC получила заказы компании Sony на изготовление датчиков изображения типа CMOS. Они будут выпускаться с использованием 40-нанометрового техпроцесса на заводе Fab 14A в Тайнане, на...

Apple избавится от процессоров Intel быстрее, чем ожидалось В прошлом месяце Apple раскрыла план по переходу на собственные процессоры на архитектуре ARM – этому процессу официально отводится два года. Ожидалось, что первые модели ноутбуков на собственных однокристальных платформах появятся во второй половине следующего го...

Новый процессор Huawei Kirin 820 5G засветился в Geekbench В сети появились некоторые спецификации нового среднебюджетного процессора компании Huawei. Процессор Huawei Kirin 820 засветился в базе данных теста в Geekbench. Процессор Kirin 820 набирает в одноядерном тесте 3490 баллов, а в многоядерном 11200 баллов. Также новый проц...

Почему Qualcomm променял Samsung на TSMC при производстве ... В начале декабря компания Qualcomm представила свои платформы Snapdragon 865, Snapdragon 765 и Snapdragon 765G. Помимо разницы в технических характеристиках однокристальных систем они еще и разнятся тем, кто помогает американскому чипмейкеру их производитель. Так, флагманск...

Половину капитальных затрат Intel направит на новые техпроцессы и расширение производства В текущем году Intel намеревается увеличить количество выпускаемых кремниевых пластин на четверть, это позволит поднять объёмы выпуска 14-нм и 10-нм продукции. Капитальные затраты тоже будут увеличены по сравнению с прошлым годом, половина всей суммы будет направлена на увел...

16-ядерный 24-поточный 10-нанометровый флагман Intel Core i9-12900K (Alder Lake) представят уже в сентябре Пока многие ждут старта продаж процессоров Intel Rocket Lake-S — последних 14-нанометровых, в Сети появились новые подробности о сроке выпуска первых 10-нанометровых CPU компании. Если все будет так, как описывает источник, то Rocket Lake-S обречены на короткий жи...

5 нм чипы от Samsung уже на подходе Samsung Electronics отчиталась за первый квартал нынешнего года. Ей удалось получить прибыль $5,3 млрд и это почти на $0,5 млрд меньше, чем за последний квартал прошлого года. Наибольшее падение компания отмечает в сегменте мобильных чипов, а все по причине снижения спроса н...

Intel грозится за десять лет освоить техпроцесс 1,4 нм На недавней ежегодной конференции Credit Suisse генеральный директор Intel Роберт Суон признал застой в технологическом развитии Intel, назвал конкретные ошибки, которые привели к сложившейся ситуации и обозначил пути выхода из кризиса. После возврата к старой «маятниковой» ...

Samsung разрабатывает платформу серии Exynos для Google Samsung часто подвергается критике за свои мобильные процессоры Exynos. В последнее время в адрес производителя звучат негативные комментарии из-за того, что смартфоны серии Galaxy S20 на процессорах собственного производства компании, уступают по производительности версиям ...

Samsung работает над созданием смартфонов Galaxy A с поддержкой 5G Компания Samsung, ведет переговоры с компании MediaTek о поставках процессоров со встроенными 5G-модемами. А именно с процессорами кодовыми названиями MT6885 и MT6873. Если компании договорятся, то поставки процессоров MediaTek достигнут рекордных показателей в следующем го...

Жизнь после Ice Lake. Intel официально представила процессоры Tiger Lake, которым придется бороться с Ryzen 5000 Вместе со своей дискретной 3D-картой DG1, компания Intel представила на пресс-конференции в рамках выставки CES 2020 и мобильные процессоры для ноутбуков нового поколения – Tiger Lake. Формально они призваны заменить 10-нанометровые CPU Ice Lake-U, но по факт...

Intel отчитался о росте всех финансовых показателей и подтвердил выпуск 10-нм CPU Tiger Lake в середине года Компания Intel опубликовала отчет по итогам первого квартала 2020 финансового года. Минувший квартал для завершился для синего гиганта из Санта-Клары весьма удачно — ростом всех основных финансовых показателей. То есть, проблемы с освоением 10-нанометрового техпроцесса по-пр...

5-нм однокристальная система Huawei HiSilicon Kirin поступит в серийное производство в августе Китайский производственный гигант Huawei в настоящее время работает над своим новейшим чипом HiSilicon Kirin, который будет производиться с соблюдением передовых 5-нм технологических норм на мощностях TSMC. Сегодня один из информаторов сообщил, что 5-нм однокристальная систе...

Китай активно наращивает производственные мощности для выпуска микросхем и готовится к запуску 14-нм производства чипов По данным Digitimes Research, общие производственные мощности Китая по выпуску микросхем будут продолжать наращиваться в 2020 году. Фабрики подготовили планы по расширению, охватывающие производство 4-, 6-, 8- и 12-дюймовых пластин, и в следующем году начнётся производство п...

Производством 7-нанометровых GPU Nvidia займется TSMC Слухи приписывали Nvidia передачи внушительной доли заказов на производство своих графических процессоров следующего поколения компании Samsung, однако на мероприятии GTC 2019 в Сучжоу глава компании опроверг эти спекуляции: практически все 7-нанометровые GPU компании б...

Импортозамещение по-китайски: Zhaoxin планирует в 2021 году выпустить 7-нанометровые процессоры с поддержкой PCIe 4.0 и DDR5 Со ссылкой на WikiChip Fuse источник сообщил, что китайский производитель процессоров Zhaoxin в общих чертах рассказал о своих процессорах KaiXian и KaiSheng следующего поколения. Процессоры KaiXian предназначены для потребительских систем, а процессоры KaiSheng —...

Everspin и GlobalFoundries продлили соглашение о совместной разработке MRAM до норм 12 нм Компания Everspin Technologies сообщила о дополнении соглашения с GlobalFoundries (GF), предусматривающего совместную разработку магниторезистивной памяти с переносом момента (Spin-Transfer Torque MRAM или STT-MRAM). Компании Everspin и GF выступали партнерами по разраб...

У TSMC всё идёт по плану: 3-нм техпроцесс будет освоен в 2022 году Тайваньская полупроводниковая кузница TSMC набрала такие темпы освоения передовых производственных норм, что выглядит неудержимой. Особенно на фоне отказа GlobalFoundries от внедрения массового 7-нм производства и огромных проблем Intel с освоением 10-нм норм. 7-нм процесс п...

Всё стабильно: Intel и не собиралась отказываться от выпуска 22-нм процессора Pentium G3420 семейства Haswell Недавно корпорация Intel опубликовала уведомление об изменении продукта (Product Change Notification, PCN) в своей системе управления документами. При этом было сказано, что Intel снимает с производства процессор Pentium G3420 семейства Haswell, который выпускается по нормам...

Процессор Kirin 1020 будет на 50% производительней чем Kirin 990 В просторах интернета начали появляться информации о новом процессоре Kirin 1020. Новый процессор Kirin 1020 по слухам проходит под кодовым названием Baltimore. Процессо будет построен по 5 нанометровому техпроцессу и получит ядра нового поколения ARM Cortex-A78. Также но...

Bloomberg: Apple выпустит первый Mac с ARM процессором в 2021 году   Источники Bloomberg утверждают, что Apple планирует выпустить первый Mac с ARM-процессором в 2021 году. Компания в рамках проекта Kalamata работает над целым рядом чипов, предназначенных для будущих компьютеров Mac. Первый процессор, разработанный купертиновцами...

Intel опровергает возврат к 22-нанометровым процессорам Haswell, но от этого не легче Недавно по тематическим сайтам покатилась новость, что компания Intel намерена возобновить выпуск 22-нанометровых процессоров Pentium G3420 (Haswell). Основанием для этой новости стало уведомление Intel об изменении планов. Источник обратился к производителю за разъясн...

Qualcomm анонсировала мобильные чипсеты Snapdragon 460, Snapdragon 662 и Snapdragon 720G Компания Qualcomm анонсировала выпуск трёх новых мобильных систем-на-чипе, которые станут основой широкого перечня смартфонов – моделей начального, бюджетного и среднего уровней. Процессор Snapdragon 460 предназначен для создания мобильных устройств начального уровня. Он изг...

Qualcomm рассказала, почему Snapdragon 865 не стала 5-нанометровой, и почему Snapdragon 765G производит Samsung, а не TSMC На всяких саммитах, вроде Snapdragon Technology Summit, масса интересных подробностей появляется уже после технической официальной части – когда журналисты задают логичные для себя, но не всегда удобные для спикеров вопросы. Вот и на этот раз сессия вопросов-ответ...

SMIC может приступить к производству 14-нм ASIC для майнинга криптовалюты Добыча криптовалюты перестала быть темой номер один. Но сама идея никуда не делась и может как возродиться снова, так и трансформироваться для поддержки технологий блокчейн. Тем более, что власти Китая год назад приступили к государственному регулированию сферы добычи цифров...

Смартфоны OnePlus 8 получат Qualcomm Snapdragon 865 с поддержкой 5G Смартфоны восьмой серии компаний OnePlus, оснастят флагманским процессором Qualcomm Snapdragon 865 со встроенным модемом Snapdragon X55, которая поддерживает сети пятого поколения 5G. Процессор Qualcomm Snapdragon 865 построен по 7-нанометровому техпроцессу и имеет 8 ядер....

Процессор для iPhone 12 будет выполнен по 5-нм техпроцессу По новой технологии. Apple оснастит смартфоны из линейки iPhone 12 процессором A14 Bionic, выполненном по 5-нанометровому техпроцессу. Согласно данным JPMorgan Chase, Apple уже договорилась о производстве новых процессоров с компанией TSMC.   TSMC поставит Apple п...

Насколько мощными будут новые процессоры Tesla? Чипы для платформы HW 4.0 будут готовы примерно через год В своё время автомобили компании Tesla опирались на специализированные решения Nvidia, используя их в качестве основы системы функции автопилота. Однако позже Tesla создала собственные чипы и теперь использует именно их. На данный момент это платформа Hardware 3.0 (HW 3...

Intel прекращает выпуск пяти чипсетов для процессоров Haswell На прошлой неделе компания Intel объявила о прекращении производства наборов системной логики Q87, C226, H81, QM87 и HM86. Эти чипсеты чаще всего ассоциируются с процессорами Intel Core 4-го поколения (Haswell) в исполнении LGA 1150. Они были анонсированы в 2013 году и ...

Samsung откладывает производство 3 нм техпроцесса Крупные техно-компании, такие как Intel, Apple, Nvidia, AMD стремятся достичь уменьшение размеров своих чипов. Этому есть подтверждение, уменьшение приводит к меньшему энергопотреблению, лучшей производительности, меньшего выделения тепла и уменьшение затрат на производство....

У Google уже готова собственная SoC для смартфонов и хромбуков По данным источника, компания Google достаточно далеко продвинулась в создании собственных однокристальных систем (SoC) для мобильных устройств и хромбуков. В рамках проекта Whitechapel, реализуемого при технической поддержке Samsung, уже создана первая модель. Как утве...

Nvidia представит графические процессоры нового поколения (Ampere) в марте Как пишет известный ресурс WCCF со ссылкой на новый, но достаточно надежный источник, компания Nvidia представит новое поколение графических процессоров (семейство Ampere) на мероприятии GPU Technology Conference. Оно состоится через два месяца – с 22 по 26 марта....

Samsung разрабатывает рекордно мощные и энергоэффективные процессоры Только-только рынок процессоров “осознал”, что 7-нанометровый техпроцесс стал стандартом и начал превращать в точно такой же стандарт 5-нм техпроцесс, как появились первые сведения о том, что Samsung вовсю работает над более “тонкой” 3-нм продукцией, которая в теории должна ...

Теперь понятно, как Intel оказалась в столь проблемной ситуации На прошедшей на днях конференции Credit Suisse присутствовал генеральный директор Intel Роберт Суон (Robert Swon), которому источник задал ряд весьма важных вопросов. И самое интересное — получил на них весьма внятные ответы. Первое, что стоит отметить, так это о...

До 20 ГБ памяти GDDR6 и 3480 ядер CUDA. Стали известны характеристики видеокарт Nvidia GeForce RTX 3080 и RTX 3070 По слухам, Nvidia представит графические процессоры нового поколения — 7-нанометровые Ampere — в марте. Но в распоряжении китайского источника уже есть характеристики двух моделей линейки — GeForce RTX 3080 и RTX 3070. Откуда взялись данные, непонятно,...

Анонс Dimensity 800 5G на выставке CES 2020 В декабре прошлого года чипмейкер MediaTek объявил о планах по выпуску процессора Dimensity 800 5G. Вчера на выставке CES 2020 состоялась глобальная премьера новой разработки тайваньского чипмейкера и он озвучил ее характеристики.   В своем пресс-релизе MediaTek заяви...

Казалось, хуже уже быть не может, но… Intel возвращает в строй процессор, снятый с производства в 2015 году Решения Intel в последнее время становятся все более и более странными. Понятно, что принимаются они не от хорошей жизни, а по причине тотальной нехватки процессоров, но возвращение в строй шестилетнего процессора, снятого с производства в 2015 году – это сильно. ...

LG Display надеется запустить производство OLED в Китае со второй попытки Компания LG Display вынуждена была отложить массовое производство OLED в Китае на целых шесть месяцев. Новый завод должен был начать работу в сентябре 2019 года, но фактически предприятие начнёт работать только в конце января 2020 года. Компьютерное изображение завода LG Dis...

Фото кристалла самого необычного процессора Intel. Четыре крошечных ядра и огромный GPU Более года назад Intel представила очень необычный процессор Lakefield. Необычен он тем, что это первый CPU Intel (да и вообще первый x86-совместимый процессор), который содержит два кластера с совершенно разными ядрами и производится с применением технологии трёхмерной...

Intel приписывают намерение заказать выпуск процессоров у GlobalFoundries Источник сообщил, что ему стало известно о намерении Intel заказать выпуск некоторых процессоров у GlobalFoundries. Он делает оговорку, что пока эта информация не подтверждена, поэтому к ней следует относиться критически. Как утверждается, компания Intel недооценила сп...

Intel обещает в этом году множество новых 10-нанометровых продуктов, но среди них нет настольных CPU Компания Intel сегодня отчиталась за 2019 финансовый год. В связи с этим компания провела конференцию, где представители Intel ответили на множество различных вопросов. Мы выбрали для вас наиболее важные. К примеру, Intel заявила, что в прошлом квартале нарастила произв...

Графические процессоры Nvidia Ampere будут на 50% быстрее, чем Turing, при вдвое меньшем энергопотреблении По мере приближения к выпуску графических процессоров Nvidia следующего поколения на архитектуре Ampere, которые, по слухам, выйдут во второй половине года, появляется все больше сведений о них. Со ссылкой на издание Taipei Times источник утверждает, что графические кар...

TSMC начнёт массовое производство 5-нм чипов в ближайшие месяцы Контрактный производитель полупроводников Taiwan Semiconductor Manufacturing Company (TSMC) близок к запуску 5-нанометрового конвейера, сообщает веб-издание China Times. Серийный выпуск микросхем по новой технологии он планирует наладить уже в первом квартале 2020 года. В...

AMD Ryzen 7 4800U обходит по производительности Intel Core i7-10750H при втрое меньшем энергопотреблении В Сети появилось очередное сравнение, демонстрирующее превосходство 7-нанометрового техпроцесса и архитектуры AMD Zen 2 над 14-нанометровым техпроцессом CPU Intel Comet Lake. В лоб сравнили AMD Ryzen 7 4800U и Intel Core i7-10750H. Вышло показательно и больно для «...

Первый в мире производитель 5-нм чипов построит завод в США По данным The Wall Street Journal, которые приводит CNews , TSMC построит новую фабрику в штате Аризона. Точное место ее расположения на момент публикации материала установлено не было, но известно, что на ее возведение компания затратит $12 млрд.Эту сумму TSMC намерена вкла...

Intel представила совершенно новые 10-нанометровые процессоры Atom Компания Intel вчера представила не только обновлённую линейку серверных процессоров Xeon Gold. Ещё одной новинкой стали новые CPU Atom P5900. Да, в данном случае слово «Atom» присутствует непосредственно в названии. Обычно, когда речь заходит о процессорах ...

Смартфон OnePlus 8 Lite получит процессор MediaTek Dimensity 1000 В сети появилась некоторые информации о смартфоне OnePlus 8 Lite. За производительность смартфона будет отвечать новый топовый процессор MediaTek Dimensity 1000. Процессор построен по 7-нанометровому техпроцессу и имеет четыре производительных ядра Cortex-A77, четыре энерго...

Три смартфона Xiaomi получат процессор MediaTek Dimensity 800 и 1000 Компания Xiaomi работает над тремя бюджетными смартфонами, которые получат поддержку 5G-сети. Новинки по слухам получат новые процессоры компании MediaTek. А именно процессоры Dimensity 800 и Dimensity 1000. Процессор Dimensity 1000 построен по 7-нанометровому техпроцессу...

Baidu и Samsung Electronics готовы начать выпуск ускорителей искусственного интеллекта Китайский гигант интернет-поиска Baidu и южнокорейская компания Samsung Electronics, располагающая передовым полупроводниковым производством, объявили, что разработка первого ускорителя искусственного интеллекта Baidu KUNLUN завершена. Серийный выпуск Baidu KUNLUN начне...

Huawei создала материнскую плату для настольных ПК, поддерживающую процессоры Kunpeng 920 ARMv8 Компания Huawei опубликовала сведения о новой материнской плате для настольных компьютеров, которая предназначена для совместного использования с её собственными процессорами Kunpeng 920 ARMv8. Обычно эти чипы используются для серверной инфраструктуры. Эти чипы изготавливают...

Названы сроки выхода прорывных процессоров для смартфонов Тайваньская компания TSMC, занимающаяся производством полупроводниковых изделий, рассказала, когда начнёт выпуск 5- и 3-нанометровых процессоров.

11 часов автономности в ноутбуке с 8-ядерным процессором и GeForce RTX 2060. APU AMD Ryzen 4000 демонстрируют чудеса экономичности В Сети появились тесты Asus ROG Zephyrus G14, построенного на современной платформе AMD с гибридными процессорами Ryzen 4000. Как оказалось, 7-нанометровый APU обеспечивает не только приличное быстродействие, но еще и очень экономно расходует запас аккумулятора. Сразу ...

У Intel снова проблемы с освоением 10 нм техпроцесса Получится у Интел выпустить процессоры вовремя или нет, пока не ясно

Настольные процессоры Intel Alder Lake-S получат исполнение LGA1700 Следующие два поколения настольных процессоров Intel будут называться Comet Lake-S и Roсket Lake-S, и как выяснилось в начале этого месяца, когда-то потом на смену им придут процессоры Alder Lake-S. Теперь же стали известны новые подробности об этих процессорах, и в частност...

Не как у некоторых: 7-нм процессоры Intel будут нормально разгоняться Представители специализированной лаборатории Intel в Орегоне, которые занимаются экстремальным разгоном процессоров, не верят в «страшилки» про исчерпание разгонного потенциала современных изделий, выпускаемых по прогрессивным литографическим технологиям. Если рабочие частот...

Анонс чипов Snapdragon 720G, Snapdragon 662 и Snapdragon 460 Сегодня в Нью-Дели чипмейкер Qualcomm устроил свое пресс-мероприятие, героями которого стали три новых аппаратных платформы: Snapdragon 720G, Snapdragon 662 и Snapdragon 460. Объединяет все чипы отсутствие 5G-модема, поддержка Bluetooth 5.1, двухчастотного GPS и Wi-Fi 6, а т...

Какими будут новые процессоры AMD? Архитектура Zen 3 принесёт прирост IPC на 10-15%, а Zen 4 — переход на новый сокет Летом прошлого года на рынок вышли настольные процессоры AMD Ryzen 3000, которые оказались крайне успешными. Переход на семинанометровый техпроцесс, новая архитектура и большое количество ядер поставили эти CPU вне конкуренции во многих режимах. И хотя Intel до сих пор ...

GeForce RTX 3080 Ti получит 12 ГБ памяти, до 5376 ядер CUDA и будет на 40% быстрее, чем RTX 2080 Ti Неделю назад в Сеть попали параметры неких новых GPU компании Nvidia, впечатляющих количеством исполнительных блоков. Тогда мы говорили максимум про 124 блока, что означает наличие 7936 активных ядер CUDA, если количество этих ядер в каждом блоке останется таким же, как...

Китай накачает SMIC деньгами, чтобы она быстрее освоила 12-нм техпроцесс Власти КНР пока не определились, как ответят США на новую атаку, целью которой стала Huawei Technologies. С другой стороны, руку помощи китайские власти уже протянули компании SMIC, которая является ведущим национальным контрактным производителем полупроводниковых изделий. С...

Графические процессоры Intel DG2 будут произведены TSMC с применением 7-нм техпроцесса Сообщается, что новый графический процессор Intel DG2 будет изготовлен с применением 7-нм техпроцесса. В то время как компания уже представила Xe DG1 и Xe HPC Ponte Vecchio, нахватает лишь высокопроизводительного варианта, известного как DG2. Подробнее об этом читайте на THG...

Генеральный директор AMD обещает видеокарту верхнего сегмента на GPU Navi Общаясь с представителями прессы на выставке CES 2020, генеральный директор AMD Лиза Су (Lisa Su) пообещала, что видеокарта верхнего сегмента, построенная графическом процессоре семейства Navi, бубет будет выпущена. Отвечая на конкретный вопрос корреспондента PC World, ...

Nvidia намерена удивить нас своими планами На данный момент GPU для видеокарт Nvidia производятся по 12-нанометровому техпроцессу. Назвать его устаревшим нельзя, но это лишь улучшенный 16-нанометровый техпроцесс, а вот он уже далеко не так молод. При этом AMD уже перешла на техпроцесс 7 нм, используя его и в CP...

Ноутбуки на базе процессоров AMD Ryzen 4000H появятся в продаже уже в марте Во время проведения выставки потребительской электроники CES 2020 компания AMD анонсированы процессоры серии Ryzen 4000. Это были первые в мире чипы на базе архитектуры x86, изготовленные по нормам 7-нанометрового технологического процесса. Компания сравнивала производительн...

В китайской рознице скоро появятся системные платы с процессорами Zhaoxin KaiXian KX-6780A Импортозамещение по-китайски: Zhaoxin планирует в 2021 году выпустить 7-нанометровые процессоры с поддержкой PCIe 4.0 и DDR5 Zhaoxin, совместное предприятие VIA Technologies и китайского государства, взявшееся устранить зависимость Китая от зарубежных поставщиков x86-с...

Даже шестиядерный Core i5-10600KF будет иметь TDP 125 Вт. Стали известны параметры новых процессоров Intel Вчера мы писали о восьмиядерном процессоре Core i7-10700F, который по своей производительности будет прямым конкурентом для Ryzen 7 3700X. По крайней мере, если судить по тесту Cinebench R20. Сегодня мы имеем возможность ознакомиться с параметрами всех CPU Comet Lake-S...

Google Pixel 4a получит процессор Qualcomm Snapdragon 730 Новый бюджетный смартфон Google Pixel 4a оснастят процессором Qualcomm Snapdragon 730. Qualcomm Snapdragon 730 это восьмиядерный процессор, который построен по 8-нанометровому техпроцессу и имеет два высокопроизводительных ядра Cortex-A76. Ещё новинку получит 5.8 дюймовый I...

Графический процессор SoC Apple A12Z Bionic оказался быстрее GPU Ryzen 5 4500U и Core i7-1065G7 Тест однокристальной платформы Apple A12Z Bionic дает представление о том, насколько мощными будут компьютеры Mac на базе процессоров на архитектуре Arm. Графический процессор A12Z Bionic в тесте OpenCL обошел по производительности встроенные GPU APU Ryzen 5 4500U и CPU...

Intel рассматривает возможность адаптации 7-нм изделий под 10-нм техпроцесс Переход на 10-нанометровый техпроцесс обернулся большими проблемами для Intel, многие из которых, например, дефицит производственных мощностей, ей так и не удаётся решить. В будущем корпорация намерена не допускать подобных ошибок. До конца 2021...

Huawei представила мобильный чип Kirin 820 с 7 нм техпроцессом Чип Kirin 820 является преемником прошлогоднего Kirin 810. Мобильный процессор будет дебютировать с выпуском Honor 30S. Производительность по тестам выглядит на уровне чипа компании Qualcomm Snapdragon 855. Huawei Kirin 820 уже официально представлен. Графический процессо...

В бюджетном MacBook и iPad Pro следующего года будут одинаковые процессоры В последнее время в Сети всё больше обсуждаются планы Apple касаемо перевода фирменных ноутбуков MacBook на чипы с архитектурой ARM. Интернет-издание Fudzilla опубликовало информацию, предоставленную «достоверным источником», согласно которой бюджетный MacBook 2021 года полу...

Микроархитектура NVIDIA Ampere обеспечит прирост производительности на 50% при вдвое меньшем энергопотреблении по сравнению с Turing Компания Yuanta Securities Investment Consulting Co поделилась очень интересными сведениями о видеокартах NVIDIA GeForce следующего поколения, звестных под кодовым названием Ampere. Как утверждают в компании, Ampere обеспечит на 50% более высокую производительность по сравне...

AMD готовит новые процессоры и видеокарты для релиза в 2022 году Некоторое время назад появилась информация от инсайдеров о том, что следующее поколение процессоров и графических процессоров AMD появится в 2022 году. Сегодня данная информация стала более определенной после того, как генеральный директор AMD Лиза Су изложила следующие шаги...

Представлен процессор IBM Power10 Компания IBM представила следующее поколение своего семейства процессоров Power — IBM Power10. Разработанный «для удовлетворения уникальных потребностей корпоративных гибридных облачных вычислений», процессор IBM Power10 стал первым серийным 7-нанометр...

Поддержка PCIe 4.0 в процессорах Intel появится лишь спустя год после того, как она появилась в CPU AMD В этом году компания Intel выведет на рынок мобильные процессоры Tiger Lake. Они будут использовать 10-нанометровый техпроцесс и предложат новую архитектуру как для CPU, так и для GPU. Ранее в Сети уже появлялись слухи о том, что именно эти процессоры станут первенцами ...

Nanya до конца года выпустит микросхемы оперативной памяти 10-нм класса Компания Nanya является самым крупным тайваньским производителем микросхем памяти, и четвёртым в мире с долей чуть больше 3 %. Несмотря на значительное отставание от Samsung, Micron и SK Hynix в объёмах поставок, тайваньский производитель продолжает работать и над новыми тех...

Китайская компания ASML объявила о выпуске оборудования для производства чипов по 5-нм техпроцессу Китай находится на пороге производства чипов по 5-нм техпроцессу

Четвертый квартал стал для Intel рекордным В делах компании наметились улучшения, в том числе налаживание выпуска чипов по 10-нанометровой технологии и сокращение дефицита поставок, однако краеугольным камнем ее бизнес продолжает оставаться процессор Xeon. Компания Intel сообщила о неожиданно удачных результатах ч...

10-ядерные настольные процессоры Intel Comet Lake дебютируют через месяц Несколько дней назад в Сети появилась информация о том, что новейшие мобильные процессоры Intel Comet Lake-H и мобильные видеокарты GeForce RTX Super будут представлены 2 апреля, а в продаже появятся 15 апреля. Теперь же у нас есть данные относительно выхода настольных...

Kirin 820 окажется флагманской платформой, она заткнет за пояс Snapdragon 765G Неожиданные новости появились относительно однокристальной платформы Kirin 820, которую Huawei готовит на смену Kirin 810. Как пишет источник, новинка окажется флагманской платформой «без ограничений, обусловленных ценой». Как сообщается, Kirin 820 будет пр...

Процессоры Intel нового поколения догонят AMD ещё по одному параметру Про процессоры Intel Tiger Lake мы говорим всё чаще. Они придут на смену поколению Ice Lake, сохранив 10-нанометровый техпроцесс, но принеся новую архитектуру как CPU, так и GPU. Стоит уточнить, что речь идёт исключительно о мобильном сегменте. Когда 10-нанометровые про...

Компания Samsung готовит новый сенсор на 144 Мп Компания Samsung уже готовит новый датчик с рекордным разрешением. Если верить слухам интернета то, компания Samsung готовит сенсор с разрешением 144 Мп. Где компания будет применять при изготовлении 14 нанометровый техпроцесс. Больше информации о новом датчике, к сожален...

Слухи: 6-нм Kirin 820 с ядрами Cortex-A77 готовится к печати во II квартале В июне прошлого года Huawei анонсировала однокристальную систему Kirin 810. Этот 7-нм кристалл был рассчитан на смартфоны среднего уровня от компании Huawei и принадлежащей ей марки Honor. Nova 5 и Nova 5 Pro были первыми смартфонами с процессором Kirin 810. Согласно слухам,...

Глава Nvidia подтвердил, что компания может начать выпуск процессоров под собственной маркой Как мы уже сообщали, компания Nvidia подписала соглашение о покупке компании Arm за 40 млрд долларов. Комментируя сделку, генеральный директор Nvidia Дженсен Хуанг (Jensen Huang) подтвердил напрашивающееся само собой предположение, что в будущем на рынке могут появиться...

Samsung готовит к выходу 144-Мп камеру 108 Мп для камеры смартфона не предел. Сетевой инсайдер сообщил, что Samsung планирует использовать 14-нанометровый техпроцесс для создания датчика на 144 Мп.

AMD продолжит выжимать из GPU Vega всё, что только возможно. Гибридные процессоры Ryzen 5000 не получат GPU с RDNA2 Следующее поколение гибридных процессоров AMD будет называться Cezanne. Это поколение будет включать как настольные APU Ryzen 5000G, так и мобильные Ryzen 5000U и 5000H. Настольные, скорее всего, выйдут не раньше следующего лета, потому что ещё не вышли даже Ryzen 4000G...

Примерный график выхода новых продуктов AMD, Intel и Nvidia в 2020 году 2020 год начался с анонса впечатляющих мобильных процессоров AMD Ryzen 4000 и видеокарты Radeon RX 5600 XT, успех которой пока под вопросом. Специалисты источника решили собрать имеющуюся информацию и слухи в единый график выхода новых продуктов AMD, Intel и Nvidia в эт...

Самый мощный GPU Nvidia следующего поколения впервые засветился в Сети Менее чем через две недели компания Nvidia должна раскрыть первые подробности об архитектуре Ampere, которая ляжет в основу новых видеокарт GeForce. Напомним, последние данные указывают на то, что соответствующие GPU будут производиться по техпроцессу 7 нм. Сами видеока...

Мобильный 8-ядерный процессор Intel Core i9-10980HK потребляет больше настольного 16-ядерного AMD Ryzen 9 3950X В Сети появилось интересное сравнение энергопотребления двух полярных CPU — мобильного Intel Core i9-10980HK и настольного AMD Ryzen 9 3950X: несмотря на двукратное преимущество в количестве ядер последнего, первый потребляет энергии даже больше! В сравнении поуча...

Грядущие флагманы Huawei P50 и Huawei Mate 40 уже тестируют Известный исайдер Эван Блэсс (Evan Blass), известный под ником @evleaks, опубликовал на своей страничке в Twitter информацию о том, что компания Huawei уже начала тестирование смартфонов Huawei P50 и Huawei Mate 40. Ожидается, что линейка Huawei P50 будет включать неско...

СХД AERODISK на отечественных процессорах Эльбрус 8С/8СВ Привет, читатели Хабра. Хотим поделиться крайне приятной новостью. Мы наконец-то дождались реального серийного выпуска нового поколения российских процессоров Эльбрус 8С и 8СВ. Официально серийный выпуск должен был стартовать аж в 2016 году, но по факту именно массовое прои...

AMD получает новые видеокарты Nvidia ещё до их выхода на рынок Журналист ресурса Gamer Meld пообщался с представителями компании AMD касательно новых продуктов, планов и много другого. Были затронуты различные темы, к примеру, процессоров Intel, которые все ещё используют 14-нанометровый техпроцесс. Также был упомянут ноутбук Asus...

Redmi Note 9 Pro засветился в Geekbench В базе данных теста производительности Geekbench засветился смартфон Xiaomi Redmi Note 9 Pro. Судя по информации Geekbench, смартфон получит восьмиядерный процессор Qualcomm Snapdragon 730G с тактовой частотой 1.8 ГГц построенный по 8-нанометровому техпроцессу и имеет два в...

Что скрывается под крышками новейших процессоров Ryzen 5000. Их кристаллы выросли Процессоры Ryzen 5000 сохранили техпроцесс 7 нм и количество ядер, но они получили новую архитектуру и ряд изменений конфигурации. И это отразилось на кристаллах CPU.  Уже нашлись энтузиасты, которые вскрыли новый процессор AMD и сравнили его кр...

Новые процессоры Intel ещё не вышли, а уже разочаровали. Настольные Rocket Lake будут основаны на уже устаревшей архитектуре В начале следующего года компания Intel должна выпустить настольные процессоры Rocket Lake. Это будут первые за многие годы настольные CPU с новой архитектурой, но, к сожалению, они всё ещё будут производиться по 14-нанометровой технологии.  И ...

Первая за многие годы альтернатива AMD и Intel. Это процессор VIA CenTaur Похоже, компания VIA действительно готова вернуться на рынок x86-совместимых процессоров. В конце прошлого года мы писали о некоем на тот момент безымянном CPU, который получит восемь ядер и специальный блок NCore для работы с приложениями ИИ. Судя по всему, на фотограф...

Intel – больше никаких задержек в освоении 10 нм техпроцесса не будет Интел твёрдо уверенна в своих планах

Galaxy S11, S11+, S11e получат процессор Qualcomm Snapdragon 865 Компания Samsung решила продавать смартфоны Galaxy S11, Galaxy S11+ и Galaxy S11e на глобальном рынке с процессором Snapdragon 865 вместо Exynos 990. Производитель пошёл на такой шаг, так как новый процессор Qualcomm намного мощнее фирменного процессора Exynos. Snapdragon 8...

До конца года в Китае будет налажен выпуск 7-нм полупроводников По сообщениям ряда источников, один из крупнейших китайских производителей полупроводниковой продукции Semiconductor Manufacturer International Corporation (SMIC) объявил о планах начать мелкосерийное производство по 7-нм технологическим нормам в четвертом квартале 2020 года...

В GPU-z замечен процессор AMD Ryzen 7 5800G (Cezanne) для настольных ПК В базе GPU-z появился процессор AMD Ryzen 7 5800G (Cezanne), который, похоже, станет флагманом линейки настольных APU. Полные спецификации в GPU-z отсутствуют, но есть данные о графической части, исходя из которых, источник сделал вывод, что это ранний инженерный образе...

Компания Samsung представила память HBM2E третьего поколения — Flashbolt Компания Samsung Electronics сообщила о выпуске памяти с высокой пропускной способностью (High Bandwidth Memory) HBM2E третьего поколения, которая получила имя Flashbolt. Эта память была анонсирована в марте прошлого года, а начать ее серийный выпуск южнокорейский произ...

Intel выжала еще 200 МГц из Pentium Gold G5620, получился Pentium Gold G6600 Техпроцесс — вещь упрямая: сколько не совершенствуй текущий, сильно в плане частот не продвинешься. Именно поэтому несколько последних поколений CPU Intel, задержавшиеся на нормах 14 нм, все никак не подвинутся серьезно в частотах и количестве ядер. Как пример уме...

Китай создал «национальные» процессоры для ПК и серверов Новые процессоры, по информации ресурса Xinhuanet, создавались для использования в ноутбуках, настольных компьютерах, а также в серверной технике и сетевом оборудовании, что и делает их универсальными.Loongson 3A4000 и 3B4000 базируются на микроархитектуре Loongson версии GS...

Следующий этап камер Samsung — 144 Мп Долгое время рынок камер для смартфонов стоял на месте. Тогда в топовых моделях доминировали 12-мегапиксельные датчики. Теперь же у нас есть датчики разрешением 48, 64 и даже 108 Мп. 108 Мп в сравнении с 12 Мп Предел ли это? Вряд ли. Судя по документу, предоставл...

Смартфон Samsung Galaxy A71 с 5G засветился в Geekbench Компания Samsung работает над специальной версий смартфона Samsung Galaxy A71 с поддержкой сети пятого поколения. Ранее это было всего лишь слух простора интернета, а теперь информация подтвердилась. Смартфон Samsung Galaxy A71 заметили в базе данных приложений теста произ...

В мини-ПК Ruijie RG-CT7800 используется процессор Zhaoxin KaiXian Источник рассказал о мини-ПК Ruijie RG-CT7800, который интересен тем, что в нем используется разработанный в Китае процессор Zhaoxin KaiXian. Говоря точнее, однокристальная система KX-6780A, о которой мы недавно рассказывали. Конфигурация Zhaoxin KaiXian KX-U6780A вклю...

8 ядер, 16 потоков и частота выше 5,0 ГГц для модели Core i7. Intel анонсировала мобильные процессоры Comet Lake-H Компания Intel в преддверии выставки CES 2020 анонсировала процессоры Comet Lake-H, входящие в состав линейки CPU Intel Core 10-го поколения. Это топовые CPU Intel для ноутбуков, идущие на смену линейке 9-го поколения Coffee Lake Refresh-H. Intel пока не рассекретила л...

Первые данные про Snapdragon 875 Snapdragon 865 во многом самая производительная и удачная однокристальная система для смартфонов. Но справедливо это будет в лучшем случае до начала следующего года, когда Qualcomm выпустит следующее решение этого класса. Источник утверждает, что новая SoC будет называ...

Китайские “антисанкционные” процессоры стали вдвое мощнее Модели 3A4000 и 3B4000 работают на частотах 1,8 и 2 ГГц, имеют по четыре однопоточных ядра оригинальной архитектуры GS464V и способны выполнять x86-инструкции в режиме аппаратной трансляции. Последнее означает, что даже при одинаковой вычислительной мощности с процессорами I...

Технические характеристики чипов Snapdragon 765 и 765G Qualcomm представила чипы Snapdragon 765 и Snapdragon 765G. Процессор Snapdragon 765G SoC с 7 нм техпроцессом и восемью ядрами. Qualcomm все еще использует процессорные ядра на базе Cortex-A76 на SD765 и SD765G. SD765 и SD765G поставляются с графическим процессором Adreno, к...

Появились первые тесты десятиядерного процессора Intel на новом сокете Компания Intel пока не представила настольные процессоры Comet Lake. Согласно недавним слухам, такие CPU выйдут в апреле, хотя анонс, конечно, может состояться раньше. Напомним, эти процессоры перейдут на сокет LGA 1200, но сохранят текущий 14-нанометровый техпроцесс и...

Intel нашла объяснение своим неудачам в освоении 10 нм техпроцесса Наконец мы узнаем истинные причины проблем Интела

Basemark постарается сделать первый тест графической производительности компьютеров Mac на основе Apple Silicon В понедельник компания Apple объявила о переводе своих ПК на процессоры собственной разработки. Эту разработку компания назвала Apple Silicon. Хотя переход был вполне ожидаемым, и компания Apple опубликовала довольно много информации о своих планах, ключевой вопрос оста...

Qualcomm рассказала, почему Snapdragon 865 создан не по 5-нм ... На ежегодном мероприятии Snapdragon Tech Summit компания Qualcomm представила сразу три новых чипа: Snapdragon 865, 765 и 765G. Их анонс компания растянула на два дня, оставив оглашение характеристик на день сегодняшний. Кроме того, топ-менеджеры чипмейкера устроили сессию ...

Epistar создаст в Китае совместное предприятие, которое будет выпускать микросветодиоды Крупнейший тайваньский производитель светодиодов Epistar собирается создать совместное предприятие с участием китайской компании Leyard Optoelectronic, выпускающей светодиодные дисплеи. Совместное предприятие будет заниматься выпуском модулей подсветки на миниатюрных св...

Высокопроизводительные мобильные процессоры Intel 11-го поколения (Tiger Lake-H) выйдут в начале 2021 года По сообщению Compal, компания Intel готовит серию 10-нм процессоров Tiger Lake-H для высокопроизводительных ноутбуков, планируя их выход на первый квартал 2021 года. Эти процессоры придут на смену нынешним 45-ваттным CPU Intel 10-го поколения Comet Lake-H, использующим стару...

MediaTek анонсировала процессоры Helio G70 и Helio G70T Компания MediaTek представила два новых процессора с названиями Helio G70 и Helio G70T. Процессоры построены по 12 нм техпроцессу FinFET и имеют восемь ядер. За производительность ядра отвечает Cortex-A75, а за энергоэффективность Cortex-A55. А вот за графический ускоритель...

Intel планирует и далее снижать цены на процессоры Длительное время политика Intel в отношении цен на процессоры была неизменной – компания отказывалась от практики снижения цен. Но, столкнувшись с усилением конкуренции со стороны AMD и проблемами в освоении 10-нанометрового технологического процесса, Intel всё же была вынуж...

Китайский процессор Zhaoxin KaiXian KX-7000 будет производиться по 7-нм техпроцессу В то время пока AMD атакует Intel по всем фронтам и отвоёвывает часть рынка, китайская компания Shanghai Zhaoxin Semiconductor медленно, но уверенно разрабатывает и выпускает собственные процессоры. В прошлом году был представлен 8-ядерный...

5-нанометровая SoC Kirin 1000 дебютирует в Huawei Mate 40 Предстоящий флагманский смартфон Huawei серии Mate 40 давно находится в разработке. Известный инсайдер под ником @rodent950 утверждает, что флагманский чип следующего поколения Huawei называется Kirin 1000. Он будет производиться силами TSMC с использованием 5-нанометро...

Redmi объяснила, почему выбрала для Redmi K30 платформу Qualcomm, а не MediaTek Вчера стало известно, что Redmi K30 станет первым в мире смартфоном, построенным на однокристальной платформе Qualcomm Snapdragon 765G. А сегодня компания рассказала, почему именно это SoC выбрана для Redmi K30. Как оказалось, в Snapdragon 765G кое-что роднит с топовым...

Представленные на CES 2020 процессоры AMD не сменят поколение техпроцесса Но в отношении процессоров Intel этого утверждать нельзя.

16-ядерный 16-нанометровый российский процессор «Эльбрус-16С» выйдет в 2022 году Компания «МЦСТ» на данный момент занимается разработкой сразу трёх совершенно разных процессоров «Эльбрус». Об этом источнику сообщил представитель компании Максим Горшенин. Самым впечатляющим из них является серверный «Эльбрус-16С»,...

Новые настольные процессоры Intel выйдут не ранее апреля В начале следующего года, согласно всем слухам и утечкам, Intel должна представить новое поколение своих настольных процессоров. Называться оно будет Comet Lake. Несмотря на то, что микроархитектура и техпроцесс не изменятся, новые CPU потребуют новый сокет — LGA ...

Samsung представила Galaxy M31s Компания Samsung представила новый бюджетный смартфон под названием Galaxy M31s. Смартфон Samsung Galaxy M31s оснастили 6.5 дюймовым Super AMOLED дисплеем с разрешением экрана Full HD+. На дисплее расположился круглый вырез для 32 мегапиксельной фронтальной камеры. Основна...

GeForce RTX 3060 и RTX 3050 уже на подходе Nvidia традиционно открывает новую линейку видеокарт моделями топового уровня — в семействе Ampere это будут GeForce RTX 3080 и RTX 3080 Ti. Само собой, производство графических процессоров для таких моделей начинается раньше, а потом стартует производство GPU мод...

Слух: В 2021 году Apple выпустит Mac с собственным процессором Как ожидается, он будет гораздо производительнее, чем процессоры компании, которые устанавливаются в iPhone и iPad. Компания Apple планирует в 2021 году выпустить компьютеры Mac с процессором собственной конструкции, который будет намного быстрее процессоров в iPhone и i...

Системная плата Intel H510, как подтверждение процессоров Rocket Lake-S Intel пока еще не вывела на рынок процессоры Comet Lake-S, но на горизонте уже маячат их преемники — Rocket Lake-S. Ожидается, что они (наконец-то!) станут последними 14-нанометровыми CPU Intel, и при этом, само собой, сохранят микроархитектуру Skylake. Учиты...

Представлен конкурент Snapdragon 710 После выпуска однокристальных систем Helio G90 и G70 компания MediaTek представила новый мобильный чип среднего класса Helio G80. Helio G80 производится по нормам 12-нанометрового технологического процесса. В этой однокристальной системе используется технология Big.Litt...

В Китае создано литографическое оборудование для производства полупроводниковой продукции по нормам 28 нм Санкции США, ограничивающие использование американских технологий Китаем, не привели к остановке китайской полупроводниковой промышленности. Лишившись возможности закупать не только американское оборудование, но и оборудование, произведенное в других странах с использов...

Huawei вынуждена будет променять TSMC на SMIC? Отношения между правительствами США и Китая в последнее время далеки от дружественных и обострились на фоне обвинений Пекина в сокрытии истинных масштабов распространения коронавируса. Со своей стороны, китайцы отвергли претензии Белого дома, заявив, что «обновляют ста...

У Huawei уже кое-что получше Qualcomm Snapdragon 865. Флагманская SoC Kirin 1020 обещает на 50% больше производительности Компания Qualcomm представила свою флагманскую платформу следующего поколения Snapdragon 865, буквально, на днях. Одновременно с топовым решением были анонсированы решения среднего уровня — Snapdragon 765/765G.  Концепт Новые SoC появятся в смартфонах только...

Nvidia станет крупнейшим заказчиком 5-нм и 7-нм процессов TSMC Как сообщает авторитетное тайваньское издание Digitimes, компания Nvidia размещает крупные заказы на производство графических кристаллов на базе предприятий TSMC. В частности, Nvidia интересуют улучшенный 7-нм и 5-нм техпроцессы с использованием экстремальной ультрафиолетово...

Что известно о новом процессоре Helio G70 Если вы относитесь к категории тех пользователей, которые готовы отправить «в топку» смартфон, только увидев среди его характеристик процессор MediaTek, то в случае c Redmi 9 у него нет шансов вам понравится. Естественно, при условии, что все пророчества об устан...

Восемь «больших» и восемь «маленьких» ядер в CPU Intel. Настольные процессоры Alder Lake будут очень необычными Как известно, у Intel нет 10-нанометровых настольных процессоров и не будет в ближайшее время. Грядущие новинки — CPU линейки Comet Lake — не принесут никаких кардинальных изменений, если не считать нового сокета и появления 10-ядерных моделей. Следующие за ...

Очередной новый сокет у Intel появится уже в следующем году. Необычные гетерогенные CPU Alder Lake будут иметь исполнение LGA 1700 На днях Intel представила настольные процессоры Comet Lake, которые в целом мало отличаются от Coffee Lake, но имеют новое исполнение — LGA 1200. Такой же сокет будут использовать и CPU Rocket Lake, которые должны выйти в конце текущего года. Они сохранят 14-нано...

Ядра Samsung Exynos M6 и M7 предназначались для Samsung Galaxy S21 и S22 соответственно В профилях LinkedIn сотрудников Samsung, которые ранее занимались разработками процессорных ядер, обнаружены упоминания неизвестных ядер Exynos M6 и даже Exynos M7. Напомним, компания Samsung решила закрыть свой научно-исследовательский центр, в котором над процессорным...

Видеокарты GeForce RTX 4000 могут выйти раньше, чем считалось Следующее поколение видеокарт Nvidia GeForce под названием Ada Lovelace может появиться на рынке раньше, чем считалось. Когда именно, неясно, но источник говорит, что это произойдёт несколько раньше.  Последние слухи говорили о том, что такие адапте...

Samsung начала серийный выпуск скоростной флэш-памяти eUFS 3.1 объемом 512 ГБ для смартфонов Samsung Electronics сообщила о начале серийного выпуска скоростных модулей флэш-памяти eUFS (embedded Universal Flash Storage) 3.1 объемом 512 ГБ для следующего поколения флагманских смартфонов, планшетов и других мобильных устройств. Новая флэш-память eUFS 3.1 втрое быстрее...

Результаты тестирования мобильной графики Intel DG1 доступны в базе CompuBench Упоминания о дискретной графике Intel DG1 в базе данных ЕЭК позволили узнать, что это графическое решение получит 96 исполнительных блоков. Подразумевается, что в иерархии обозначений Intel соответствующая графическая архитектура будет относиться к 12-му поколению (Gen 12), ...

32 ГБ памяти HBM2, GPU частотой 1,33 ГГц и 100 Вт TDP. Это новая видеокарта AMD Линейка 3D-карт AMD для профессионального применения Radeon Instinct на данный момент включает две модели: MI50 в версиях с 16 и 32 ГБ памяти HBM2 и MI60 с 32 ГБ HBM2. Но уже очень скоро придет подкрепление — в лице топовой Radeon MI100. Согласно новым данным, но...

Xiaomi запустила собственный завод по производству флагманских смартфонов В конце ноября мы писали о том, что Xiaomi построила суперфабрику по производству флагманских смартфонов в особой экономической зоне Пекина — об этом сообщил глава компании Лей Цзунь (Lei Jun) на мероприятии World 5G Conference. А сегодня вице-президент Xiaomi и г...

SoC Dimensity 1200 опережает по скорости Snapdragon 865 и содержит новый процессор обработки изображений Ранее компания MediaTek официально сообщила о том, что новые однокристальные системы линейки Dimensity будут официально представлены 20 января. Теперь же источники сообщили о том, что новая флагманская платформа, которая придёт на смену Dimensity 1000+, получит название...

Да, в новейших мобильных процессорах AMD Ryzen 4000 используются всё те же GPU Vega Компания AMD сегодня представила долгожданные мобильные процессоры нового поколения. APU Ryzen 4000 перешли на микроархитектуру Zen 2 и вдвое нарастили максимальное количество ядер. Однако кое-какие моменты в пресс-релизе AMD были упущены, поэтому на них стоит остановит...

ЦП AMD Ryzen 4000-ой серии и чипсет X670 появятся в конце 2020 года Следующее поколение процессоров AMD Ryzen будет создано на базе 7 нм+ техпроцесса (архитектура Zen 3). Ожидается, что эти решения появятся на рынке вместе с новым чипсетом Х670 в конце следующего года. Специалисты предполагают, что свежие ЦП будут еще мощнее (больше ядер, в...

Intel наконец-то «представила» 10-нанометровые процессоры, которые лежат в основе нового MacBook Air Когда Apple только представила новый MacBook Air, было неясно, что же за процессоры лежат в его основе. Мы знали о частотах и количестве ядер, но не о моделях. Чуть позже, благодаря первым тестам, мы узнали, что речь идёт о 10-нанометровых процессорах Ice Lake-Y. Но пар...

Выручка Intel на 20 % зависит от продукции, выпускаемой сторонними компаниями Слухи о сотрудничестве Intel с Samsung в сфере контрактного производства собственной продукции породили немало кривотолков. Между тем глава Intel поясняет, что компания исторически примерно на 20 % зависела в показателях выручки от продукции, которую сама не изготавливала. Т...

5,4 ГГц при всех 10 активных ядрах. Core i9-10900K демонстрирует отличный разгонный потенциал В Сети появилось интересно свидетельство возможностей разгона нового флагмана Intel для настольных ПК – 10-ядерного процессора Core i9-10900K: при заявленной максимальной частоте 4,9 ГГц при всех активных ядрах пользователю удалось заставить его работать на частот...

Huawei Nova 7 может получить процессор Kirin 985 Компания Huawei может представить серию смартфонов Nova 7 в следующем месяце. Ожидается, что в неё войдут минимум три смартфона: Nova 7 SE, который должен стать вторым носителем чипсета Kirin 820 5G, а также Nova 7 и Nova 7 Pro с поддержкой 5G и более производительными проце...

Прирост производительности на 50% предложит Kirin 1020 Прошло только три месяца с момента анонса Kirin 990, а уже прозвучали первые подробности о грядущем флагманском чипе Kirin 1020. Новый процессор должен стать крепким орешком и, если все сложится как задумано, прирост производительности по сравнению с предшественником будет о...

Принцип «тик-так» не является главенствующим для AMD при смене архитектур В ноябре курирующий серверное направление в AMD Форрест Норрод (Forrest Norrod) намекнул, что компания будет придерживаться принципа, похожего на знаменитый «тик-так» Intel. Одна архитектура может использовать две смежные ступени техпроцесса, а новую архитектуру принято внед...

Для Samsung Galaxy Note 20 готовят новый процессор Samsung часто критикуют из-за линейки ее фирменных процессоров Exynos. Не так давно даже состоялся демарш против Exynos 990. Был организован сбор подписей под петицией с требованием к Samsung отказаться от использования проприетарных однокристальных систем. Естественно, что ...

Ryzen 5 1600 AF — уникальный процессор за невероятную цену Настольные процессоры Ryzen второго поколения не особо отличаются от CPU первого. Да, они переведены на обновлённый 12-нанометровый техпроцесс, но в целом это почти те же CPU с несколько повышенными частотами. В частности, Ryzen 5 1600 и Ryzen 5 1600X до сих пор остаютс...

iPhone 11 настолько популярный, что Apple не успевает за спросом Где-то в TSMC после получения заказа от Apple В производстве iPhone участвуют множество компаний. Apple только ведет разработку устройства, однако большинство компонентов изготавливается ее поставщиками, с которыми технологический гигант работает уже долгие годы. В то же вре...

Digitimes: Samsung переносит начало серийного производства 3-нанометровой продукции на 2022 год Samsung, как и многие другие производители, продолжает сталкиваться с негативными последствиями пандемии коронавируса, которая на момент написания этих строк унесла жизни более 82 000 человек по всему миру. Ранее южнокорейскому производителю приходилось закрывать магазины и ...

Intel приобретает Rivet Networks Компания Intel сообщила об очередной покупке. Крупнейший производитель полупроводниковой продукции, забуксовавший в освоении следующего этапа технологических норм и сдающий позиции на рынке процессоров, приобрел компанию Rivet Networks. Intel покупает компанию...

Встроенная графика в Ryzen 7 4700U оказалась значительно производительнее GeForce MX250 До выхода ноутбуков на процессорах AMD Ryzen 4000-й серии остаётся всё меньше времени, и поэтому, как это обычно и бывает, в Сети появляется всё больше подробностей о новинках. На этот раз процессор AMD Ryzen 7 4700U был протестирован в бенчмарке 3DMark 11, в котором продемо...

Oppo подтверждает, что заинтересована в разработке ... Китайская компания Oppo собирается заняться выпуском собственных процессоров и первенцем станет Oppo M1. Использование фирменных чипов позволит производителю уменьшить его зависимость от таких чипмейкеров как Qualcomm и MediaTek.   В рамках проведения конференции Oppo...

Intel готова освоить 5-нм техпроцесс к середине 2024 года На шаг позади AMD.

Для выпуска однокристальной системы Nvidia Orin используется 8-нм техпроцесс На днях компания Nvidia представила аппаратную платформу Drive AGX Orin, созданную для беспилотных автомобилей. Её основой служит SoC Orin, насчитывающая более 17 млрд транзисторов. Этот чип сочетает ARM-ядра Hercules, блоки машинного обучения, графический...

Новинки Intel, AMD и NVIDIA подогреют рынок ПК в третьем квартале Начало года — хороший период для составления прогнозов, и они в эти дни звучат не только с трибуны CES 2020. Тайваньские источники, знакомые с планами производителей компьютерных компонентов, связывают надежды на оживления спроса в этом сегменте рынка с третьим кварталом тек...

Kirin 985 против Kirin 980: какой процессор производительнее Сегодня состоялась премьера серии Honor 30, где Pro-версии получили чип Kirin 990, а вот центральная модель линейки дебютирует с новым чипсетом Kirin 985. После Kirin 990 и Kirin 820 это третий по счету процессор, изготовленный по 7-нанометровому техпроцессу с применением EU...

Слухи: Dell готовит ноутбуки на базе будущих процессоров AMD Cézanne Ещё толком не успели начаться продажи ноутбуков на базе процессоров Renoir (Ryzen 4000), а в Сети уже гуляет информация об их преемниках. По слухам, компания Dell уже работает над новым семейством портативных рабочих машин на базе совершенно нового семейства процессоров AMD ...

Intel может пойти на эксперимент с выпуском 7-нм процессоров силами Samsung До серийного производства дело не дойдёт.

GeForce RTX 2080 Ti Super может оказаться уникальной видеокартой со старым GPU на новом техпроцессе В последнее время появились слухи о том, что Nvidia всё же может выпустить видеокарту GeForce RTX 2080 Ti Super. При этом другие слухи твердят об относительно скором выходе карт нового поколения Ampere, построенных на семинанометровых GPU. Эта информация во многом проти...

iPhone 12 будет таким же мощным, как MacBook Pro 15. Но нужно ли это? У многих есть вопросы к быстродействию iPhone с iOS 13. Новый чип может все исправить Мы много слышали о том, что ждать от iPhone в этом году, которых пока что обобщают под названием iPhone 12. Скорее всего, их внешний вид будет похож на iPhone 4 и текущие модели iPad Pro; т...

Intel удалось значительно поднять частоту процессоров Tiger Lake Компания Intel формально анонсировала процессоры для мобильных ПК Tiger Lake на выставке CES 2020 на прошлой неделе. Тогда обошлось без особых технических подробностей, но они подоспели сейчас: один из перспективных Tiger Lake засветился в базе 3D Mark, и кое-что в его ...

Гонка вооружений: Samsung готовит датчик на 144 Мп В 2002 году в телефонах появились первые 0,3 Мп камеры, которые и открыли миру такое направление как мобильная фотография. С появлением модулей на 2 Мп и 5 Мп матрицы в мобильных устройствах перестали быть никчемной игрушкой, качество снимков стало приемлемым.   Правд...

Процессоры AMD Zen 4 на 5 нм техпроцессе попали в тройку первых заказов TSMC на 2020 год Наступление АМД состоится по всем фронтам

В следующем году основная часть продукции Intel будет выпускаться по 14-нм технологии Непотопляемый техпроцесс может остаться в строю до 2022 года.

Процессоры Intel на базе 5-нм техпроцесса могут выйти уже в 2022 году – одновременно с AMD Zen 4 Изучаем сведения о сроках выхода 5-нм процессоров Intel

«Большой Navi» будет вдвое больше графического процессора в современных топовых видеокартах AMD Ранее слухи указывали на то, что новые топовые настольные видеокарты AMD мы получим в начале лета. Однако сейчас ситуация на рынке изменилась, и неясно, когда же компания выпустит свои новинки. Между тем, в Сети появились некоторые подробности о GPU AMD нового поколения...

Baidu и Samsung запустят в производство чип для ускорения задач ИИ остроенный на базе 14-нм техпроцесса и технологии упаковки I-CubeTM от Samsung, процессор Baidu KUNLUN призван расширить экосистему искусственного интеллекта и трансформировать пользовательский опыт.

Наращивание выпуска продукции на фабрике BOE 10.5G в Ухани подорвано вспышкой коронавируса Усилия BOE Technology по наращиванию производства на линии по выпуску плоских панелей с использованием подложек 10,5 G, расположенной в провинции Ухань, оказались подорваны вспышкой коронавируса. По сообщению источника, цель компании вывести предприятие на полную мощнос...

Память ReRAM компании Weebit движется к производству с опережением графика Израильская компания Weebit Nano сообщила, что приближается к коммерческому производству памяти ReRAM (энергонезависимой резистивной памяти) с опережением графика на четыре месяца. Ранее целью Weebit было начать массовый выпуск памяти ReRAM в виде коммерческих продуктов в де...

Xiaomi выпустит ноутбук RedmiBook 16 с процессором Intel Core i7 Бренд Redmi, созданный китайской компанией Xiaomi, опубликовал ряд тизер-изображений, говорящих о скором выходе нового портативного компьютера RedmiBook 16. В мае нынешнего года, напомним, дебютировал ноутбук RedmiBook 16 на платформе AMD. Этот лэптоп оборудован 16,1-дюймовы...

Intel обещает выпустить 10-нм процессоры Ice Lake-SP к концу 2020 года На недавней конференции UBS компания Intel положила конец слухам о пропуске 10-нм техпроцесса в настольной и серверной платформах и непосредственному переходу с 14 нм на 7 нм. Представитель компании заявил: «Intel остается на...

Intel готовит нам очень большой сюрприз? Гетерогенные 10-нанометровые настольные CPU Alder Lake могут выйти уже в этом году Похоже, в этом году Intel нас может сильно удивить. Для начала вспомним, какие продукты компании мы должны получить в этом году, если ориентироваться на официальные заявления и документы самой Intel. Нас точно ждут настольные Comet Lake, мобильные 10-нанометровые процес...

Intel попытается усилить свои позиции в мобильном сегменте новым процессором. Но он будет 14-нанометровым На сегодняшний день в линейке энергоэффективных процессоров Intel топовой новой моделью является Core i7-10710U. Это единственный шестиядерный процессор линейки Comet Lake. Но похоже, что вскоре у него появится старший брат. В документах Intel появилось упоминание модел...

В этом году Micron начнет выпуск памяти HBM2 Комментируя отчет за минувший квартал, компания Micron Technologies анонсировала начало поставок микросхем памяти HBM2. Эта память, предназначенная для высокопроизводительных видеокарт, серверных и других процессоров, по словам производителя, является востребованным и о...

SK hynix представит на CES 2020 потребительские твердотельные накопители с интерфейсом PCIe Южнокорейская компания SK hynix, известная как производитель микросхем памяти, планирует представить на выставке Consumer Electronics Show (CES) 2020, которая состоится 7-10 января в Лас-Вегасе, твердотельные накопители Gold P31 и Platinum P31. В этих SSD используется 1...

Acer оснастила ноутбуки Predator Triton 500 и Nitro 5 процессорами Intel Core 10-го поколения Вслед за анонсом мобильных видеокарт Nvidia GeForce RTX Super и 45-ваттных процессоров Intel Core 10-го поколения, крупные вендоры представили собственные устройства на их базе. Например, компания Acer сообщила о выпуске новых игровых ноутбуков...

В следующем квартале графическая память DRAM подорожает Аналитики DRAMeXchange прогнозируют рост контрактных цен на графическую память DRAM в первом квартале 2020 года. По их словам, этот сегмент чувствительнее к изменению спроса, чем другие, поэтому колебания цен также бывают значительными. В данном случае ожидается, что ко...

За год доход TSMC вырос на 45,2%, чистая прибыль — почти вдвое Компания TSMC, являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, опубликовала отчет за первый квартал 2020 года. Консолидированный доход за отчетный период достиг 10,31 млрд долларов. По сравнению с первым кварталом прошлого года...

Новый смартфон Nokia получит платформу, производящуюся по древнему техпроцессу На сегодняшний день большинство даже очень дешёвых смартфонов основано на однокристальных системах с достаточной для нормальной работы производительностью. Nokia 1 Plus Время откровенно слабых платформ, производительности которых не хватало даже просто для плавно...

Apple представила собственный процессор M1 "Для Mac пришло время сделать огромный шаг вперед. Для этого нам нужно было разработать ряд новых технологий", - отметил вице-президент Apple по выпуску компьютерных компонентов Джон Тернус. "Как мы говорили, мы разрабатываем семейство чипов. Мы намерены перев...

Samsung создала прототип 3-нм техпроцесса Samsung удалось создать прототип первого 3-нм техпроцесса. И теперь новая цель для Samsung - стать производителем полупроводников номер один в мире к 2030 году. 3 нм техпроцесс основан на технологии Gate All Around (GAAFET), которая отличается от отраслевого стандарта ...

MSI предложит карты Radeon RX 5500 XT в модификациях Mech и Gaming X До конца недели AIB-партнёры компании AMD представят собственные варианты графической карты Radeon RX 5500 XT. В частности, тайваньская фирма Micro-Star International готовит к выпуску модели линеек Gaming X и Mech, изображениям которых поделился...

TSMC готова начать массовый выпуск 5-нм продукции в апреле этого года Упоминания о сроках начала производства первых 5-нм продуктов на мощностях TSMC фигурируют в новостях с конца прошлого года — уже тогда это событие привязывалось ко второму кварталу. Теперь издание DigiTimes сообщает, что массовое производство 5-нм чипов будет запущено в апр...

В 2022 году NVIDIA может выпустить видеокарты на архитектуре Hopper – огромный GPU и 5-нм техпроцесс NVIDIA готовит ещё одну графическую архитектуру

12-нанометровый процессор MediaTek Helio G80 Тайваньский производитель процессоров MediaTek анонсировал свой новый бюджетный процессор Helio G80. Новый процессор MediaTek Helio G80 восемь ядер и построен по 12-нанометровому техпроцессу. Внутри установлено два ARM- ядра Cortex-A75 с максимальной частотой 2.0 ГГц и шест...

Разбираемся в параметрах представленных сегодня SoC Snapdragon 720G, 662 и 460 Компания Qualcomm сегодня представила сразу три новые мобильные однокристальные системы: Snapdragon 720G, 662 и 460. В пресс-релизе производитель ограничился описанием данных платформ множеством рекламных фраз, но нас интересуют параметры. Несмотря на то, что Qualcomm п...

Huawei разрабатывает собственный GPU Компания Huawei объявила о разработке собственного дискретного графического ускорителя для рынка HPC и серверов.

Краткая история космических микропроцессоров, часть вторая Это вторая часть статьи про историю микропроцессоров для космического применения. Первая часть – вот здесь. В ней на примерах американских и европейских микросхем мы посмотрели на историю развития радстойких чипов от первых однокристалльных процессоров до конца двухтысячных,...

Подтверждена одна из главных особенностей долгожданных действительно новых настольных CPU Intel. Линейка Rocket Lake получит GPU Xe На днях Intel представила новые настольные процессоры Comet Lake, которые, к сожалению, новыми являются весьма условно. Фактически это те же Coffee Lake, но с рядом не особо значительных изменений и в новом исполнении. Ну и, конечно, в линейке теперь есть 10-ядерные мод...

Выпуск обновленного электромобиля Chevrolet Bolt отложен до 2021 года Компания General Motors планировала в этом году выпустить обновленный электромобиль Chevrolet Bolt, но по сообщению источника, ссылающегося на письмо, полученное от пресс-секретаря компании, выпуск обновленного электромобиля Chevrolet Bolt отложен до 2021 года. «...

Intel рассказала о планах освоить 1,4-нм техпроцесс Компания не говорит, за счет чего она намерена быстро переходить на новые техпроцессы, учитывая, что ей понадобилось четыре года для перехода с 14 на 10 нм.

Intel подводит первые финансовые итоги в условиях пандемии Компания подтвердила стремление наращивать производство продукции по 10-нанометровой технологии и подготовку к выпуску Tiger Lake и процессоров Xeon на базе Ice Lake. Intel удается справляться с проблемами, связанным и пандемией COVID-19. Отчитавшись об успешном первом кв...

Даже в экономичном режиме с TDP 140 Вт процессор AMD Ryzen Threadripper 3970X производительнее Intel Core i9-10980XE c TDP 165 Вт Процессоры AMD Ryzen Threadripper третьего поколения, представленные в ноябре, моментально отправили в прошлое платформу Intel HEDT. Новые обзоры, в которых процессоры AMD Ryzen Threadripper третьего поколения были протестированы в режиме ECO, показали, как велико их пр...

Вторая очередь китайского завода Samsung по производству микросхем памяти начнет серийный выпуск продукции в следующем году Компания Samsung China Semiconductor подтвердила появившуюся недавно информацию, что южнокорейский гигант инвестирует еще примерно 8 млрд долларов в китайский завод, выпускающий микросхемы памяти. По теперь уже официальным данным, вторая очередь завода Samsung, ...

Конструкция системы охлаждения видеокарты Asus GeForce GTX 1650 GDDR6 Phoenix включает один 80-миллиметровый вентилятор Компания ASUS представила видеокарту Asus GeForce GTX 1650 GDDR6 Phoenix (номер по каталогу — PH-GTX1650-O4GD6). Эта карта занимает два слота в корпусе ПК, но небольшая длина и высота делают ее вполне подходящей для малогабаритных ПК. Длина карты равна 174 мм, выс...

Инженерный образец 10-нм мобильного CPU Intel Tiger Lake достиг 4,0 ГГц при активности всех ядер В следующем году Intel выведет на рынок мобильные процессоры нового поколения Tiger Lake-U/Y, которые заменят собой уже хорошо знакомые нам 10-нм Ice Lake-U/Y. Они останутся на техпроцессе 10 нм, но будут выделяться и новой процессорной архитектурой (Willow Cove вместо Sunny...

NVIDIA DGX A100 — возможный носитель нескольких графических процессоров Ampere Предлагаемая сейчас компанией NVIDIA высокопроизводительная система DGX-2 объединяет в одном достаточно компактном корпусе 16 графических процессоров поколения Volta. Зарегистрированная недавно торговая марка DGX A100 указывает на возможность появления преемника с графически...

Квартальный отчёт AMD: потребительские процессоры и видеокарты увеличили выручку на 73 % Первый квартал неизбежно подвержен сезонным колебаниям спроса, но в текущем году тенденция была нарушена вспышкой коронавируса, которая подняла спрос на серверные компоненты и ноутбуки. AMD завершила квартал с тем объёмом выручки, на который рассчитывала, но вот во втором по...

AMD рассказал о планах на будущее Zen 3, Zen 4, RDNA 2 и RDNA 3 На финансовом собрании компании AMD, представители компании рассказали о целях на ближайшие два года. AMD планирует выпустить больше графических карт с архитектурой RDNA, а также центральных процессоров семейства Zen 3 и Zen 4. Будущая архитектура RDNA 2 обеспечит улучшен...

AMD готовит следующий удар. В Сети засветился гибридный процессор линейки Ryzen 5000 Многочисленные слухи и утечки указывают на то, что гибридные процессоры AMD следующего поколения (Cezanne) получат CPU с архитектурой Zen 3 и GPU Vega. Выйдут они, скорее всего, в начале следующего года. Сегодня в Сети появились данные о конкретном APU. Инженерный обра...

Процессор Apple A14 будет 5-нанометровым TSMC приступит к производству процессоров для iPhone во втором квартале 2020 года

MediaTek анонсировала процессор Helio G80 Компания MediaTek представила однокристальную платформу Helio G80. В Helio G80 входит два высокопроизводительных вычислительных ядра архитектуры ARM Cortex A75, работающие с тактовой частотой до 2 ГГц, шесть ядер ARM Cortex A55 1.8 ГГЦ и графический ускоритель Mali-G52 MC2...

AMD: на прирост частот со сменой техпроцесса приходится рассчитывать всё меньше Нужно искать другие решения.

Компания Isuzu покупает UD Trucks за 2,3 млрд долларов, включаясь в электромобильную гонку Японская компания Isuzu Motors покупает марку UD Trucks, принадлежащую Volvo AB. Сделка оценивается в 2,3 млрд долларов. Она позволит Isuzu Motors объединиться с Volvo AB в разработке электромобилей и самоуправляемых транспортных средств для сокращения соответствующих р...

Ryzen 5 1600 приобрёл новый техпроцесс без публичной огласки AMD перевела свой старый процессор на новый техпроцесс, но даже не сообщила об этом СМИ

MediaTek представила игровой чипсет среднего класса Helio G80 Компания MediaTek выпустила свой флагманский мобильный игровой процессор Helio G90 в середине 2019 года, и это был успех, судя по популярности смартфона Redmi Note 8 Pro. Сегодня было представлено более доступное решение для геймеров — Helio G80.Процессор построен на 12-нм т...

Топовая 3D-карта Nvidia GeForce RTX 2080 Ti Super выйдет в начале 2020 года Слухи о подготовке компанией Nvidia топовой видеокарты GeForce RTX 2080 Ti Super ходят давно, но пока никакой конкретики относительно сроков выпуска новинки не было. И вот сейчас китайский источник немного прояснил ситуацию. Для начала нужно отметить, что все предсказа...

Apple скоро может отказаться от процессоров Intel в компьютерах Mac Кажется, Apple пора отказываться от Intel В 2020, если верить экспертам и аналитикам, Apple должна (просто обязана) представить чип собственной разработки для персональных компьютеров и первый (или даже первые) компьютеры на его основе. У Apple просто нет другого выхода. Int...

[Перевод] Wasm или не Wasm? Мы, в компании Linkurious, занимаемся работой над Linkurious Enterprise. Это — веб-платформа, которая, используя возможности графов и средства их визуализации, призвана помогать компаниям и органам власти, расположенным по всему миру, бороться с финансовыми преступлениями. ...

Huawei Kirin 820 превзошла по производительности Kirin 980 и Snapdragon 855 Китайские источники опубликовали первые результаты тестирования новой однокристальной системы Huawei Kirin 820, которая пока что не была официально анонсирована. Новая мобильная платформа была протестирована в популярном тестовом приложении Geekbench. В однопоточном реж...

TSMC назвала сроки начала производства 3-нм чипов Серийный выпуск таких чипов планируется наладить с 2022 года.

Ryzen 5 4500U не оставил никаких шансов новейшему конкуренту из стана Intel Новые мобильные гибридные процессоры AMD Ryzen 4000 пока ещё не добрались до рынка в составе ноутбуков, поэтому пока ещё актуальны различные утечки, касающиеся их производительности. Сегодня мы оценим Ryzen 5 4500U — один из младших APU новой линейки, который при ...

CES: В графических процессорах AMD Navi может появиться трассировка лучей Генеральный директор компании Лиза Су рассказала, что нового в семействе Radeon появится в 2020 году. В рамках международной выставки потребительской электроники CES 2020 компания AMD представила графическую карту Radeon RX 5600 XT с новой архитектурой Navi RDNA, предназн...

Компания Samsung получила контракт на производство Snapdragon X60 Многие не знают, но Samsung является не только мировым лидером на рынке смартфонов и других электронных устройств, но и вторым по величине производителем чипов в мире. Компания производит огромное количество комплектующих для мобильных телефонов, а также экспортирует чипы дл...

Apple планирует начать продавать компьютеры Mac с собственными процессорами уже в будущем году Компания Apple планирует уже в будущем году начать продавать компьютеры Mac с собственными процессорами. Об этом вчера сообщило агентство Bloomberg. Основой процессора для Mac послужат процессоры, в настоящее время используемые в смартфонах iPhone и планшетах iPad. Как ...

TSMC отложила 3-нм техпроцесс – 5-нм продукция выходит по плану Самый современный техпроцесс создать пока что не удастся.

Apple может променять процессоры Intel на AMD еще до перехода на собственные CPU На неделе компания Apple заявила об отказе от процессоров Intel в пользу собственных платформ на архитектуре Arm — в их разработке активно помогает TSMC. Переход, как сообщалось, займет до двух лет, но не исключено, что Apple откажется от процессоров Intel даже ра...

Это основное оружие Intel против Ryzen 4000. Первый CPU Rocket Lake-S засветился в бенчмарке За последний месяц мы уже несколько раз говорили о процессорах Intel Rocket Lake. Напомним, эти CPU должны стать тем самым действительно новым поколением в настольном сегменте, которое мы так долго ждём. Rocket Lake-S хотя и сохранят 14-нанометровый техпроцесс, перейдут...

Драйвер Intel Graphics 27.20.100.8587 выпущен для Windows 10 Для устройств Intel под управлением Windows 10 версии 1709 и более поздних версий теперь доступно новое обновление драйвера с многочисленными исправлениями. Графический драйвер Intel DHC версии 27.20.100.8587 также содержит улучшения для Word и Excel. В этом выпуске добавлен...

Серийный APU AMD Ryzen 7 5700G оказался быстрее инженерных образцов В сети несколько раз уже появлялись результаты тестирования гибридного процессора AMD Ryzen 5000G. Но это были инженерные образцы APU. Сегодня источник привел данные, которые, как утверждается, относятся к серийному изделию. При среднем значении 613 баллов, набранно...

Пробная партия чипов для iPhone 12 отправлена в Apple Как в Apple тестируют чип A14 (на самом деле нет) Аналитики из Тайваня узнали (вычислили?), что TSMC отправила в адрес Apple пробную партию 5-нм чипов, и что это – именно система-на-чипе, которая появится в iPhone 12 в сентябре этого года. Партия относительно небольшая, но в...

Samsung Electronics планирует инвестировать в завод и оборудование для серийного выпуска панелей micro-LED По данным источника, компания Samsung Electronics планирует в первой половине следующего года инвестировать средства в завод и оборудование, чтобы развернуть серийное производство микросветодиодных панелей (micro-LED). Источник уточняет, что южнокорейский производитель ...

Грядет перепроизводство панелей для игровых мониторов Со ссылкой на представителей отрасли источник сообщил, в 2020 году поставки панелей для игровых мониторов могут превысить потребность в них, Это связано с тем, что все большее число производителей переориентирует производство с выпуска телевизионных панелей на выпуск па...

Интегрированный GPU Vega 8 поражает производительностью в играх. Даже GeForce MX250 далеко позади Вчера наконец-то стартовали продажи ноутбуков с процессорами AMD Ryzen 4000, которые были представлены ещё в начале января. Первыми на рынок вышли высокопроизводительные APU — энергоэффективных ещё придётся подождать. На нашем сайте вчера также вышел обзор ноутбу...

Yangtze Memory по-прежнему планирует выпустить 128-слойную 3D NAND в текущем году В эпицентр вспышки коронавируса SARS-CoV-2 в китайском Ухане попал китайский разработчик и производитель памяти 3D NAND компания Yangtze Memory (YMTC). Сегодня власти Уханя официально объявили о полном снятии режима карантина с города. Но за 70 с чем-то дней изоляции работа ...

Процессор Intel Core i5-L15G7 Lakefield засветился в GeekBench 5 В базе данных бенчмарка GeekBench 5 обнаружились результаты тестирования процессора Intel Core i5-L15G7, который принадлежит к новому семейству Lakefield. Процессор характеризуется скромными габаритами 12:12:1 мм, 10-нанометровым кристаллом с одним ядром Sunny Cove и четырьм...

AMD Ryzen 9 3900X разогнан до 5,6 ГГц Один из самых мощных потребительских процессоров на рынке — AMD Ryzen 9 3900X. Он основан на архитектуре Zen 2, изготовлен по 7 нм техпроцессу и предлагает базовую частоту равную 3,8 ГГц. И этот чип был разогнан до нового рекорда.

AMD сотворила чудо? GPU Vega в новых мобильных процессорах Ryzen действительно намного быстрее старых Представленные вчера мобильные процессоры Ryzen 4000, как мы узнали, располагают всё теми же GPU Vega, что и прошлые поколения APU AMD. Более того, количество исполнительных блоков в GPU новинок даже меньше. Однако AMD говорит о том, что более высокие частоты и оптимиза...

Транзисторы с нормами 2 нм: вам порезать или порубить? На этой неделе компания Intel поделилась долгосрочными планами по внедрению новых техпроцессов. Примерно в 2029 году Intel собирается внедрить техпроцесс с нормами 1,4 нм. Через 10 лет руководящая команда компании вряд ли будет той же самой, что и сегодня. Так что эти планы ...

Новые подробности о фирменном чипе Google На прошлой неделе в сети появились слухи, что Google сотрудничает с Samsung над собственным чипом, который, предположительно, найдет свое применение в будущих устройствах Pixel. По данным издания Axios, поисковый гигант действительно работает над фирменным процессором и его ...

К 2021 году обойдём Intel и сравняемся с AMD - китайская компания Zhaoxin сообщила о переводе процессоров на 7 нм техпроцесс Китайцы обещают через год обойти Интел

Обзор OnePlus 7T 8/256GB. Три камеры, экран 90 Гц и Snapdragon 855+ OnePlus, несмотря на выпуск в 2019 году вместе с выпуском семерки и Pro модификации, не отошла от традиции выпуска осенью доработанной T-версии. В текущей модельной линейке OnePlus 7T занял промежуточную позицию между 7 и 7 Pro, нацелившись на тех, кому не подошла обычная ве...

Слухи: «большой» Navi 21 для флагманского Radeon будет в два раза больше и быстрее Navi 10 Компания AMD в наступающем 2020 году представит новые видеокарты на графических процессорах Navi. По слухам, среди них будут и флагманские модели, в основе которых будет лежать «большой» графический процессор Navi 21 на архитектуре RDNA 2. И сейчас в Сети появились новые под...

Функция аппаратного ускорения планирования GPU в Windows 10 скоро появится в AMD и Intel Поддержка аппаратного ускорения планирования GPU была недавно добавлена ​​в Windows 10 с обновлением May 2020 Update и моделью драйвера дисплея Windows (WDDM 2.7). Аппаратное ускорение – это технический термин, используемый для описания задач, загружаемых на конкретное обору...

Новый Mac Pro на процессорах Apple будет вдвое компактнее текущей модели Как мы уже знаем, 10 ноября компания Apple проведёт ещё одну презентацию, на которой, с большой долей вероятности, представит свой первый ноутбук на процессоре собственной разработки.  Согласно различным слухам и утечкам, примерно в течение полугода ...

Применение чиплетов позволило AMD существенно уменьшить стоимость процессоров На мероприятии ISSCC 2020 компания AMD рассказало об экономии средств, достигнутой благодаря использованию многокристальной компоновки не только для серверных процессоров и процессоров HEDT, но и для настольных процессоров массового сегмента. Выпуская по наиболее передо...

AMD сообщила дату пресс-конференции на CES 2020 и пообещала «расширить границы» В мире технологий январь — традиционно знаменательное время года, поскольку в это время проходит ежегодная выставка потребительской электроники CES 2020. В этом году она состоится 7–10 января в Лас-Вегасе. Вряд ли кого-то удивит, что AMD планирует присутствовать на ней, но к...

Intel случайно признала, что её новейшие 10-нанометровые процессоры медленнее 14-нанометровых со старой архитектурой Мобильные процессоры Intel Ice Lake и Comet Lake уже несколько месяцев доступны в многочисленных ноутбуках. Оба семейства относятся к десятому поколению процессоров Core, но это совершенно разные CPU. Процессоры Comet Lake производятся по 14-нанометровому техпроцессу, и...

Когда ждать пятинанометровые Ryzen 5000 с поддержкой DDR5 и USB4? Чуть позже, чем мы думали Летом прошлого года компания AMD представила настольные процессоры Ryzen 3000, которые перешли на техпроцесс 7 нм и архитектуру Zen 2. Осенью этого года нас ожидают настольные CPU Ryzen 4000 на архитектуре Zen 3. Это будут последние настольные процессоры AMD в исполнени...

Intel готовит крупный многочиповый GPU, состоящий из четырёх кристаллов Как известно, в этом году Intel выведет на рынок сразу несколько продуктов с графическими процессорами линейки Xe. Это и дискретная видеокарта DG1, и мобильные процессоры Tiger Lake. Ещё до анонса Intel самой линейки Xe считалось, это поколение называли Arctic Sound. По...

Первые подробности о чипе Exynos 1000 Осенью нынешнего года Samsung отметилась анонсом двух однокристальных систем: Exynos 980 и Exynos 990. Последняя из них должна прописаться в флагманах семейства Galaxy S11. Правда, ходят разговоры, что аппаратная платформа настолько слабая, что южнокорейский гигант готов отк...

Процессоры AMD начали падать в цене Напомним, что процессоры AMD Ryzen 3000 были представлены еще летом прошлого года, быстро успев заработать популярность пользователей. Отметим, что новинки стали не только быстрее прошлых моделей, что обусловлено переходом на новый техпроцесс и микроархитектуру, но и получил...

Google разрабатывает собственный процессор для будущих Pixel Компания достигла значительных успехов в разработке своего собственного процессора для будущих версий смартфона Pixel и для Chromebook. Это позволит Google лучше конкурировать с Apple, которая разрабатывает собственные чипы. Плюс это будет ударом по Qualcomm, который поставл...

Micron планирует запустить производство 128-слойной 3D NAND памяти Производитель памяти Micron готовится к серийному производству памяти основанной на 128-слойной 3D NAND памяти. Как утверждает компания, серийное производство запустится в 3 квартале 2020 года. Компания использует в производстве технологию "плавающий затворов", это позво...

MediaTek Dimensity 900 стал первым бюджетным 6-нанометровым процессором Компания MediaTek анонсировала новый процессор MediaTek Dimensity 900. Источник

Сердце самых мощных видеокарт Intel Xe создаётся в Индии В следующем году компания Intel представит свои дискретные видеокарты Xe. Это семейство GPU охватит все сегменты, начиная с мобильного и заканчивая специализированными ускорителями вычислений. У нас пока очень мало подробностей о характеристиках хотя бы какого-то GPU X...

24 Гбит и DDR5-4800 для первого поколения. SK Hynix начнет выпускать чипы DDR5 уже в этом году SK Hynix, демонстрировавшая на выставке CES 2020 в январе готовый модуль памяти DDR5-4800 МГц, подтвердила планы начать серийный выпуск микросхем памяти DRAM DDR5 уже в этом году, а заодно раскрыла немало новых подробностей о будущих чипах. Отметим, что впервые эти сроки про...

GlobalFoundries начала производство памяти eMRAM Известный контрактный производитель GlobalFoundries вышел из гонки лидеров индустрии по борьбе за передовые техпроцессы менее 10-нм еще в 2018 году. Руководство GloFo сделало ставку на совершенствование 12-нм и более «толстых» техпроцессов, а также...

DRAMeXchange: видеокарты в 2020 году могут подорожать из-за роста цен на видеопамять Если вы планируете купить видеокарту, то сейчас, возможно, самое время. Всё дело в том, что в следующем году цены на графические ускорители могут вырасти, считают аналитики DRAMeXchange, подразделения компании TrendForce. Связано это будет с ростом стоимости на микросхемы па...

TSMC планирует начать выпуск 3-нм полупроводников в 2022 году Как сообщает издание DigiTimes, контрактный производитель Taiwan Semiconductor Manufacturing Company намерен через несколько лет освоить выпуск полупроводниковой продукции по 3-нм технологическим нормам. Об этом заявил старший вице-президент компании по производственным опер...

Процессор Intel Core i5-L15G7 Lakefield замечен в тесте GeekBench 5 Как известно, Intel экспериментирует с объединением в одном процессоре двух разных ядер. Процессор Intel Core i5-L15G7, являющийся представителем нового семейства под кодовым названием Lakefield, замечен в тесте GeekBench 5. В корпусе размерами 12 х 12 х 1 мм находится...

AMD в этом году выпустит не только флагманскую видеокарту на «большом Navi», но и некие модели Navi Refresh Компания AMD в этом году уже выпустила видеокарту Radeon RX 5600 XT, а чуть ранее — RX 5500 XT. Как известно, компания пообещала выход настоящей флагманской видеокарты на «большом Navi», который, согласно заявлению представителя AMD, наделает на рынке ...

Мощь Sony PS4 в новом мобильном процессоре Intel. Производительность графического ядра в CPU Tiger Lake выглядит неплохо Мы знаем, что мобильные процессоры Intel Tiger Lake придут на смену линейке Ice Lake и принесут новую процессорную и графическую архитектуру. В частности, GPU у новых процессоров будет относиться к поколению Xe и в топовой конфигурации будет содержать 96 вычислительных ...

В игровых ноутбуках Lenovo Legion используются видеокарты Nvidia GeForce RTX 2080 Super и процессоры Intel Core H 10-го поколения Компания Lenovo анонсировала выпуск ноутбуков Legion, адресованных любителям игр. В этих компьютерах будут использоваться новейшие видеокарты Nvidia GeForce RTX 2080 Super, технология Max-Q Design и мобильные процессоры Intel Core H 10-го поколения (Comet Lake-H). Точн...

WWDC 2020: Apple объявила о переводе Mac на собственные ARM-процессоры, но постепенно Apple официально объявила о переводе компьютеров серии Mac на процессоры собственной разработки. Глава компании Тим Кук назвал это событие «историческим для платформы Mac». Переход обещают плавный, в течение двух лет. С переходом на платформу собственной разработки Apple обе...

Новая кампания AMD делает упор на многозадачность ноутбуков, использующих APU Renoir На CES 2020 компания AMD представила 7-нм гибридные процессоры семейства Renoir. Они получили усовершенствованную графику поколения Vega (GCN) и восемь вычислительных ядер с архитектурой Zen 2. Теперь стали ясны приоритеты в рекламном продвижении — AMD будет делать упор на м...

Samsung начала массовый выпуск 16-Гбайт памяти LPDDR5 для смартфонов Смартфоны уже не первый год опережают ноутбуки и настольные ПК по объёму оперативной памяти на борту. Компания Samsung решила ещё сильнее увеличить этот разрыв. Для будущих аппаратов премиального класса она начала масштабный выпуск 16-Гбайт чипов DRAM LPDDR5. Новые микросхем...

Фото дня: кристалл APU AMD Renoir Источник опубликовал изображение, на котором, как утверждается, впервые запечатлен кристалл гибридного процессора AMD Renoir. Этот APU рассчитан на выпуск по нормам 7 нм на мощностях TSMC. На кристалле площадью 156 мм² сформировано 9,8 млрд транзисторов. На снимке ...

AMD пока не считает нужным привлекать Samsung к выпуску собственной продукции Третий лишний, когда речь идёт о количестве подрядчиков.

Американские производители микросхем сохраняют лидерство на мировом рынке По сообщению источника, американские производители микросхем в 2019 году сохранили лидерство на мировом рынке. Это касается как компаний, располагающих собственным производством, так и тех, кто заказывает выпуск продукции у контрактных производителей. В первом сегменте ...

Реальная производительность iPhone 12 Давно известно, что смартфон iPhone 12, который будет представлен осенью этого года, получит однокристальную систему Apple A14. Данная мобильная платформа будет производиться силами TSMC с использованием 5-нанометрового технологического процесса. Теперь же появились пер...

Intel переносит сроки выхода не только для процессоров. Накопители Optane нового поколения не выйдут до 2021 года Мы уже давно свыклись с мыслью, что действительно новые настольные процессоры Intel выйдут нескоро. Да и с 10-нанометровыми мобильными CPU компания мучилась очень долго, прежде чем они наконец-то полноценно попали в розницу. Как сообщает источник, процессоры &md...

Представлены потенциальные бестселлеры 2021 года: SoC MediaTek Dimensity 1200 и Dimensity 1100 Компания MediaTek представила флагманскую однкористальную систему Dimensity 1200, которая производится по нормам 6-нанометрового технологического процесса. В конфигурацию Dimensity 1200 входят восемь ядер (1 + 3 + 4), главным является Cortex-A78, которое работает на час...

Видеокарта NVIDIA GeForce RTX 2060 получит 8 Гб версию Компания NVIDIA объявила о выпуске GeForce RTX 2060 с версией на 8 Гб памяти. Оригинальная GeForce RTX 2060 имеет 6 Гб памяти. 8 Гб версия будет использует графический процессор TU106 с большим количеством ядер SM и CUDA, обновив конфигурацию памяти RTX 2060. Компания A...

MediaTek анонсировала новый мощный процессор для ... Сегодня на собственном мероприятии – Product Communication Conference – MediaTek анонсировала новый чипсет, MediaTek Dimensity 800. Китайская компания уточнила, что новый процессор предназначен для смартфонов среднебюджетного сегмента.     Также предст...

Игровая гарнитура Cougar Phontum Pro наконец-то превратилась в серийный продукт Компания Cougar наконец-то приступила к серийному выпуску игровой гарнитуры Phontum Pro. Эта модель была показана на выставке Computex еще в 2018 году, а в декабре прошлого года производитель анонсировал выпуск изделия. Модель Phontum Pro создана на базе гарнитуры Phon...

Samsung разрабатывает новый тип видеоускорителя для ... К сожалению многих фанатов смартфонов Samsung, южнокорейский техно-гигант использует чипсет собственной наработки Exynos 990 в европейской версии Galaxy S20, в отличие от Snapdragon 865, который устанавливается внутри «американца». Проприетарные процессоры от Sam...

Blackview скоро выпустит планшет Tab 9 на ОС собственной разработки Blackview уже скоро выпустит новый планшет под названием Tab 9, через полгода после выпуска своего первого планшета, Tab 8, который пользуется популярностью благодаря сочетанию качества и очень доступной цены.

Подробности о процессоре VIA CenTaur, грядущем конкуренте Intel Xeon и AMD EPYC В конце ноября VIA неожиданно для всех сообщила, что её «дочка» CenTaur работает над совершенно новым x86-процессором, который, как утверждает компания, является первым CPU со встроенным ИИ-блоком. Сегодня же VIA поделилась подробностями внутренней архитектуре процессора. То...

Анонсирован Citroen Ami — серийный двухместный электромобиль с мощностью 6 кВт, батареей 5,5 кВтч и запасом хода 70 км, продажи в Европе стартуют летом по цене 6000 евро или 20 евро/мес Год назад французский автопроизводитель анонсировал на Женевском автосалоне концепт компактного двухместного электромобиля Ami One Concept для управления которым не нужны права. Сегодня компания объявила о начале предзаказов на серийную версию новинки с обновленными характер...

Motorola Edge+ засветился в Geekbench Новый флагманский смартфон компании Motorola появился в базе данных теста производительности Geekbench. Все слухи подтвердились по поводу названия смартфона и некоторые спецификации. Новинка будет работать на новом топовом процессоре Qualcomm Snapdragon 865. Процессор постр...

Что такое Apple Silicon на самом деле? В прошлом месяце глава Apple Тим Кук анонсировал переход компьютеров компании на процессоры собственного производства. Эта новость благоприятно отразилась на финансовом состоянии корпорации, она несколько дней подряд била рекорды капитализации. Многие начали говорить, что в...

Разработка Fujifilm X-H2 не была отменена Вопреки информации, опубликованной сайтом FujiAddict в ноябре, тот же самый сайт теперь утверждает, что развитие линейки камер, начатой моделью Fujifilm X-H2, будет продолжено. Новые сведения поступили от «самого надежного источника слухов». Более того, если...

128 ядер, 12-канальный контроллер DDR5, поддержка PCIe 5.0 и 7 нм. Представлен «первый в мире универсальный процессор» Если до сегодняшнего дня о словацком стартапе Tachyum знали немногие, то после анонса 128-ядерного процессора Prodigy T16128 собственной разработки о компании заговорят. На слуху фирма будет после запуска новинки в производство, но пока об этом говорить рано: в текущем ...

AMD Athlon 3000G всё же построен на ядрах Zen первого поколения В прошлом месяце компания AMD представила новый гибридный процессор начального уровня Athlon 3000G. Многие посчитали, что, как и прочие гибридные процессоры AMD этого года, новинка относится к семейству Pinnacle Ridge, а соответственно должна быть построена на ядрах Zen+. Но...

Европа готовит первый прототип собственного процессора Европейский проект European Processor Initiative (EPI), призван наладить выпуск заказных процессоров, которые найдут применение в ЕС в различных моделях использования.

56 ядер, 112 потоков и TDP 655 Вт. Угадайте, чей это процессор? Как пишет источник, в одном из интернет-магазинов засветился новый процессор Intel. Новинка называется Xeon W-3375X и ориентирована на серверный сегмент. Причем речь о далеко не самой заурядной модели. Xeon W-3375X получил 56 вычислительных ядер и может выполнять 112 п...

Разработка дискретной графики Intel продвигается, но флагманы на втором плане Как выяснилось по итогам изучения аннотаций в программном обеспечении с открытым кодом, таинственные дискретные графические решения Intel DG1 будут относиться к тому же классу энергопотребления и производительности, что и встроенная графика процессоров Tiger Lake, которые до...

Qualcomm раскрыла подробности о новом чипсете Snapdragon 865 Во второй день ежегодного технологического саммита компания Qualcomm провела полноценный анонс чипсета Snapdragon 865 5G, который будет использоваться во многих флагманских смартфонах 2020 года. Новинка построена по 7-нанометровому техпроцессу и включает в себя 8 вычислител...

AMD выпустила новую версию Radeon Rays 4.0 После выпуска программы GPUOpen в которой были представлены новые наборы инструментов и расширенный пакет FidelityFX, AMD выпустила Radeon Rays 4.0. Графические процессоры компании AMD построенные на архитектуре RDNA2 были подтверждены для создания аппаратной поддержки трасс...

Bloomberg: в 2021 году Apple выпустит первый Mac на базе собственного ARM-процессора По информации агентства Bloomberg, в следующем году Apple намерена выпустить первое устройство линейки Mac, построенное на базе собственного процессора с архитектурой ARM. Более того, компания работает над целым рядом чипов для будущих устройств Mac. Предполагается, что пер...

Intel Tiger Lake вновь подтвердил превосходство над Ryzen 4000 по производительности графики Во второй половине текущего года компания Intel планирует представить мобильные процессоры Tiger Lake, о которых сейчас появляется всё больше слухов и утечек. На этот раз в базе данных теста производительности 3DMark Time Spy обнаружилась запись о тестировании процессора Int...

Теперь вы можете легко обновлять драйверы Intel в Windows 10 По причинам, связанным с проверкой OEM-производителя ПК, драйверы графических процессоров Intel отказываются устанавливать на некоторые ПК под управлением Windows 10. К счастью, Intel, наконец, разработала решение, которое позволит вам обновлять графические драйверы Intel бе...

Модем 5G Qualcomm Snapdragon X60 рассчитан на выпуск по нормам 5 нм Компания Qualcomm Technologies представила новинку, которую сам производитель определяет как 5G Modem-RF System, то есть комплексное решение, в котором модем 5G дополнен радиочастотным блоком. Изделие, отнесенное к третьему поколению решений 5G, называется Snapdragon X6...

AMD анонсировала видеокарты Radeon RX 5700M и RX 5600M Компания AMD пополнила ассортимент дискретных видеокарт для ноутбуков двумя моделями, GPU которых производится по техпроцессу 7 нм. Это Radeon RX 5700M и RX 5600M. Они предназначены для использования в ноутбуках и появятся в готовых устройствах в первой половине текущег...

Компания Qualcomm представила новые процессоры Snapdragon 720G, 662 и 460 Компания Qualcomm представила новые процессоры Snapdragon 720G, 662 и 460. Все три новинки получили улучшенную производительность, Bluetooth 5.1, Dual-frequency GNSS, более высокую энергоэффективность, улучшенные функции ИИ, а также Wi-Fi 6. Но все три модели не получили по...

Intel попытается ответить AMD невиданным ранее 22-ядерным процессором Core i9 Процессоры Core X поколения Cascade Lake стали вдвое дешевле предшественников, но при этом практически не изменились. В отсутствие возможности перевести такие CPU на новый техпроцесс или на новую архитектуру, Intel попросту снова немного подняла частоты. Итого мы имеем...

Facebook работает над заменой Google Android Как пишет источник, компания Facebook занимается разработкой собственной операционной системы, а помогают ей в этом бывшие сотрудники Microsoft — в частности Марк Луковский (Mark Lucovsky), входивший в свое время в число создателей ОС Windows NT. Новая ОС должна у...

Компании уже готовятся к выпуску 5-нм процессора. ZTE выиграет больше остальных Технологический процесс не остановить. Особенно тогда, когда это сулит не только славу и почет, но и неплохую выгоду. Так получается и с мобильными процессорами. Пока одни компании покупают и устанавливают в свои бюджетные модели недорогие процессоры, выпущенные год-два наз...

Доля процессоров AMD в статистике Steam выросла в 2,5 раза за два года Популярность процессоров AMD продолжает расти без признаков замедления. Согласно свежим данным игрового сервиса Steam, собранным в ноябре 2019 года среди пользователей платформы, доля процессоров AMD в используемых игровых компьютерах в настоящее время достигла 20,5 % — огро...

Производитель смартфонов Oppo начнёт выпуск смарт-телевизоров Oppo TV В Сети появились сообщения о том, что известный производитель смартфонов Oppo планирует расширить ассортимент выпускаемой продукции и запустить производство смарт-телевизоров под брендом Oppo TV. Компания Oppo пытается активно диверсифицировать свой портфель продуктов. На не...

Кто может занять место Huawei на рынке смартфонов? Oppo хочет создать собственную мобильную платформу В конце прошлого года в Сети появились слухи о том, что компания Oppo собирается заняться разработкой собственной однокристальной системы, которая в итоге может появиться и в основе смартфонов OnePlus. Некоторые источники называли даже имя первой SoC — M1. Однако...

Новый MacBook Air теперь не просто «печатная машинка». Ноутбук стал намного производительнее прошлой модели Два дня назад Apple представила обновлённый ноутбук MacBook Air. Он стал немного дешевле, обзавёлся новой ножничной клавиатурой, а также перешёл на процессоры Intel Core 10-го поколения. Однако на какие именно? Сама Apple, как обычно, не указывает модели используемых CP...

GeForce RTX 3080 20GB и RTX 3070 16GB выдут в декабре, GeForce RTX 3070 Ti отменена Источник поделился новыми подробностями о многострадальных 3D-картах Nvidia линейки RTX 30. Новости тут и хорошие, и не очень. Но начнем с первых. Со ссылкой на свои источники, знакомые с ситуацией, сообщается, что «старые новые» модели линейки выйдут в дека...

У Taiyo Yuden готовы миниатюрные твердотельные литий-ионные аккумуляторы Японская компания Taiyo Yuden, некогда специализировавшаяся на выпуске оптических носителей, но покинувшая этот рынок в 2015 году, сообщила о разработке твердотельных литий-ионных аккумуляторов. В отличие от обычных литий-ионных аккумуляторов, в твердотельных нет жидко...

Kia начнёт серийный выпуск футуристического электрокара Imagine в 2021 году Продемонстрированному компанией KIA Motors на Женевском международном автосалоне 2019 дизайнерскому концепту электромобиля Imagine не так уж и долго придётся быть в роли концепции. Руководитель европейского подразделения KIA Эмилио Эррера (Emilio Herrera) заявил в интервью A...

Вот тебе, Sony PlayStation 5. Xbox Series X получит самую сложную платформу в истории игровых консолей Приставка следующего поколения Xbox Series X получит самую сложную систему на чипе, которую когда-либо создавали для игровых консолей. Об этом свидетельствует недавняя находка в сети.  Пользователь blue nugroho обратил внимание на информацию на страничке гла...

По производительности Intel Gen12 Xe iGPU можно сравнить с iGPU AMD на базе Vega Первое интегрированное графическое решение Intel, основанное на новой архитектуре Xe, может сравниться со встроенным графическими процессорами AMD на архитектуре Vega, таким, как используемый в APU Ryzen 4000 (Renoir). По крайней мере, на это указывает утечка результато...

Realme C3 с процессором MediaTek Helio G70 и батареей на 5000 мАч Компания Realme анонсировала свой новый бюджетный смартфон под названием Realme C3. Новинку оснастили 6.5 дюймовый IPS дисплеем с разрешением HD+. За производительность смартфона будет отвечать процессор MediaTek Helio G70 в паре 3/4 ГБ ОЗУ и 32/64 ГБ ПЗУ. Процессор MediaTe...

Intel наделит свои графические процессоры аппаратным ускорением трассировки лучей Предположения о том, что компания Intel может реализовать поддержку аппаратного ускорения трассировки лучей в своих будущих GPU семейства Intel Xe, появились достаточно давно. Затем компания подтвердила их, но только для GPU для центров обработки данных. Теперь же явные свид...

Куо: в следующем году появятся несколько ноутбуков и настольных компьютеров Mac на базе ARM Переход Mac с процессоров Intel на чипы собственной разработки может состояться быстрее, чем мы думали.

iPhone 12 может стать таким же мощным, как MacBook Pro 15   Джейсон Кросс из MacWorld поделился интересным предположением относительно процессора A14 в iPhone 12. Переход от 7 нм до 5 нм техпроцесса может показаться незначительным, но по факту это не так. В чипе скрывается 15 миллиардов транзисторов — это больше, чем в л...

Компания Apple выпустит MAC с процессором ARM Apple планирует отказаться от Intel и структуру x86 на собственным кремний ARM. Первым шагом к этому, стало набор сотрудников по ARM. Согласно планам Apple, компания планирует выпустить Mac с процессором ARM в следующем году. На данный момент исполняет более важные задачи...

«Ростех» планирует создать в России рынок квантовых сенсоров Об этом сообщается в проекте "дорожной карты" госкорпорации, на которую ссылается "КоммерсантЪ". 18 декабря "Ростех" направил документ на согласование в Минкомсвязь и Аналитический центр при правительстве. После одобрения министерства документ б...

Для борьбы с Qualcomm компания MediaTek готовит новые платформы. Хотя ещё не успела выпустить все «старые» В конце прошлого года компания MediaTek представила однокристальную систему Dimensity 1000, которая может похвастаться и техпроцессом 7 нм, и модемом 5G, и процессорными ядрами Cortex-A77. При этом, судя по утечкам, в AnTuTu эта SoC не уступает некоторым аппаратам на Sn...

Intel наконец-то повторит успех AMD. Процессоры Tiger Lake получат существенный прирост показателя IPC В следующем году компания Intel выведет на рынок 10-нанометровые процессоры Tiger Lake. Это будет полностью новое поколение, которое будет использовать новую архитектуру как для GPU, так и для CPU. И вот сегодня в базе Geekbench засветился один такой процессор. Он расп...

NVIDIA представит дискретные графические процессоры MX450 Компания NVIDIA готовит замену графическому чипу начального уровня серии GeForce MX 300 основанному на архитектуре Pascal. Как предполагается, интегрированный графический процессор будет иметь архитектуру Turing. NVIDIA GeForce MX450 предложит более низкое энергопотре...

Настольные процессоры Intel Core 11-го поколения выйдут весной 2021 года Массовая платформа Intel LGA1200 будет поддерживать два семейства процессоров: уже знакомое Comet Lake-S и Rocket Lake-S, релиз которого ожидается в начале следующего года. Веб-ресурс VideoCardz через собственные источники выяснил более точные сроки. По...

Впереди планеты всей: Япония планирует освоить 6G к 2023 году Согласно отчёту Nikkei, Япония уже начала строить планы по освоению 6G-сетей, которые окажутся в 10 раз быстрее 5G. Произойти это должно к 2023 году. В докладе утверждается, что Китай, Южная Корея и Финляндия также начали исследования, разработки и инвестиции в данном сегмен...

Обзор видеокарты Radeon RX 5600 XT: хорошая попытка Сегодня компания AMD официально начинает продажи недавно представленной видеокарты среднего класса – Radeon RX 5600 XT. Выход новинки на базе 7-нанометрового процессора Navi 10 способен расшевелить сегмент устройств среднего класса, придав интриги и добавив вариантов при выб...

Где теперь брать графику для Mac? Apple разругалась с Blackmagic Еще совсем недавно Apple рекомендовала использовать для усиления графических возможностей Mac исключительно яблочные решения. Почти яблочные. Внешние графические процессоры Blackmagic eGPU и Blackmagic eGPU Pro компании Blackmagic Design в корпусах, разработанных дизайнерам...

GPU PowerVR 10-го поколения обеспечивают производительность до 2 терафлопс и подходят «для всего» Компания Imagination Technologies анонсировала выпуск графической архитектуры PowerVR 10-го поколения – IMG A-Series. Как отмечает производитель, эти графические решениz могут использоваться в широком перечне устройств следующего поколения. Компания нескромно называет свою н...

Новые 14-нм настольные процессоры Intel Comet Lake-S задержаться до середины весны Гонконгский ресурс HKEPC, имеющий репутацию надежного источника утечек, поделился новыми подробностями о будущем обновлении массовой настольной платформы Intel. Речь о 14-нанометровых процессорах Comet Lake-S, которые нарастят число ядер до десяти штук и потребуют использова...

Windows 10 версии 2004 по слухам скоро будет завершена После майского обновления 2019 года и ноябрьского обновления 2019 года будет выпущена следующая большая версия Windows 10 версии 2004, в которую добавлено несколько новых функций. Microsoft почти закончила работу над первым крупным обновлением для Windows 10, которое выйдет ...

Windows 10 версия 2004 по слухам скоро будет завершена После майского обновления 2019 года и ноябрьского обновления 2019 года будет выпущена следующая большая версия Windows 10 версии 2004, в которую добавлено несколько новых функций. Microsoft почти закончила работу над первым крупным обновлением для Windows 10, которое выйдет ...

AMD Zen 4 на техпроцессе 5нм уже готовятся к запуску на TSMC AMD Zen 4 5нм выйдут на TSMC в 2021

SK hynix планирует в этом году выпустить датчик изображения Black Pearl с пикселями размером 0,8 мкм Компания SK hynix, больше известная как производитель микросхем памяти, развивает направление деятельности, связанное с выпуском датчиков изображения типа CMOS. Спрос на датчики изображения для мобильных устройств быстро растет. Южнокорейский производитель рассчитывает...

AMD привыкает жить в условиях увеличившейся продолжительности производственного цикла Самые разные средства массовой информации с середины 2019 года регулярно сообщали о том, что производственных мощностей TSMC для выпуска 7-нм продукции не хватает на всех желающих, а сроки выполнения заказов значительно увеличились после выхода на рынок основного ассортимент...

70 офигенных видеокурсов от MasterClass.com Хотели бы вы поучиться освоению космоса у Криса Хэдфилда, разработке игр у создателя SimCity Уилла Райта, писательскому мастерству у Дэна Брауна, Нила Геймана или Малькольма Гладуэлла, актерскому мастерству у Натали Портман или Кевина Спейси, шахматам у Гарри Каспарова, а ...

Ferrari не будет спешить с электромобилями Компания Ferrari планирует выпустить первую полностью электрическую машину не ранее 2025 года, поскольку технология аккумуляторов требует дальнейшего развития, Об этом сообщил исполнительный директор компании Луи Камиллери ( Louis Camilleri). Ранее легендарный автопроиз...

Первая дискретная видеокарта Intel получит всего лишь 96 исполнительных блоков Компания Intel старается держать подробности о разработке своей будущей дискретной графики в секрете. Однако утечки всё равно появляются на просторах Сети, и на этот раз в базе данных Евразийской экономической комиссии (ЕЭК) появилось несколько свежих записей, в которых упом...

TSMC готовит техпроцессы N2, N3, и N4 Компания TSMC снова сообщила о том, что её техпроцессы N2, N3 и N4 будут выпущены вовремя и будут более технологичными, чем конкурентные решения.

Появилась страница поддержки Windows 10 версии 2004 Windows 10 версии 2004 (May 2020 Update) появится позже на этой неделе для многих пользователей и компаний, которые в настоящее время не используют ее. Это обновление станет первым крупным выпуском года и представит несколько улучшений и несколько новых функций, включая «Заг...

Новая надежда Intel. Процессор Tiger Lake играет мускулами в первых тестах В этом году на смену мобильным процессорам Ice Lake придут Tiger Lake. Они сохранят 10-нанометровый техпроцесс, судя по всему, максимальное количество ядер, но перейдут на новую архитектуру как для CPU, так и для GPU. Сегодня в базе Geekbench появился один такой процесс...

iPhone 11 продаётся так хорошо, что на него не хватает процессоров   Apple попросила компанию TSMC увеличить производство процессора A13, в связи с высоким спросом на iPhone 11 и iPhone 11 Pro. Продажи этих смартфонов опережают прогнозы Apple. Согласно источникам Bloomberg, iPhone 11 продаётся лучше, чем все остальные айфоны. Ещё...

Огромная мощность 3D-карты AMD Arcturus. Тестовая карта при низкой частоте GPU имеет производительность в 21 TFLOPS Инсайдер @_rogame, радующий нас утечками относительно непредставленного компьютерного «железа», поделился характеристиками тестовой платы AMD с GPU Arcturus. Напомним, Arcturus — кодовое имя линейки новых видеокарт AMD, которые, судя по всему, не будут...

Объявлена официальная дата выпуска процессоров Intel 10-го поколения Компания Intel объявила официальную дату выпуска процессоров 10-го поколения серии Comet Lake-S. Процессоры будут представлены в конце апреля. После этого нам придется ждать целый месяц пока они попадут на прилавки магазинов. Настольные процессоры будут представлены вместе...

Представлен концепт электромобиля BMW i4 Поскольку ежегодный автосалон в Женеве отменен, автопроизводители переключились на виртуальные премьеры. Компания BMW сегодня представила концептуальный электромобиль i4. Машина, выпуск серийного варианта которой запланирован на 2021 год, построена на новой платформе, ...

Google делает свой процессор На просторах интернета появилась достаточно интересная новость о том, что компания Google уже сейчас работает над собственной системой на чипе, которая позволит делать смартфоны и планшеты. Фактически, компания Google пошла по стопам Huawei, которая тоже отказалась от процес...

Хакер украл исходные коды графических процессоров AMD Компания AMD заявила, что в конце прошлого года хакер похитил тестовые файлы для «подмножества» существующего и будущего графического оборудования, некоторые из которых были размещены в интернете. По информации портала TorrentFreak, украденные данные включают в себя исходны...

Вот почему новейшие процессоры AMD Ryzen 4000 не имеют поддержки PCIe 4.0 Неделю назад компания AMD представила новые мобильные APU Ryzen 4000. В ноутбуках они должны появиться в следующем месяцы, но утечки уже сейчас указывают на впечатляющий рост производительности. Причём и у CPU, и у GPU, несмотря на то, что последние, во-первых, всё ещё ...

Производство ARM-процессоров для новых Mac начнется в октябре Летом купертиновцы пообещали выпустить в этом году первый компьютер на базе процессоров собственной разработки. Когда точно состоится анонс этого устройства до сих пор неизвестно. Однако тайваньское издание DigiTirmes со ссылкой на отраслевые источники отмечает, что массовое...

Apple выпустит ноутбуки на собственных процессорах Инсайдеры утверждают, что в течение года представит четыре ноутбука на базе собственных процессоров Apple Silicon. Согласно известной информации, в четвёртом квартале 2020 года начнутся поставки 13,3-дюймового MacBook Pro, приблизительно в то же время на рынок выйдет новый M...

Samsung Galaxy A41 будет оснащен процессором Helio P65 от MediaTek Galaxy A41 — один из нескольких телефонов серии A 2020 года, над которыми сейчас работает Samsung — появился в базе данных тестов Geekbench. Листинг показывает, что A41 оснащен процессором от MediaTek Helio P65. Компания Samsung время от времени сотрудничает с MediaTek, и, п...

Oppo хочет производить чипы для собственных смартфонов Oppo является одним из крупнейших производителей смартфонов и ряд его моделей наделены уникальными чертами. Но построены они на базе стандартных аппаратных платформ от других производителей: Qualcomm и MediaTek. Еще в прошлом году в сети появилась информация, что Oppo всерье...

Зеркальную камеру Canon EOS 850D представят в феврале Сетевые источники сообщили о том, что компания Canon готовит к выпуску новую зеркальную камеру Canon EOS 850D, которая выйдет в некоторых регионах под названием Canon EOS Rebel T8i. Камеру покажут на презентации в следующем месяце одновременно с объективом для беззеркальных ...

Компания Lenovo тизерит игровой смартфон с процессором Snapdragon 865 Компания Lenovo скоро представит на рынке игровой смартфон. Компания опубликовала в социальных сетях постер, согласно которому анонс смартфона не за горами. Игровой смартфон выйдет под брендом Legion. Смартфон будет работать на топовом процессоре Qualcomm Snapdragon 865. ...

Глава ЕЦБ: выпуск центробанком собственной цифровой валюты не затронет частные инициативы Европейский центральный банк (ЕЦБ) продолжит изучать возможность выпуска собственной цифровой валюты (CBDC), заявила в интервью глава учреждения Кристин Лагард. (Thread) In an interview with @Challenges magazine President @Lagarde discussed topics including a new policy mix ...

Несмотря на новый техпроцесс, кристалл гибридного процессора в новом Xbox крупнее, чем в текущей консоли Вчера мы имели возможность посмотреть на гибридный процессор AMD, который будет лежать в основе игровой приставки Xbox нового поколения. Мы знаем, что в конфигурацию APU войдёт восьмиядерный CPU с архитектурой Zen 2 и некий GPU Navi второго поколения. Производиться данн...

Новый MacBook Pro 13 получит самый мощный из процессоров Intel Ice Lake и SSD объёмом до 4 ТБ Как сообщали многочисленные источники, в ближайшее время Apple должна представить новый MacBook Pro 13. Изначально мы предполагали, что этот ноутбук будет основан на 10-нанометровых процессорах Ice Lake-U, ведь на линейку Ice Lake-Y уже перешёл MacBook Air. Перв...

У процессора Snapdragon 865 появится более мощная Plus-версия Сетевые источники сообщают о том, что компания Qualcomm проектирует более производительную версию своего флагманского мобильного чипа Snapdragon 865. Напомним, что мощный процессор Snapdragon 865 дебютировал в конце прошлого года. Изделие объединяет восемь ядер Kryo 585 с та...

Новая среднебюджетная платформа Huawei Kirin будет не такой современной, как считалось ранее Несколько дней назад мы впервые узнали об однокристальной системе Kirin 820. Она должна прийти на смену Kirin 810 и стать одной из самых производительных в классе, если не самой производительной. Дело в том, что даже Kirin 810 вполне себе способна соперничать с любой пл...

Samsung строит новые заводы Компания Samsung длительное время разрабатывает процессоры для различных компаний, тем самым позволяя им получать современные технологии за вполне разумные деньги. Однако сейчас компания сделала настоящий прорыв — она планирует начать производство процессоров по 5-нанометров...

Huawei расширяет портфолио устройств с HarmonyOS В прошлом году Huawei Technologies представила собственную операционную систему HarmonyOS, вокруг которой будет «цементироваться» экосистема компании. Сам производитель отдает себе отчет, что операционка еще очень сырая и им понадобится много времени, чтобы фирм...

Минимум вдвое быстрее RX 5700 XT. Утечка подтверждает ключевые параметры 7-нм флагманского GPU Navi 21 На отчете для инвесторов AMD подтвердила, что разработка процессоров на архитектуре Zen 3 и графических процессоров на архитектуре RDNA2 идет по графику и первые продукты выйдут до конца года. Почти одновременно в сети появилась свежая порция слухов о долгожданном «большом N...

MSI представляет первые кастомные видеокарты из серии NVIDIA GeForce RTX 30 Как ведущий бренд в сфере производства игрового «железа», MSI с гордостью презентует кастомные версии видеокарт на базе графических процессоров NVIDIA® GeForce RTX ™ 30, сочетающие в себе новейшие графические технологии, оптимизированный дизайн печатной платы и современное о...

TSMC, Samsung и ASML рассказали о планах перехода на 3-нм и 2-нм техпроцессы TSMC, Samsung и ASML обозначили пути перехода к 2-нм техпроцессу. В настоящее время отрасль находится на этапе начала раннего массового внедрения 5 нм микросхем. Подробнее об этом читайте на THG.ru.

Память LPDDR5 появится в смартфонах в следующем квартале Вице-президент Xiaomi и глава бренда Redmi Лу Вейбинг (Lu Weibing) сообщил, что оперативную память LPDDR5 смартфоны компании получат в первом квартале 2020 года. Кроме того, по его словам, память нынешнего поколения (LPDDR4X) уже «не является флагманской в эпоху 5...

Samsung обновила первый в мире смартфон с квадрокамерой до Android 10 Samsung Galaxy A9, который был выпущен в конце 2018 года, стал первым в мире смартфоном с четырьмя модулям в основной камере. На этой неделе производитель начал распространение OneUI 2.0 и Android 10 для этого смартфона. Из коробки OneUI 2.0 и Android 10 работал под упр...

Подробные характеристики Xbox Series X Бумажный анонс игровой консоли Microsoft нового поколения – Xbox Series X – состоялся всего пару дней назад. Тогда большая часть технических параметров устройства осталась за кадром. Что ж, предлагаем сейчас рассмотреть достаточно подробный перечень специфик...

RDNA 2 будет поддерживать аппаратную трассировку лучей В AMD рассказали о долговременных планах дальнейшего развития процессоров Ryzen и графических продуктов Radeon. Компания AMD подтвердила, что графическая архитектура следующего поколения RDNA 2 станет ее первой архитектурой, поддерживающей аппаратную трассировку лучей. Со...

Zen 4, RDNA3 и совершенно новая видеокарта Radeon. AMD рассказала о новых архитектурах и ближайших планах Компания AMD провела мероприятие Financial Analyst Day, на котором рассказала о грядущих процессорных и графических архитектурах. Начнём мы со свежей дорожной карты компании, которая позволяет нам понять, когда ждать те или иные новинки. Как можно видеть, до 20...

На сколько новый MacBook Pro 13 с процессором Intel Ice Lake быстрее версии с Coffee Lake Недавно компания Apple представила новый MacBook Pro 13, который вслед за новым Air перешёл на 10-нанометровые процессоры Intel Ice Lake. Правда, уже в отличие от того же Air, новыми CPU могут похвастаться лишь старшие версии MacBook Pro 13. Стоит ли переплачивать имен...

Частый переход на новые техпроцессы подорвёт прибыльность Intel Но никто её за язык не тянул.

Der8auer разогнал AMD FX-8350 до 8,1 ГГц Процессоры AMD «Bulldozer» – это современные «артефакты» прошлого, которые, тем не менее, все еще используются в некоторых системах. Удалось покорить отметку в 8,1 ГГц (номинал — 4,2 ГГц). В свое время данные ЦП должны были конкурировать с устройствами от Intel, но вы...

Привезёт ли Samsung в Россию Galaxy S11 на Snapdragon 865 Samsung начнет выпускать Galaxy S11 на процессорах Snapdragon в большем числе стран Пожалуй, главная претензия, которую российские поклонники линеек Galaxy S и Galaxy Note предъявляют Samsung, — это аппаратное оснащение смартфонов, или, если быть до конца точным, то их...

Настройка слайсера для 3D принтеров Picaso Series X от 3Dtool. Часть №3 Всем привет, Друзья! С Вами 3DTool!3D принтеры компании Picaso 3D комплектуются программой - слайсером собственной разработки. Этот программный пакет носит название Polygon X и он специально заточен под более простое освоение всего процесса не искушенными в 3D печати новичка...

8 ядер и встроенный GPU Radeon RX350. Новый APU AMD A9-9820 засветился в Userbenchmark Китайская компания Chuwi — достаточно известный на родине производитель мобильных устройств, ноутбуков и планшетов. В базе данных Userbenchmark засветилось новое решение Chuwi — мини-ПК Aerobox, в составе которого обнаружился не анонсированный пока официальн...

Фото дня: процессоры Intel Core i9-10900K и i7-10700K В китайских социальных сетях появились снимки, на которых, как утверждается, запечатлены будущие процессоры Intel Core i9-10900K и i7-10700K. На изображениях верхней стороны микросхем не видно ничего, кроме маркировки Intel Confidential, указывающей, что это инженерные...

Можно ли играть на ноутбуке с процессором Intel Core i7-1065G7? Большое тестирование даёт ответ 10-нанометровые процессоры Intel Ice Lake уже какое-то время присутствуют на рынке, хотя нельзя сказать, что они очень распространены. Эти CPU интересны в том числе благодаря интегрированным графическим процессорам нового поколения, которые намного мощнее, чем предшеств...

OnePlus выпустит скоростной PowerBank OnePlus — один из известных и популярных брендов, который занимается не только выпуском смартфонов, но и аксессуаров к ним. Есть у компании и опыт создания портативного аккумулятора на 10000 мАч, который увидел свет в 2015 году и отличался от конкурентов стильным дизай...

Графические процессоры NVIDIA следующего поколения будут до 75 % производительнее Volta Следующее поколение графических процессоров NVIDIA, которое скорее всего будет называться Ampere, предложит значительный прирост производительности по сравнению с актуальными решениями, сообщает The Next Platform. Правда, речь идёт о графических процессорах, используемых в у...

10-ядерный Intel Core i9-10900K показал рекордный результат в Cinebench R15 при разгоне до 5,4 ГГц В конце апреля Intel анонсировала выпуск новой линейки процессоров Intel Core 10-го поколения для настольных ПК, среди которых был представлен Intel Core i9-10900K. Этот 10-ядерный процессор показал рекордный результат в Cinebench R15 при разгоне до 5,4 ГГц. Подробнее об это...

Samsung Display прекратит выпуск жидкокристаллических панелей до конца года Компания Samsung Display решила к концу этого года полностью свернуть производство жидкокристаллических панелей. Конечно, уже размещенные заказы будут полностью выполнены. Сейчас под выпуск этой продукции выделено две линии на заводах в Южной Корее и два завода в Китае...

AMD представила новое ПО Radeon Adrenalin 2020 Выпуск Radeon Software Adrenalin 2020 повысит производительность графического процессора за счет повышения стабильности и новых функций. В Radeon Adrenalin 2020 имеется полностью переработанный установщик, который позволяет на 34% ускорить установку и улучшить заводские наст...

Что ждать от нового MacBook Pro Один из самых смелых концептов MacBook Pro. Но почему бы нет? Это, всего лишь, наиболее вероятное развитие ситуации. Но скорее всего, в ближайшее время, в марте или апреле, Apple обновит линейку MacBook Pro. Если не вдаваться в детали, обновление должно быть грандиозным: про...

Canon собирается заняться выпуском материалов OLED Компания Canon собирается начать производство материалов, используемых в гибких дисплеях на органических светодиодах (OLED) следующего поколения, считая это направление деятельности новым источником роста, поскольку такие дисплеи становятся все более распространенными в...

Древности: беспощадный апгрейд 386-го компьютера Беспощадный и бессмысленный! В процессе строительства своего 386-го компьютера «на максималках» мне хотелось устроить что-то этакое, помимо вполне логичного исследования всякой периферии. Выбирал я между прикручиванием водяного охлаждения с подсветкой и апгрейдом процессора,...

Проблемы Intel с переходом на 10-нм техпроцесс оставили на теле компании глубокие шрамы Так образно выразился генеральный директор.

Xiaomi Mi 10 станет первым китайским смартфоном на базе чипсета Snapdragon 865 Технические детали процессора Snapdragon 865 все еще находятся в стадии разработки и будут обнародованы сегодня несколько позже. Тем не менее, частичный запуск, предпринятый Qualcomm на мероприятии прошлой ночью, привел к тому, что ряд производителей подтвердили, что процесс...

Появились спецификации первого дискретного графического ядра Intel Xe Мы знаем, что компания Intel в следующем году выведет на рынок дискретный GPU DG1. Точнее, конечно, видеокарту или видеокарты на его основе. Об этом решении есть немало слухов, но достоверных данных, к сожалению, крайне мало. Из последних слухов можно вспомнить те, кот...

Первые дискретные видеокарты Intel Xe — 14 августа? Компания Intel, точнее ее подразделение, занимающееся разработками графических процессоров, опубликовало интересный твит. Судя по нему, компания собирается официально представить свои дискретные графические процессоры Xe через 20 дней — 14 августа. Этот твит прод...

Mediatek представила 5G чипсет среднего уровня Dimensity 900 Компания Mediatek анонсировала свой последний 5G чипсет, предназначенный для смартфонов среднего уровня — Dimensity 900.Он основан на 6-нм техпроцессе и является логическим обновлением серии Dimensity 800 с более быстрым процессором и лучшими возможностями подключения. Новый...

Apple намерена оснастить будущие iPhone c 5G антеннымы модулями собственной разработки Многочисленные слухи утверждают, что в этом году Apple представит свои первые смартфоны с поддержкой сотовых сетей пятого поколения на базе модема Snapdragon X55. Однако новые данные Fast Company указывают на то, что Apple всё же намерена использовать собственный антенный мо...

Ноутбуки Huawei MateBook D 2020 Ryzen Edition на 7-нм процессорах AMD Ryzen 4000 поступили в продажу в Китае Компания Huawei начала китайские продажи новых ноутбуков MateBook D 2020 Ryzen Edition, которые получили процессоры AMD Ryzen 4000 и экраны разных диагоналей. Это первый ноутбук Huawei с 8-ядерным 7-нанометровым процессором Ryzen 7 4700U, производительность которого уве...

В ноутбуке Asus Zenbook 14 (UX434IQ) процессор AMD Ryzen 7 4700U будет дополнен видеокартой Nvidia GeForce MX350 По данным источника, Asus готовит к выпуску мобильный компьютер Zenbook 14 (UX434IQ), в котором процессор AMD Ryzen 7 4700U (Renoir) будет дополнен видеокартой Nvidia GeForce MX350 начального уровня. Эта модель уже появилась в базе данных теста Futuremark. В распоряжен...

Microsoft выпускает первую сборку Windows 10 21H1 Сегодня Microsoft выпускает первую сборку обновления Windows 10 21H1 для инсайдеров в канале Dev (Fast Ring) программы Windows Insider. Windows 10 Build 20150 относится к ветви RS_PRERELEASE, и это первая сборка из ветви разработки Iron. Ранее Microsoft подтвердила, что «Iro...

Intel Core i9-10880H оказался ощутимо производительнее своего предшественника в бенчмарке Geekbench 4 Старый добрый 14-нм техпроцесс всё ещё в строю.

Boeing временно закрыл производство "смертоносного" самолета Компания Boeing официально заявила на собственном сайте о том, что она временно приостанавливает выпуск самой аварийной модели последних лет 737 MAX.

Последний графический драйвер Intel для Windows 10 содержит новые функции Intel в очередной раз выпустила новое обновление своих графических драйверов для всех устройств с Windows 10. В этом выпуске один из самых длинных списков изменений, в нем номер версии увеличен до 27.20.100.8783. Драйвер Intel DCH версии 27.20.100.8783, выпущенный 29 сентябр...

Раджа Кодури: Intel создаёт огромный кристалл Xe HP — «отец всех чипов» Intel готовит свой дискретный графический ускоритель Xe, и главный архитектор Intel Раджа Кодури (Raja Koduri) поделился некоторыми намёками относительно грядущего флагманского кристалла. Недавно он посетил команду инженеров в индийском Бангалоре и написал об этом короткую п...

Apple откажется от процессоров Intel Некоторое время назад на просторах интернета появилась информация о том, что компания Apple планирует отказаться от процессоров Intel, дабы сделать свой вариант процессора и строить уже на его основе все свои последующие продукты. Это касается как смартфонов, так и планшетов...

Как AMD обходит Intel: Расследование Droider 2015 год. AMD на грани закрытия. Их акции упали до исторического минимума. Гибридные чипы в консолях PS4 и Xbox One не сильно спасают на общем фоне. У AMD нет ни денег, ни своих заводов: их они были вынуждены продать еще в 2009-м году. Тем временем Intel полностью доминирует...

Facebook работает над собственной операционной системой Сообщается, что крупнейшая социальная сеть Facebook стремится избавиться от зависимости от других технологических гигантов, таких как Google, и поэтому решила заняться разработкой собственной операционной системы. Об этом впервые сообщил источник The Information. Подробнее о...

Sharp в «чистой комнате» для производства дисплеев начнёт выпускать медицинские маски Пока все наблюдали за развитием эпидемии коронавируса в Китае, вирус проник в соседние и далёкие страны. Теперь о сдерживании инфекции пришлось задуматься многим и, судя по всему, к этому никто не был готов. Например, в Японии выяснили, что 70 % медицинских масок в страну по...

Intel представит чипсеты 500-й серии для процессоров Rocket Lake-S уже 11 января, если слухи верны Компания Intel может представить новую серию чипсетов 500-й серии для материнских плат уже 11 января. Об этом сообщил VideoCardz, ссылающийся на китайские новостные ресурсы. Анонс ожидается в тот же день, на который Intel официально запланировала одно из мероприятий в рамках...

В следующем году xCloud перейдёт на блейд-серверы на базе Xbox Series X Сервис потоковой передачи игр Microsoft Project xCloud должен запуститься к конце этого года как часть подписки Xbox Game Pass. Первоначально сервис будет использовать блейд-серверы, основанные на консолях Xbox One S, но уже в следующем году Microsoft намерена обновить их н...

Windows 10 Build 20190: новые настройки графики и обновления системы Microsoft выпускает Windows 10 Build 20190 для инсайдеров в канале Dev (Fast Ring) и включает некоторые интересные изменения в области графического процессора, а также улучшенный опыт после обновления. Windows 10 Build 20190 включает некоторую работу над настройками графики ...

Intel начнет отгружать 28-ваттные Ice Lake до конца квартала, а вот Comet Lake-S могут отложить из-за слишком высокого энергопотребления Компания Intel представила мобильные процессоры Ice Lake достаточно давно – еще 1 августа прошлого года. Но с тех пор в ноутбуках прописались только младшие и средние представители линейки – с TDP 9 и 15 Вт соответственно. С чем связана задержка c выпуском&n...

Ускоритель Nvidia A100 протестировали в OctaneBench Генеральный директор компании OTOY, специализирующейся на голографической визуализации в облаке, поделился результатами тестирования ускорителя Nvidia A100. Напомним, это первая и пока единственная модель на архитектуре Ampere. По словам Nvidia, ускорители A100 в состав...

Аналитики склонны считать, что курс акций Intel снизится Опять 14-нм техпроцесс подпортил репутацию процессорного гиганта.

В Intel вернётся ещё один именитый ветеран Ещё один ветеран Intel возвращается в родную гавань. Санджай Натараджан (Sanjay Natarajan) покинул компанию в 2015 году после того, как проработал в ней 22 года. Перед уходом из Intel он занимал пост вице-президента отдела технологий и производства. С 2017 года Натараджан яв...

Amazon разрабатывает собственные игры и сервис потоковой передачи игр Amazon всерьёз готовится выйти на игровой рынок. New York Times подробно рассказал о планах компании в отношении выпуска собственных игр, а также о разработке облачного сервиса потоковой передачи игр, который составит конкуренцию Microsoft Project xCloud и Google Stadia. Уж...

Чип Helio G85 официально представлен Неделю назад состоялась премьера Redmi Note 9, который первым в мире получил новейшую платформу MediaTek — Helio G85. Во время анонса компания ограничилась лишь упоминаем о том, что новый чип получил 8 процессорных ядер с пиковой частотой 2,0 ГГц и видеоускоритель ARM ...

Грядёт выпуск смартфона-середнячка Vivo Y21 2020 с 6,4" дисплеем Китайская компания Vivo, по сообщениям интернет-источников, готовит к выпуску новый смартфон среднего уровня. Информация об аппарате появилась в базе ресурса Google Android Enterprise. Reuters Устройство фигурирует под кодовым обозначением V1926. На коммерческий рынок эта мо...

Два будущих поколения графических процессоров NVIDIA уже могут находиться в разработке И это типично для любого момента времени.

Процессорное подразделение Alibaba может стать крупным клиентом TSMC Недавно агентство IC Insights выяснило, что компания HiSilicon по итогам первого квартала 2020 года вошла в десятку крупнейших поставщиков полупроводниковой продукции в показателях выручки. Впервые это удалось сделать разработчику процессоров из КНР. Теперь источники сообщаю...

Официальная информация насчет характеристик Xbox Series X Глава Xbox Фил Спенсер подтвердил, что консоль следующего поколения будет иметь 12-терафлопный графический процессор. По слухам, Xbox Series X будет иметь более мощный графический процессор, чем PlayStation 5, что может оказаться правдой. В своем блоге о Xbox Wire глава подр...

Apple выпустит MacBook с ARM чипом собственной разработки в 2021 году Если верить последним слухам, то уже в марте компания Apple может показать новые MacBook Air и MacBook Pro. Новинки должны получить процессоры из линейки Ice-Lake U, выполненные по 10 нм техпроцессу, и ножничные клавиатуры. Однако если верить известному аналитику Мин-Чи Куо,...

AMD привлекла Samsung к выпуску 7-нм видеоядра Navi 14 На сегодня 7-нм конвейеры TSMC востребованы огромным числом компаний, не имеющих собственных производственных мощностей. В частности, на фабриках тайваньского чипмейкера выпускаются восьмиядерные кристаллы Zen 2, использующиеся в настольных и серверных процессорах AMD, графи...

Бюджетные видеокарты NVIDIA получат устаревший 16-нм техпроцесс и низкую производительность Первые тесты бюджетной графики NVIDIA выглядят разочаровывающе

Представлена видеокарта для киберспорта AMD Radeon RX 5600 XT Компания AMD объявила о выпуске флагманской видеокарты для киберспорта Radeon RX 5600 XT. Эта карта предназначена для доминирования в сегменте рынка «до 300 долларов», где сейчас обосновалась компания Nvidia со своей серией GeForce GTX 1660. Основой RX 5600...

Facebook начал разработку своего конкурента Android Похоже, Facebook решил освободиться от зависимости от Google, и начал разработку своей собственной операционной системы.   Такое решение означает, что в будущем продукция от Facebook, например, Oculus и Portal, может работать под управлением операционной системы компан...

Главный конкурент Xiaomi объявил о скором анонсе собственного "умного" телевизора Xiaomi буквально недавно ворвалась на рынок телевизоров, но уже сейчас может похвастаться огромным количеством продаж и любовью фанатов. По всей видимости, подобное положение дел не особо сильно радует Realme, в связи с чем один из главных конкурентов Xiaomi решил заняться в...

Битва трёх поколений мобильных процессоров Intel 2020 года На сегодняшний день у компании Intel есть сразу две актуальные линейки мобильных процессоров: Comet Lake и Ice Lake. Причём CPU в обеих весьма схожи, что может усложнить выбор покупателю. А во второй половине года на смену Ice Lake придёт линейки Tiger Lake с новой архи...

Новый iPhone станет мощнее «Макбука» Как утверждает аналитик издания Macworld Джейсон Кросс со ссылкой на собственные источники в Apple, корпорация перейдёт на новый пятинанометровый техпроцесс, что увеличит плотность транзисторов в чипе A14. Таким образом, на плате площадью около 100 квадратных миллиметров раз...

Чего ожидать от мобильных процессоров в 2020 году Snapdragon 865 — то, что нужно рынку в 2020 году Так ли важна модель процессора обычному потребителю? Нет. Но это, в какой-то степени, печально, ведь именно от производительности процессора зависят возможности смартфона. И речь может идти даже о привычных всем функциях...

Уже в следующем году Intel снова может сменить процессорный разъём. На смену LGA 1200 придёт LGA 1700 Компания Intel, согласно всем слухам и утечкам, в ближайшее время представит настольные процессоры Comet Lake, которые впервые за несколько лет сменят сокет. На замену LGA 1151 придёт LGA 1200. Пока неясно, действительно у Intel были причины для смены процессорного разъ...

Sharp выходит на рынок телевизоров OLED В этом году японская компания Sharp присоединится к числу тех, кто выпускает телевизоры OLED. По данным источника, первые модели телевизоров Sharp с панелями OLED должны быть представлены на японском рынке уже 26 февраля. В них будут использоваться панели OLED производс...

К осени AMD может представить загадочные настольные Ryzen 4000 Компания AMD пока что представила лишь мобильные процессоры Ryzen 4000, тогда как в настольном сегменте продолжает предлагать чипы серии Ryzen 3000. Между тем, ресурс DigiTimes со ссылкой на собственные источники в рядах производителей материнских плат сообщил, что настольны...

Huawei готовится выйти на рынок компьютерных систем с собственными ОС и процессорами После объявления планов о намерении создать собственную операционную систему с целью обеспечения своей независимости от сторонних компаний, компания Huawei активно работала над реализацией этой идеи. Причём, создавая собственные программные и аппаратные решения, китайская ко...

Bloomberg: Apple работает над собственными процессорами для компьютеров Mac на замену чипам от Intel Компания хочет выпустить первый компьютер на собственном процессоре уже в 2021 году.

Bloomberg: Apple работает над собственными процессорами для компьютеров Mac на замену чипам Intel Компания хочет выпустить первый компьютер на собственном процессоре уже в 2021 году.

Характеристики OnePlus 8 Lite: такой среднебюджетный ... Если инсайдеры не ошибаются, то компания отойдет от собственной стратегии выпускать только флагманы, и в следующем году свет увидит среднебюджетный OnePlus 8 Lite. Вспоминая OnePlus X Производитель лишь единожды в 2015 году пошел на эксперимент с выпуском OnePlus X, которы...

От скриптов к собственной платформе: как мы автоматизировали разработку в ЦИАН На РИТ 2019 наш коллега Александр Коротков сделал доклад про автоматизацию разработки в ЦИАН: чтобы упростить жизнь и работу, мы используем собственную платформу Integro. Она отслеживает жизненный цикл задач, снимает с разработчиков рутинные операции и заметно сокращает ко...

AMD представит процессор Ryzen 5000 Cezanne в следующем году База данных SiSoftware продолжает радовать новой информацией о новых процессорах AMD и Intel. Ранее была опубликован информация о новом процессоре Intel Tiger Lake, теперь появилась запись с процессором AMD Ryzen 5000 серии которая получила название Cezanne. Камень станет пр...

AMD FidelityFX Super Resolution протестировали на встроенной графике Intel — частота кадров выросла в 1,5 раза Технология интеллектуального масштабирования FidelityFX Super Resolution (FSR) действительно способна эффективно работать не только с видеокартами AMD, но и с графическими процессорами других производителей. В Twitter появились первые тесты новой технологии на встроенном гра...

AMD подтверждает прогноз роста продаж в этом году на 28-30% Компания AMD подтвердила свой предыдущий прогноз относительно роста продаж в этом году. В компании ожидают, что коронавирус мало отразится на продажах продукции AMD в текущем квартале, а рост за год в целом составит 28-30%. По словам AMD, воздействие коронавируса может...

4K-телевизор Redmi TV Max за 2852 долларов Китайская компания Xiaomi анонсировала новый смарт-телевизор с большой диагональю. Смарт-телевизор получила название Redmi TV Max и 98-дюймовый дисплей с разрешением 3840х2160 пикселей. Дисплей получил частоту обновления изображения 60 герц с технологией Motion Estimation a...

Intel представила новые настольные процессоры Comet Lake: старая архитектура, старый техпроцесс, но новый сокет Итак, компания Intel только что представила свои новые процессоры Comet Lake-S. Мы уже множество раз обсуждали эти CPU, так что остаётся лишь повторить основное и подвести итоги. Comet Lake-S — это настольные процессоры, использующие всё ту же старую архитектуру и...

Huawei Kirin 820 готовится к релизу Появилась весьма интересная информация о том, что китайская компания Huawei прямо сейчас разрабатывает процессор Kirin 820, который построен на 6 нанометровой технологии и обладает минимальным уровнем потребления энергии, благодаря чему процессор не перегревается и не требуе...

SK Hynix показала оперативную память DDR5 Оперативную память DDR5 слухи попеременно приписывают то перспективным платформам Intel, то AMD, но пока у них обеих нет ни одной пользовательской платформы с поддержкой DDR5. Однако это вовсе не значит, что производители памяти не думают на перспективу. К примеру, SK H...

Intel решила возобновить выпуск старого неактуального процессора В 2015 году Intel остановила производство двухъядерного процессора Pentium G3420. Теперь компания зачем-то решила возобновить выпуск CPU, представленного ещё в 2013 году.

Microsoft представила DirectX 12 Ultimate с графическими функциями следующего поколения Компания Microsoft на днях анонсировала DirectX 12 Ultimate, кульминацию лучших графических технологий от Microsoft. DirectX 12 Ultimate поставляется со всеми графическими аппаратными функциями следующего поколения, включая DirectX Raytracing, Variable Rate Shading, Mesh Sha...

На что способен топовый китайский процессор KaiXian KX-U6780A в сравнении с CPU Intel и AMD Как известно, в Китае уже много лет активно развивается направление импортозамещения, причём развивается более чем активно. Вплоть до того, что у китайцев есть собственные полноценные x86-совместимые процессы с неплохой производительностью. Производит их в том числе ком...

Глава Intel рассказал, как компания оказалась в невыгодном положении — и что будет дальше (7 нм в 2021-м году и 5 нм — в 2024-м) На недавней ежегодной конференции Credit Suisse генеральный директор Intel Роберт Суон откровенно побеседовал с журналистами; лидер «синих» без каких-либо оговорок рассказал, что именно привело к той ситуации, в которой сейчас оказалась компания — из-за продолжающихся трудно...

Генеральный директор AMD представит микроархитектуру Zen 3 на выставке CES 2020 Со ссылкой на известное тайваньское издание источник сообщил, что компания AMD официально представит микроархитектуру следующего поколения Zen 3 на выставке CES 2020. Доклад генерального директора компании будет посвящен трем ключевым продуктам клиентского сегмента в но...

Autel переносит выпуск дронов EVO II на март Как мы уже сообщали, компания Autel Robotics представила дрон EVO II, который может стать первым коммерчески доступным складным дроном с камерой, поддерживающей видео 8К. Говоря точнее, производитель готовит к выпуску трех варианта беспилотника, которые различаются моду...

Core i7-9750HF – еще один процессор Intel с отключенным графическим ядром Как пишет китайский источник со ссылкой на менеджера по продуктам Lenovo Лина Лина (Lin Lin), Intel подготовила еще один процессор с отключенным графическим ядром. Это Core i7-9750HF – предназначенный для использования в высокопроизводительных ноутбуках. По сути,...

Xiaomi отказалась от разработки собственного процессора Компания переключилась на микросхемы для IoT

Новые MacBook с нормальными клавиатурами выйдут уже в начале лета Вчера мы говорили о том, что совершенно новый ноутбук Apple с процессором ARM собственной разработки купертинского гиганта может выйти уже в конце текущего года. Подробностей нет, но самым логичным кандидатом на это место видится новый MacBook Air. Но до выхода такого ...

Подразделение беспилотников Cruise из General Motors показало свою первую серийную машину для совместных поездок Но электрокар пока не соответствует нормам США, отмечает TechCrunch.

Huawei собирается выпустить ПК с собственной HarmonyOS 2.0 и ЦП Kunpeng HarmonyOS является собственной операционной системой Huawei, представленной на конференции разработчиков HDC 2019. И, похоже, технологический гигант может вскоре выпустить на китайском рынке HarmonyOS в качестве платформы для собственных настольных ПК. По словам известного и...

Qualcomm уже могла начать производить Snapdragon 875. Когда ждать? Выхода нового процессора для смартфонов ждут все любители этого типа электроники. Казалось бы, что это что-то, чего большинство из нас даже никогда не увидит, но именно этот ”невидимый боец” делает так, чтобы все наши любимые приложения работали, как это задумано их создате...

Dell смотрит в сторону AMD Ни для кого не секрет, что Intel не в состоянии наладить поставки процессоров в нужном объеме. Конечно, нехватка сказывается на производителях ПК. Компания Dell, которая в течение многих лет остается лояльным клиентом Intel, потеряла терпение и готова расширить закупки ...

Ростех создает единую систему интернета вещей для «умного города» Разработка АО "Калужский электромеханический завод", входящего в Концерн "Автоматика", основывается на российской платформе "Эльбрус" и отечественных программных продуктах. Собственный защищенный протокол комплекса позволит создавать общегородск...

Rivian будет производить электрические внедорожники Ford или Lincoln В конце этого года на бывшем заводе Mitsubishi, расположенном в сельской местности в штате Иллинойс, должен начаться выпуск электромобилей Rivian. Генеральный директор Rivian в интервью источнику сообщил, что компания собирается выпустить опытные машины в третьем кварта...

Флагман за 280 долларов. Представлен смартфон Redmi K30 5G Extreme Edition Сегодня компания Redmi официально анонсировала смартфон Redmi K30 5G Extreme Edition, главным отличием которого от Redmi K30 5G стала новая однокристальная система Snapdragon 768G. Redmi K30 5G Extreme Edition станет первым смартфоном, который будет построен на базе одн...

Очередной удар AMD по Intel. Упоминание архитектуры Zen 3 уже появилось в Linux Процессоры Ryzen стали небольшой революцией для рынка ПК. Они не только позволили AMD в разы нарастить долю на рынке, но и заставили Intel активно менять свой подход к бизнесу в этом сегменте. Очередной скачок CPU Ryzen сделали прошлым летом, когда вышли новые настольн...

Каким станет новый MacBook Время обновляться, кажется, пришло MacBook 2015 — 2019 (MacBook II?) – это красота, немощь и все болячки, какие можно было заиметь в его время. Тем не менее, совсем пропащим он не был, его покупали (о нем даже мечтали), но бестселлером он не стал. Число попыток неогран...

Представлена однокристальная система MediaTek Dimensity 900 Компания MediaTek представила однокристальную систему Dimensity 900, рассчитанную на выпуск по нормам 6 нм, поддерживающую 5G, Wi-Fi 6, дисплеи с частотой обновления 120 Гц и основную камеру разрешением 108 Мп. Уже по этим признакам понятно, что новая SoC предназначена ...

Вы ведь все равно закидаете Диму шапками? | Анонс выпуска Каким бы серьезным экспертом не был Дмитрий, он на 1000% уверен, что вы все равно закидаете его шапками. Или нет? Смотрите завтра 21 апреля новый выпуск из цикла Экспертный совет i3D - "Суперпластики FDM".Специально для 3D today.i3D.ru - интеграция промышленных 3D-решений в ...

Stratolaunch разработает два гиперзвуковых планера и космпоплан Компания Stratolaunch уже довольно давно ведет разработку самолета Model 351 — самого длиннокрылого самолета в мире. Изначально планировалось, что аппарат будет использовать в качестве системы воздушного старта для запуска ракет собственной разработки Stratolaunch; позже, од...

Развивать рынок интернета вещей предлагается на отечественном оборудовании и решениях Как стало известно "Коммерсанту", 19 декабря "Ростех" направил документ на согласование в Минкомсвязь и аналитический центр при правительстве. В Минкомсвязи подтвердили его получение, отметив, что все технологические "дорожные карты" пока дораба...

Среднебюджетная Radeon RX 5600 XT действительно основана на топовом в своём поколении GPU Видеокарта Radeon RX 5600 XT уже представлена, и мы знаем, что она располагает 2304 потоковыми процессорами. Ровно как и Radeon RX 5700. А это означает, что основана новинка должна быть на GPU Navi 10, ведь создавать два разных, но очень схожих графических процессора &m...

Программирование без кода – будущее софтверной разработки Согласно исследованию Gartner, к 2024 году разработка приложений с низким содержанием кода достигнет 65 % от общей доли заказов на новое ПО. Порядка 75 % бизнес-структур перейдут на использование цифровых инструментов собственной конструкции, для создания которых н...

Intel обновила дорожную карту: на горизонте 2-нм и 1,4-нм техпроцессы Во время мероприятия International Electron Devices Meeting (IEDM) генеральный директор ASML Мартин ван ден Бринк (Martin van den Brink) рассказал о планах его компании на ближайшие десять лет. ASML является производителем фотолитографического оборудования...

Tesla планирует наладить выпуск Model Y уже в 2020 году Model Y от Tesla может выйти раньше, чем мы думаем Компания Tesla, кажется, не собирается останавливаться. Вслед за анонсом и даже началом предзаказов на футуристичкнй Cybertruck, стало известно, что вполне возможно, что скоро нас ждет выход нового электрокроссовера от компа...

Apple может выпустить первый Mac на процессоре ARM в 2021 году В настоящее время Apple разрабатывает собственную серию процессоров для своей линейки ноутбуков Macbook на основе архитектуры Arm Instruction Set. Разработав некоторые из самых мощных мобильных процессоров, которые входят в серию устройств iPhone, Apple готовится перейти к е...

Представлен Helio G80: заявка на игровое решение и ... Тайваньский чипмейкер MediaTek продолжает расширять линейку чипов среднего класса и сегодня представил однокристальную систему Helio G80. Первыми процессорами в этой линейки стали Helio G90 и Helio G90T, представленные летом прошлого года, а в начале нынешнего года дебю...

Не ждите iPhone 12 в этом году Как пишет японский ресурс, в Apple начались внутренние дискуссии, тема которых — возможность отсрочки выпуска iPhone 12 «на месяцы». Связано это с пандемией коронавируса, которая сильно меняет уклад людей — они думают совсем о других вещах, ...

Aston Martin отменил серийный выпуск электромобиля Rapide E из-за финансовых проблем, проект сохранят на правах исследовательского По информации издания Autocar, пятилетняя эпопея с выпуском премиум-электромобиля Aston Martin Rapide E закончилась фиаско. Британский автопроизводитель находится в настолько тяжелом финансовом положении, что был вынужден отменить мелкосерийный выпуск уже готовой модели. Про...

Видеокарты AMD Radeon RX 7000 будут построены на 5-нм техпроцессе и архитектуре RDNA3 Изучаем информацию об одном из перспективных направлений на рынке видеокарт

Игровые ноутбуки с новыми компонентами Intel и NVIDIA дебютируют в апреле Кооперация важна в мобильном сегменте, где покупатели сразу получают готовый ноутбук, а потому баланс потребительских качеств сильно влияет на их выбор. Intel и NVIDIA объединят усилия по продвижению новых центральных и графических процессоров для игровых ноутбуков в первой ...

Графический процессор AMD для платформы Samsung обошел по производительности графику в Snapdragon 865 Samsung и AMD заключили партнерское соглашение в середине 2019 года, а первый совместный коммерческий продукт – однокристальная система Samsung со встроенным графическим процессором AMD – появится только в 2021 году. Но уже сейчас источник поделился рез...

Apple вернулась к сотрудничеству с Imagination Technologies спустя несколько лет после разрыва отношений В 2017 году компания Apple разорвала отношения с Imagination Technologies, которая много лет являлась «поставщиком» графических процессоров для однокристальных систем Apple A. Купертинский гигант перешёл на GPU собственной разработки, которые показали себя ...

Новое поколение чипсетов Intel и NVIDIA представят 2 апреля Компании объявят о них уже совсем скоро, а появятся они в продаже в середине следующего месяца. В 2019 году Intel обновила свой каталог процессоров для ноутбуков, выпустив 14 нм Comet Lake U и 10 нм Ice Lake. Однако они были больше ориентированы на ультралегкие устройства, т...

Apple думает создать компьютер для киберспортсменов Яблочные компьютеры не слишком подходят для игр. Однако, как отмечают сетевые источники, в Купертино задумались над освоением новых направлений. В частности, компания рассматривает возможность выпуска дорогостоящего компьютера, нацеленного на киберспортивную аудиторию.Читать...

Назад в 2013-й: Intel «воскресила» 22-нм Pentium G3420 из семейства Haswell Корпорация Intel решила вернуться к выпуску 22-нм процессора Pentium G3420. Этот «камень» был представлен в декабре 2013 года, а его производство официально завершилось ещё несколько лет назад. В качестве причины такого решения Intel...

Крохотный пятиядерный CPU Intel выступает на уровне старенького Core m3-7Y30 Процессор с ноготок, Intel Lakefield, пока недоступен для полноценного тестирования. Первые ноутбуки на основе такого CPU ожидаются летом. Пока же нам остаётся лишь собирать те крохи информации, которые попадают в Сеть. К примеру, сегодня появились результаты тестирован...

Фотография платформы AMD для консоли Xbox Series X: графический процессор больше Navi 10 Хотя компания Microsoft анонсировала свою игровую консоль нового поколения Xbox Series X ещё в прошлом году, о лежащей в её основе полузаказной платформе от AMD мы знаем не так много. Но теперь глава Xbox Фил Спенсер (Phil Spencer) опубликовал в своём профиле в твиттере изоб...

Официально: Apple переходит на собственные ARM-процессоры Apple в рамках ежегодной конференции разработчиков WWDC 2020 официально объявила о планах перевести устройства линейки Mac на ARM-процессоры Apple Silicon собственного производства. Главным преимуществом ARM-чипов является низкое энергопотребление, при этом производительнос...

Первые тесты Intel Xe DG1: встроенная и дискретная версии GPU близки по производительности В этом году компания Intel планирует выпустить свои графические процессоры нового, 12-го поколения Intel Xe. И теперь в базах данных различных бенчмарков начали появляться первые записи о тестировании этой графики, причём как встроенной в процессорах Tiger Lake, так и дискре...

Rivian откладывает поставки электромобилей до 2021 года По словам источника, электрические пикапы Rivian являются одними из самых ожидаемых электромобилей в США. Производитель планировал начать выпуск электрического пикапа R1T и внедорожника R1S в конце текущего года, но осуществлению этих планов помешала пандемия COVID-19. ...

Процессоры Intel Tiger Lake повторят успех Ryzen? Прирост производительности будет внушительным В следующем году компания Intel выпустит на рынок мобильные процессоры Tiger Lake. Эти CPU сохранят 10-нанометровый техпроцесс, как у Ice Lake, но будут носителями новой архитектуры, причём как для процессорной части, так и для GPU. Это должно обеспечить неплохой прирос...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)