Социальные сети Рунета
Четверг, 25 апреля 2024

По неофициальным данным, Intel и TSMC договорились о выпуске 3-нанометровых микросхем Со ссылкой на отраслевые источники сайт DigiTimes сообщил, что Intel и TSMC заключили сделку по производству 3-нанометровых микросхем. Как утверждается, крупнейший мировой контрактный производитель полупроводниковой продукции строит новое предприятие, которое будет выпо...

Samsung планирует к 2026 году утроить мощности, занятые контрактным производством микросхем Компания Samsung Electronics сообщила, что планирует утроить свои производственные мощности, предназначенные для выпуска полупроводниковой продукции по контрактам. Этот план, опубликованный в условиях глобальной нехватки микросхем, отразившейся на производстве многих ви...

По неофициальной информации, TSMC сталкивается с проблемами при освоении 3-нанометрового техпроцесса Источник утверждает, что компания TSMC столкнулась с проблемами при освоении 3-нанометрового техпроцесса. Хотя сам контрактный производитель недавно заявил, что массовое производство начнется во втором квартале 2022 года, по неофициально информации, процент выхода годны...

Следом за топовой SoC Dimensity 9000 выйдет 5-нанометровая Dimensity 7000 Вчера компания MediaTek официально представила новейшую флагманскую мобильную платформу Dimensity 9000, которая производится с использованием 4-нанометрового технологического процесса TSMC. Также стало известно, что смартфоны на базе этой однокристальной системы уже гот...

TSMC осваивает 3-нанометровый техпроцесс Чипы Apple M3, изготовленные по технологии нового поколения, планируется выпустить в 2023 году. В то время как все мы ждем появления в 2022 году компьютеров Mac с процессорами M2, компания Apple уже планирует выпуск чипов третьего поколения, которые по производительности...

По слухам, Intel может выложить за израильского контрактного производителя Tower Semiconductor до $6 млрд За год своего пребывания на посту генерального директора Intel Патрик Гелсингер (Patrick Gelsinger) не раз дал понять, что компания заинтересована в развитии контрактного бизнеса, но официально соответствующая активность свелась преимущественно к выделению средств на строите...

TSMC построит в Японии фабрику полупроводниковых изделий Подтверждая ранее появившуюся информацию, компании TSMC и Sony Semiconductor Solutions (SSS) совместно объявили, что TSMC создаст дочернюю компанию Japan Advanced Semiconductor Manufacturing ( «JASM») в Кумамото, Япония, с участием SSS в качестве миноритарно...

Прибыль TSMC в минувшем квартале превысила 6 млрд долларов Компания TSMC отчиталась за четвертый квартал 2021 года. Это квартал оказался для контрактного производителя полупроводниковой продукции рекордным. Чистая прибыль составила 6,01 млрд долларов, в годовом выражении увеличившись на 16,4%. Ровно половину выручки компании пр...

В период до 2025 года AMD закажет у GlobalFoundries пластины на сумму 2,1 млрд долларов По сообщению источника, AMD и GlobalFoundries подписали очередное дополнение к договору на поставку полупроводниковых пластин. Соглашением предусмотрено, что в период с 2022 до 2025 год AMD закажет у GlobalFoundries пластины на сумму 2,1 млрд долларов. В мае этого года ...

Ситуация с нехваткой 200-миллиметровых мощностей улучшится в следующем году С 2020 по 2025 год среднегодовой рост мощностей, принадлежащих десяти крупнейшим контрактным производителям полупроводниковой продукции, составит примерно 10%. При этом большинство компаний сосредоточится на расширении производство, рассчитанных на 300-миллиметровые пла...

Apple приписывают намерение использовать в iPhone модемы собственной разработки, начиная с 2023 года Компания Apple давно стремится перейти от использования модемов Qualcomm к модемам собственной разработки. По сообщению источника, Apple наконец начнет использовать свои модемы 5G в 2023 году. Они будут рассчитаны на выпуск по 4-нанометровому техпроцессу, разработанному...

Не Xiaomi 12, не Galaxy S22 и не флагман Moto. Первым смартфоном на Snapdragon 898 может стать Nubia Red Magic 7 Первым смартфоном на базе однокристальной системы Snapdragon 898, который прошёл сертификацию китайского регулятора и получил одобрение на доступ к Сети, стал Nubia Red Magic 7. Сообщается, что в последнее время Nubia находится в тесном контакте с Qualcomm. Обе компании...

UMC планирует построить в Сингапуре фабрику, которая будет выпускать полупроводниковую продукцию по нормам 22 и 28 нм Компания United Microelectronics Corporation (UMC), являющаяся крупным производителем полупроводниковой продукции, объявила, что ее совет директоров утвердил план строительства в Сингапуре нового производственного предприятия рядом с существующей фабрикой Fab12i, рассчи...

TSMC начнет производство 3-нм чипов для Apple и Intel в 4 квартале 2022 года Согласно последнему отчету DigiTimes, компания TSMC планирует начать коммерческое производство чипов, построенных по своему 3-нм техпроцессу, в четвертом квартале 2022 года. В отчете также говорится, что первоначальная мощность будет поровну разделена между Apple и Intel.В б...

Intel говорит, что может инвестировать в заводы по производству микросхем в Огайо 100 млрд долларов Компания Intel завершила эту рабочую неделю сообщением о решении выделить более 20 млрд долларов на строительство двух передовых заводов по выпуску микросхем в штате Огайо. Чтобы поддержать развитие новой производственной площадки, компания пообещала дополнительно выдел...

TSMC будет единственным поставщиком радиочастотных микросхем 5G для смартфонов Apple iPhone 14 Ссылаясь на данные, поступившие из цепочки поставок, источник утверждает, что компания TSMC оттеснила Samsung и получила все заказы на радиочастотные микросхемы 5G для смартфонов Apple следующего поколения, выход которых ожидается в конце года. Как утверждается, микросх...

Apple заказала огромное количество дисплеев Mini LED на 2022 год Компания Apple увеличила заказы на дисплеи Mini LED и привлекла дополнительных производителей в свою цепочку поставок, чтобы помочь удовлетворить спрос. Сообщается, что эти новые панели предназначены для грядущей линейки устройств компании, выпуск которых запланирован н...

AMD готовит Radeon RX 6000S. Что это за видеокарты? В распоряжении источника оказались интересные подробности о новой линейке графических процессоров AMD RDNA2 Refresh (в рознице видеокарты на базе обновленных GPU формируют линейку Radeon RX 6000S). В чем же отличия от нынешних графических процессоров RDNA2? Оно состоит...

Китайские производители планируют в этом году представить 5-нм и 7-нм графические процессоры Ссылаясь на публикацию DigiTimes, источник утверждает, что несколько китайских производителей графических процессоров намерены в этом году разработать графические процессоры, рассчитанные на выпуск по нормам 5 и 7 нм. При этом при попытке заказать их выпуск они могут ст...

По неофициальным данным, техпроцесс TSMC N3E будет готов раньше срока TSMC работает над несколькими 3-нанометровыми техпроцессами. В настоящее время в разработке находятся, по крайней мере, варианты N3, N3B и N3E. Производство с использованием техпроцесса N3 запланировано на 2023 год, а узел N3E изначально планировался на 2024 год, но теп...

LG готовится к производству OLED-экранов для новых iPad Появилась информация о новых планшетах Apple iPad, оснащённых OLED-дисплеями, которые будут поставляться известным южнокорейским производителем экранов LG Display. Согласно сообщению ETNews, именно южнокорейский поставщик будет отгружать гиганту из Купертино OLED-панели...

IDC: дефицит чипов сохраняется из-за инвестиций не в те производства Как сообщила исследовательская компания IDC, связанные с дефицитом полупроводников проблемы сохранятся как минимум до середины 2022 года из-за того, что отраслевые игроки стараются обеспечить себя запасами до нормального уровня, но не инвестируют в производства на основе зре...

У iPhone 14 не будет недостатка в виде прошлогодней платформы, но Apple впервые будет использовать один и тот же техпроцесс три года подряд Появились новые подробности об однокристальной системе, которая будет использоваться в новом смартфоне iPhone 14, не фоне новостей о том, что тайваньский производитель микросхем TSMC испытывает сложности с освоением с 3-нанометрового технологического процесса. Ранее соо...

Intel Alder Lake разгромили Ryzen в японской рознице — доля AMD в январских продажах рухнула до 25 % Объёмы поставок процессоров AMD на рынке Японии значительно сократились, указывается в отчёте японского агрегатора BCN, собирающего информацию об объёмах продаж чипов среди ретелейров и онлайн-площадок по всей стране. В январе доля продаж процессоров AMD снизилась до 25 %, а...

Новый MacBook Air с Apple M2 отложили до конца 2022, а новые MacBook Pro появятся в 2023 году По словам Марка Гурмана из Bloomberg, компания Apple отложила выпуск обновленного MacBook Air до конца этого года и, скорее всего, не выпустит новые 14- и 16-дюймовые модели MacBook Pro до 2023 года. В своем последнем выпуске Power On Гурман сказал, что Apple изначально...

Чип Apple A16 для iPhone 14 может быть произведен по 5-нм техпроцессу Издание The Information со ссылкой на осведомленные источники сообщает о том, что компания TSMC столкнулась с производственными трудностями при переходе на 3-нанометровое производство микросхем. В будущем это может привести к тому, что iPhone 14 получит 5-нм чип. На основе э...

Аналитики TrendForce прогнозируют подорожание памяти DDR3 В этом году компании Intel и AMD выпустят новые процессоры с поддержкой DDR5 DRAM, предназначенные для ПК и серверов. С учетом этого южнокорейские производители DRAM переходят на выпуск DDR5, одновременно сокращая поставки памяти DDR3. Этот процесс начал ускоряться в ус...

У восточного побережья Тайваня произошло землетрясение магнитудой 6,0 Землетрясение магнитудой 6,0 произошло у восточного побережья Тайваня в 17:46 по местному времени 3 января 2022 года. Поскольку большинство местных производств полупроводниковой продукции, включая фабрики, выпускающие микросхемы DRAM, расположено в северной и центрально...

Apple готовит два новых чипа. Один из них появится в новом iPad Pro Не так давно стало известно о новом iPhone 14. И если модели с приставкой Pro оборудуют обновленным чипом A16, то обычные iPhone 14 и iPhone 14 Max получат старый-добрый А15 Bionic, который, правда, переименуют. Кто-то успел подумать, что у компании возникли сложности с про...

Intel договорилась с ASML о поставке оборудования для запуска техпроцесса 18A в 2025 году При новом генеральном директоре корпорация Intel перестала скрывать, что собирается стать первым покупателем литографического оборудования ASML, сочетающего сверхжёсткое ультрафиолетовое излучение с высоким числовым значением апертуры. На этой неделе компании подтвердили, чт...

Доступный планшет Samsung Galaxy Tab A8 (2021) получит чип Unisoc T618 и аккумулятор на 7040 мА·ч По сообщениям сетевых источников, южнокорейская компания Samsung готовится к запуску планшета Galaxy Tab A8 (2021), который пополнит линейку устройств средней ценовой категории. Незадолго до официального запуска устройства в Интернете появилась информация касательно его хара...

Новые предприятия Intel в Огайо будут готовы выпускать продукцию по технологии 18A Вчера Патрик Гелсингер (Patrick Gelsinger) успел не только выступить на одном мероприятии с политическим руководством США, но и дать интервью ряду изданий, описав перспективы новой производственной площадки в Огайо, которая к концу десятилетия может разместить до восьми пред...

MediaTek обходит Qualcomm и становится лидером на рынке чипсетов для Android-смартфонов Сообщается, что MediaTek обогнала Qualcomm как крупнейшего поставщика полупроводниковых чипсетов для Android-смартфонов в США. Согласно последнему отчету IDC, MediaTek занимала более 50 процентов доли рынка в четвертом квартале 2021 года, превзойдя обычно доминирующую Qualco...

Northvolt построит свой третий гигантский аккумуляторный завод на севере Германии Компания Northvolt сегодня заявила, что планирует построить завод по производству литий-ионных аккумуляторов мощностью 60 ГВт·ч в год в Хайде на севере Германии. Компания, крупнейшим владельцем которой является Volkswagen AG, сообщила, что подписала соответствующ...

Представители TSMC подтвердили заинтересованность в строительстве предприятия в Германии К концу десятилетия европейские власти намереваются довести долю локально выпускаемой по передовым техпроцессам продукции до 20 % от мирового объёма, поэтому в реализации этой стратегии они вынуждены полагаться на зарубежных партнёров. Представители TSMC впервые подтвердили ...

LG Display оценивает техпроцесс, который, вероятно, будет использоваться для изготовления панелей OLED для будущих ноутбуков Apple MacBook Источнику стало известно, что компания LG Display оценивает техпроцесс изготовления панелей OLED с использованием подложек размером Gen 8.5 (2200 x 2500 мм). По словам информаторов, оценка проводится на предприятии Sunic System — одного из поставщиков LG Display &...

Apple выпустит собственный 5G-модем для iPhone в 2023 году Компания Apple планирует выпустить в 2023 году свой собственный 5G-модем для iPhone, производством которого будет заниматься крупнейший в мире контрактный производитель микросхем TSMC.По информации издания NikkeiAsia со ссылкой на источники, близкие к этому вопросу, гигант и...

Всего три компании занимают 89% рынка процессоров приложений для смартфонов Специалисты аналитической компании Strategy Analytics подвели итоги третьего квартала 2021 года на рынке процессоров приложений (applications processor или AP в противовес процессорам, обеспечивающим работу в сетях) для смартфонов. Согласно подготовленному аналитиками о...

Продажи полупроводниковых изделий в 2021 году впервые превысили 500 млрд долларов, а компания Samsung вернула себе первое место на рынке Согласно предварительным подсчетам специалистов аналитической компании Gartner, мировой доход от  продаж полупроводниковой продукции в 2021 году увеличился по сравнению с 2020 годом на 25,1% и достиг 583,5 млрд долларов, впервые преодолев порог 500 млрд долларов. &...

Discovery Life Sciences объявляет о выпуске Discovery Cryo Leukopaks (GMP) Компания Discovery Life Sciences™ (далее «Discovery»), специализирующаяся в производстве биообразцов и биомаркеров, объявила о расширении своего ассортимента продукции клинического применения, соответствующей требованиям надлежащей производственной практики (GMP), ...

Apple вынуждена согласиться на повышение цен: в iPhone 14 используют 4-нм SoC Apple A16 производства TSMC Компания TSMC опубликовала отчет о доходах за январь. Консолидированная выручка в этом месяце составила более 6 миллиардов долларов, что на 10,8% больше, чем годом ранее, и на 35,8% больше в годовом исчислении. Компания установила новый рекорд месячной выручки. TSMC соо...

Расходы десяти крупнейших покупателей полупроводниковой продукции на покупку микросхем в 2021 году выросли на 25,2% Дефицит полупроводниковой продукции и пандемия COVID-19 нарушили работу OEM-производителей в 2021 году, но крупнейшие из них при этом увеличили расходы на покупку микросхем на 25,2%. Суммарно на компании первой десятки пришлось 42,1% всех закупок. Такие данные приводит ...

Intel отказывается от конкуренции с AMD в этом сегменте? Компании приписывают намерение прекратить выпуск процессоров Core-X HEDT Компания Intel, похоже, отказывается от прямой конкуренции с AMD в сегменте процессоров HEDT. Согласно свежим данным, новых CPU Core X больше не будет. Но не всё так однозначно.  серверный CPU Sapphire Rapids Для начала напомним, что текущее поколение Co...

Ожидается, что уже в будущем году производство смартфонов вернется к уровню, достигнутому перед пандемией Смартфоны являются предметом первой необходимости, поэтому их выпуск будет расти по мере восстановления экономической активности после пандемии. Такого мнения придерживаются специалисты аналитической компании TrendForce, ожидая, что отрасль восстановится уже в следующем...

Сверхмощная 20-ядерная платформа M1 Ultra обходится Apple по цене 10-ядерного Core i5-12600K На этой неделе Apple представила свои самые мощные однокристальные системы M1 Max и M1 Ultra, на базе которых построен и самый мощный настольный ПК в мире (так его характеризует сама Apple) Mac Studio. Apple не раскрывает стоимость компонентов своих устройств, но аналит...

Tesla отложила начало производства Cybertruck на 2023 год Как сообщает Reuters со ссылкой на надёжного информатора, хорошо знакомого с этим вопросом, Tesla намерена начать производство своего электрического пикапа Cybertruck только к концу первого квартала 2023 года. Ранее ожидалось, что производство начнётся в этом году....

Теперь возможно создавать модули DDR5 объёмом 96 ГБ. Hynix представила микросхемы рекордной плотности Компания SK Hynix первой на рынке представила микросхемы памяти DDR5 плотностью 24 Гбит. Новые микросхемы будут производиться по техпроцессу 1anm, который представляет собой четвёртое поколение 10-нанометрового техпроцесса и подразумевает использование&nb...

Официальная стоимость GeForce RTX 4070 окажется на 10% больше, чем у GeForce RTX 3070 Несмотря на то, что GeForce RTX 3070 оказалась гораздо мощнее предшественницы, GeForce RTX 2070, Nvidia не стала увеличивать официальную стоимость: для обеих видеокарт на момент анонса она составляла 500 долларов. Другое дело, что из-за дефицита на рынке GeFor...

Почему Exynos 2200 получилась хуже Snapdragon 8 Gen 1 и почему SoC Qualcomm используются в Galaxy S22 более широко? Samsung начала внутреннее расследование За несколько недель до официальной премьеры флагманов Samsung Galaxy S22 появились слухи о том, что компания откажется от собственной однокристальной платформы Exynos 2200 в пользу Snapdragon 8 Gen 1. Якобы, в плане производительности она оказалось совсем не такой, како...

Через восемь лет Samsung может обойти TSMS Компания Samsung в литейном бизнесе может обойти TSMC уже в конце текущего десятилетия.   Как сообщает источник, Samsung Electronics недавно объявила, что ее завод по производству полупроводниковых пластин теперь обслуживает более 100 клиентов. Исходя из теку...

Apple представит пять компьютеров на фирменных процессорах в 2022 году — в том числе 13-дюймовый MacBook Pro Apple работает над пятью новыми компьютерами на базе фирменных Arm-процессоров, которые будут представлены в 2022 году, сообщает аналитик Bloomberg Марк Гурман (Mark Gurman). Эксперт заявляет, что среди них будет и обновлённая версия представленного в прошлом году 13-дюймово...

У TSMC возникли проблемы с заводом в Аризоне По сообщению источника, компания TSMC столкнулась с проблемами, пытаясь построить в Аризоне завод по производству микросхем. Как известно, его строительство началось в июне прошлого года. Согласно планам TSMC, предприятие стоимостью 12 млрд долларов должно приступить к ...

Samsung готовит революционный процессор, но может получить проблемы Samsung собирается представить новый революционный метод производства процессоров. Он будет иметь круговую схему. Она будет более известна, как GAA. Это будет действительно революционная разработка, которая очень существенно превзойдет все, что мы сейчас имеем. Но работа на...

Весной Samsung начнёт продавать телевизоры с MicroLED, которые будут на 40 % дешевле актуальных моделей Компания Samsung планирует начать в мае производство телевизоров с MicroLED-дисплеями, которые будут намного дешевле актуальных моделей, выпущенной в прошлом году. Об этом сообщает южнокорейское издание The Elec. Источник: Samsung Пока не сообщается, о каком регионе продаж и...

У процессоров Apple следующего поколения будет до 40 ядер Чипы компании будут изготавливаться на технологическом узле TSMC N4P, который, как сообщают, рассчитан на норму проектирования 5 нм, обеспечит уменьшение площади кристалла на 6% и повышение производительности на 10%. По сведениям сайта The Information, чипы Apple, которые...

iPad Pro 2022 гполучит 3-нм SoC Apple M2 и поддержку MagSafe Как уже сообщалось, планшеты iPad Pro 2022 года будут оснащены неанонсированной платформой Apple M2, которая может быть представлена во второй половине этого года и будет производиться с использованием 3-нанометрового техпроцесса. Марк Гурман из Bloomberg обсудил i...

Анонсирован выпуск маршрутизатора MSI RadiX AXE6600 Показанное на иллюстрации устройство готовит к выпуску компания MSI. Это маршрутизатор MSI RadiX AXE6600, соответствующий спецификации WiFi 6E. Он построен на неизвестной SoC Qualcomm, процессор которой работает на частоте 1,8 ГГц. Картинка позволяет заключить, что...

Intel: в следующие десять лет мы преодолеем сопротивление закона Мура Генеральный директор компании Пат Гелсингер заявил, что не верит в возможность аналогичных достижений со стороны конкурентов Intel. Генеральный директор Intel Пат Гелсингер заявил, что в следующем десятилетии его компания постарается сохранить действие закона Мура или даж...

Видеокарты и процессоры подорожают ещё сильнее. AMD, Intel и Nvidia собираются поднять цены на CPU и GPU на 20% Пока различные компании говорят о том, что ситуация с дефицитом чипов начнёт улучшаться во второй половине текущего года, а цены могут пойти вниз, аналитики DigiTimes разбивают надежды на нормализацию цен.  Согласно их данным, AMD, Intel и Nvidia собираются поднят...

Сотрудников Samsung подозревают в фальсификации данных о выходе годной продукции По сообщению тематических изданий, в компании Samsung Electronics разразился крупный скандал с участием нынешних и бывших сотрудников. Утверждается, что эти сотрудники причастны к фальсификации информации о показателях выхода годной продукции на производстве по нормам 3...

Цены на флеш-память NAND в следующем квартале не понизятся, а повысятся на 5-10% Аналитики TrendForce, отслеживающие ситуацию на рынке флеш-памяти типа NAND, изменили свой прогноз на второй квартал 2022 года. Если ранее они ожидали, что цены понизятся на 5-10%, то в новом прогнозе сказано, что цены повысятся на 5-10%. Основанием для изменения прогн...

Видеокарты Nvidia будут в дефиците до тех пор, пока Nvidia не выпустит модели GeForce RTX 40 (Ada Lovelace) В этом году называли уже, вероятно, не меньше десятка причин высокой стоимости видеокарт и их дефицита на рынке, но применительно к линейке Nvidia Ampere одной из главных был недостаточный выпуск графических процессоров на мощностях Samsung. GPU этой серии, напомним, вы...

Qualcomm сбегает от Samsung к TSMC Как известно, производством Snapdragon 8 Gen 1 занята Samsung. Но, увы 4-нанометровая технология южнокорейского гиганта оказалась несовершенная и есть проблемы с производством. Qualcomm с удовольствием бы передала портфель заказов на его выпуск TSMC, но производство тайваньс...

TSMC начнет производство 2 нм чипов в 2025 году TSMC объявила о рекордных доходах на фоне повышения цен в полупроводниковой отрасли, компания не проявляет никаких признаков замедления своего развития. Отвечая на вопрос о способности компании ориентироваться в неспокойных, охваченных инфляцией водах мира, Вэй добавил, что ...

Назван крупнейший производитель полупроводниковой продукции по итогам 2021 года Специалисты аналитической компании IC Insights в последний раз в этом году обновили прогноз, относящийся к полупроводниковой отрасли. Практически, это даже не прогноз, а предварительное подведение итогов года. По подсчетам аналитиков, 17 производителей в этом году преод...

Ericsson рассматривает возможность выпуска оборудования для сетей 5G в России Источник сообщил, что компания Ericsson изучает возможность локализации производства базовых станций 5G  в России. Как утверждается, шведский производитель телекоммуникационного оборудования рассматривает два варианта: сотрудничество с GS Group на производственной ...

Intel может вывести Mobileye на фондовый рынок, чтобы привлечь $50 млрд Корпорация Intel купила израильского разработчика систем активной помощи водителю Mobileye в 2017 году за $15,3 млрд, и он до сих пор сохраняет относительную структурную самостоятельность. Профильный бизнес Intel хоть и растёт уверенными темпами, по абсолютной величине оборо...

SK Hynix удалось освоить массовое производство датчиков изображения с пикселями 0,7 мкм По сообщению источника, компания SK Hynix приступила к массовому производству датчиков изображения с шагом пикселей 0,7 мкм, конкурируя на мировом рынке датчиков изображения с Sony и Samsung Electronics. Недавно она начала выпуск таких датчиков изображения разрешением 5...

TSMC объявила, что вместе с Sony построит в Японии производство чипов стоимостью $7 млрд Компании Taiwan Semiconductor Manufacturing Co. (TSMC) и Sony Group Corp. официально заявили, что построят в Японии новый завод по производству микросхем. Проект оценивается в $7 млрд. Новая фабрика должна снизить нагрузку на мировую индустрию производства полупроводниковой ...

Наконец-то действительно новый Athlon. Четырёхъядерный Athlon Gold Pro 4150GE ещё не представлен, но уже продаётся Компания AMD наконец-то может выпустить более современные процессоры семейства Athlon.  Новые CPU Athlon выходят почти каждый год, но все они пока основаны на архитектуре Zen. А это и соответствующая производительность, и уже старый 14-нанометровый техпроцесс. Int...

AMD немного обновит Radeon RX 6700 XT и Radeon 6600 XT. Их переведут на 6-нанометровые GPU Как пишет источник, в следующем году AMD немного обновит графические процессоры видеокарт Radeon RX 6700 XT и Radeon 6600 XT – на смену 7-нанометровой технологии производства придет 6-нанометровая. И в таком виде эти 3D-ускорители будут существовать до тех пор, по...

Дефицит видеокарт на этом фоне кажется баловством. Sony и другим компаниям приходится ждать производства некоторых чипов до двух лет Пока обычные пользователи сталкиваются с большими трудностями из-за дефицита некоторых устройств и завышенных цен, производители этих самых устройств находятся в куда более сложной ситуации.  Источник сообщает, что из-за продолжающегося дефицита полупроводников та ...

Intel снова приходится переносить сроки запуска новых CPU. На сей раз на год позже выйдут Granite Rapids Компания Intel продолжает понемногу сдвигать сроки выхода своей будущей продукции. Как стало известно, серверные процессоры Granite Rapids выйдут не в 2023 году, как компания обещала ранее, а в 2024.  Данная линейка CPU для Intel достаточно важна, так как это будут...

Продажи хромбуков в прошлом квартале упали на 63,6% Одновременно с данными, относящимися к рынку планшетов, специалисты аналитической компании IDC привели свежую статистику продаж мобильных компьютеров другой категории — хромбуков. Примечательно, что на этом рынке сокращение продаж в четвертом квартале 2021 года пр...

Процессоры AMD Ryzen 7000 «Raphael» Zen4 поступят в массовое производство к маю Ходят слухи, что процессоры AMD Ryzen 7000 «Raphael» для настольных ПК следующего поколения скоро поступят в массовое производство. Изготовление чипов, уже началось, поскольку источник утверждает, что упаковка начнется в конце апреля или начале мая. "Raphael" представляет со...

Ещё один монстр Intel. Компания готовится выпустить платформу Gaudi 2 для обучения ИИ Компания Intel готовится к запуску ускорителя обучения искусственному интеллекту нового поколения в конце этого года.  Новая платформа называется Gaudi 2. Напомним, в 2019 году Intel купила компанию Habana Gaudi, специализирующуюся на аппаратных решениях, связанны...

TSMC станет единственным поставщиком графических процессоров NVIDIA в 2022 году Согласно свежему отчету из Кореи, Тайваньская компания по производству полупроводников (TSMC) намерена быстро увеличить свою долю рынка к концу этого года. TSMC является крупнейшим в мире контрактным производителем микросхем, поскольку она отвечает за поставку полупроводнико...

Samsung Electronics объявляет о строительстве фабрики по производству полупроводниковой продукции в США Компания Samsung Electronics объявила сегодня о строительстве новой фабрики по производству полупроводниковой продукции в Тейлоре, штат Техас. По словам южнокорейского гиганта, инвестиции в США, оцениваемые в 17 млрд долларов, «помогут стимулировать производство п...

Дебют вычислительной архитектуры NVIDIA Hopper может состояться 21 марта Ближайшее мероприятие, на котором руководство NVIDIA готово рассказать о новинках в графической сфере — это виртуальная часть выставки CES 2022, которая начнёт работу на этой неделе. В марте же компания традиционно проведёт конференцию для разработчиков, и тогда может состоя...

Подготовка к iPhone 14 уже началась: из-за огромного спроса Sony впервые доверит TSMC производство датчиков изображения Как стало известно, компания Sony впервые передаст на аутсорсинг производство своих датчиков изображения CIS (Contact Image Sensor), компании TSMC. Сообщается, что Sony планирует использовать 40-нм техпроцесс завода TSMC Nanke Fab 14B для своего 48-мегапиксельного ...

TSMC увеличивает производство 5-нм пластин до 150 000 пластин в месяц в условиях высокого спроса Тайваньская компания по производству полупроводников (TSMC) увеличила поставки своего семейства 5-нанометровых (нм) техпроцессов. Это самая передовая технология в портфолио TSMC, и фабрика планирует перейти на 3-нанометровое производство в конце этого года. Сегодняшний отчет...

Пожар на заводе ASML в Берлине может повлиять на поставки оборудования для EUV-литографии Как мы уже сообщали, 3 января на заводе ASML в Берлине произошел пожар. Специалисты аналитической компании TrendForce попробовали оценить, какое влияние это событие может оказать на отрасль. Дело в том, что ASML — крупнейший поставщик ключевого оборудования для по...

AMD готовит видеокарты Radeon RX 6950XT, RX 6850 XT и RX 6750 XT с более быстрой памятью В Сети появляется все больше слухов о выпуске модернизированных видеокарт AMD нынешней линейки. Это не будет полноценным ответом на GeForce RTX 40, но «красные» 3D-ускорители прибавят примерно 2-5% производительности за счет новой более быстрой памяти. Ныне...

Vivo, Realme, Xiaomi, Oppo, Samsung, Motorola и OnePlus выпустят смартфоны на новейшей 4-нм SoC Dimensity 9000 Сегодня компания MediaTek официально представила новейшую флагманскую мобильную платформу Dimensity 9000, которая производится с использованием 4-нанометрового технологического процесса TSMC. Теперь же стало известно, что смартфоны на базе этой однокристальной системы у...

Intel раскрыла процессорный план: от Raptor Lake в этом году до Arrow Lake в 2024-м На ежегодном собрании акционеров Intel представила новый перспективный план по выводу на рынок следующих поколений процессоров для ПК. Он предусматривает выход новых поколений потребительских CPU каждый год: Raptor Lake — в 2022-м, Meteor Lake — в 2023-м и Arrow Lake — в 202...

Следующий этап для платформ Apple A и Apple M. Такие SoC перейдут на техпроцесс 3 нм в 2023 году Компания Apple сейчас использует TSMC для производства своих однокристальных систем по техпроцессу 5 нм. Трёхнанометровые платформы появятся в устройствах Apple лишь в 2023 году.  Источник сообщает, что массовое производство продукции по техпроцессу...

В Mercedes-Benz рассчитывают уже в ближайшие годы обзавестись производственными линиями, выпускающими только электромобили По сообщению источника, компания Mercedes-Benz пока будет воздерживаться от строительства заводов только для выпуска электромобилей, что потребовало бы довольно много времени. Вместо этого производитель будет формировать на существующих предприятиях линии, выпускающие т...

Microsoft выпустила официальные ISO-образы Windows 11 Build 22483.1011 Microsoft выпустила официальные ISO-образы новой предварительной сборки Windows 11 для пользователей канала Dev программы Windows Insider. К сожалению, а этом выпуске основное внимание уделяется исправлению ошибок и повышению общей производительности, визуальных изменений зд...

Xiaomi откроет в Пекине завод по производству электромобилей Китайский гигант рынка смартфонов Xiaomi построит в Пекине завод по производству электромобилей, способный выпускать 300 000 электромобилей в год. Об этом вчера сообщило руководство китайской столицы. Завод будет построен в два этапа, и Xiaomi также построит штаб-кварти...

Panasonic планирует построить в США новый крупный завод по производству аккумуляторов Японская корпорация Panasonic ищет возможность приобрести землю в США, чтобы построить крупный завода по производству аккумуляторов нового типа для электромобилей Tesla. Об этом сообщило агентство Reuters со ссылкой на телеканал NHK. Как утверждается, Panasonic рассматр...

Xiaomi основала вторую автомобильную компанию Китайский производственный гигант Xiaomi работает над выходом на автомобильный рынок. После регистрации Xiaomi Automobile Co в сентябре компания недавно зарегистрировала вторую автомобильную компанию. 18 ноября была образована компания Xiaomi Automobile Technology Co, в...

Похоже, что Nikon прекратит разработку и выпуск вспышек Компания Nikon вчера сообщила, что будет сотрудничать с японской компанией Nissin и шведской компанией Profoto в области разработки вспышек и приборов студийного освещения. Nissin Japan — производитель вспышек с более чем 60-летней историей, основанный в 1959 году...

Крупнейший производитель техники Apple готовится начать выпуск электромобилей Foxconn Group, известная как крупнейший контрактный производитель Apple, ещё год назад объявила о своих планах по выходу на быстрорастущий рынок электромобилей. Теперь стало известно, что компания готовится начать выпуск в разных регионах мира. Национальная нефтяная кор...

Snapdragon 898 выйдет в начале декабря. Каким он будет Qualcomm традиционно представляет свой новый флагманский процессор, который по совместительству является самым мощным в мире Android, в конце года. Для этого компания проводит саммит Snapdragon Tech Summit примерно в эти же даты. Долгое время мы гадали, будет ли в этом году...

Tata Group построит в Индии завод по тестированию и сборке полупроводниковых изделий По сообщениям сетевых источников, индийская транснациональная компания-конгломерат Tata Group ведёт переговоры с властями трёх штатов об инвестировании до $300 млн в создание предприятия по тестированию и сборке полупроводниковых изделий. Об этом пишет информационное агентст...

Redmi Note 12 уже близко: первая информация о 12 моделях смартфонов Xiaomi, Redmi и Poco По данным Xiaomiui, компания Xiaomi готовится к выпуску 12 моделей смартфонов под разными брендами. Предположительно, шесть из них станут частью одной линейки с некоторыми изменениями для разных регионов, ещё шесть войдут в другую линейку. Первые четыре символа модельны...

MediaTek анонсировала топовые SoC для ПК с Windows Компания MediaTek объявила, что в обозримом будущем расширит своё присутствие на рынке ПК и представит топовые однокристальные системы на архитектуре ARM. В прошлом году компания Apple представила однокристальную систему Apple M1 для ПК, анонсировав уход от процессоров ...

Gartner прогнозирует, что к 2025 году средняя цена нового автомобиля в США и Германии превысит 50 000 долларов По данным Gartner, к 2025 году нехватка микросхем и такие тенденции, как переход электрическую тягу и самоуправляемое движение, заставят половину производителей автомобильного оборудования, работающих на условиях OEM, разрабатывать собственные микросхемы. Это даст им ко...

Тайваньская компания TSMC полностью прекратила производство и поставки своей продукции в Россию Решение руководства TSMC о прекращении сотрудничества с российскими клиентами является ответом компании на военную спецоперацию на Украине, которую Президент России Владимир Путин инициировал 24 февраля 2022 г. По информации изданий, тайваньский вендор присоединился к антиро...

Новые iPad Pro, MacBook Pro и MacBook Air получат Apple M2. Apple AirPods Pro 2 выйдут осенью Ожидается, что Apple выпустит iPad Pro следующего поколения этой осенью, а теперь появились сведения, что премиальный планшет будет оснащен новейшей однокристальной системой Apple M2. Ожидается, что устройство будет доступно с экранами диагональю 11 и 12,9 дюйма. Инсайд...

Google готовит к выпуску следующее поколение Nest Hub Есть намеки на то, что Google может работать над новым Nest Hub в форм-факторе съемного планшета.Выпуск устройства, якобы, запланирован на конец этого года. Потенциальная новинка все еще находится в стадии разработки.Nest Hub второго поколения был выпущен в 2021 году. Его ст...

Первый планшет OnePlus запущен в массовое производство OnePlus готовится к выпуску своего первого планшета, тем самым она присоединится к другим компаниям BBK (Oppo, Realme и Vivo), которые недавно выпустили первые планшеты на некоторых рынках.Сообщается, что устройство, которое, скорее всего, будет называться OnePlus Pad, вошло...

MediaTek представила процессор Dimensity 1050 с поддержкой 5G подключения MediaTek завоевывает долю рынка благодаря своим процессорам серии Dimensity. Теперь тайваньская компания расширила свое портфолио, выпустив еще один чипсет, получивший название MediaTek Dimensity 1050.Чипсет в основном представляет собой версию Dimensity 1100 с более низкими...

Подробности об Apple A16 Bionic: две версии с разным количеством ядер. Первые детали об Apple M2 Компания Apple в данный момент занимается разработкой нового поколения смартфонов Apple, а также однокристальной системы Apple A16 Bionic, информация о которой просочилась в Сеть на этой неделе. Согласно последним новостям из цепочки поставок, Apple выпустит две версии ...

Первоначальные инвестиции Intel в разработку и производство полупроводниковой продукции в Европе превысят 33 млрд евро Сегодня корпорация Intel представила первый этап своих планов по инвестированию 80 млрд евро в Евросоюзе в течение следующего десятилетия. Средства будут распределены по всей цепочке полупроводникового производства — от исследований и разработок до производства кр...

Большой удар для Samsung: компания лишается заказов на производство SoC Qualcomm Snapdragon Qualcomm недавно выпустила флагманскую однокристальную систему Snapdragon 8 Gen 1, которая производится с использованием 4-нм технологического процесса силами Samsung. Заказ на изготовление Snapdragon был большой победой для Samsung. Однако теперь сообщается, что южноко...

AMD Ryzen 9 6980HX – первый восьмиядерный мобильный APU AMD с частотой 5,0 ГГц AMD в начале января представит процессоры Ryzen 6000 для ноутбуков и настольных ПК, но уже сейчас в Сети появились подробности о трех флагманских APU для ноутбуков (семейства Rembrandt). Все они будут восьмиядерными с поддержкой выполнения 16 потоков. А самым мощным ста...

iPhone 14 — первый флагман Apple, который получит прошлогоднюю SoC Bionic. TSMC не успеет выпустить новую 3-нм платформу Предыдущие слухи и отчеты аналитиков указывали на то, что в следующем году устройства Apple будут использовать новую однокристальную систему, созданную на базе 3-нанометрового технологического процесса. Согласно новой информации, компания Taiwan Semiconductor Manufactur...

iPhone 14 уже вошёл в стадию производства Apple свернула работы над подэкранным Touch ID, первым смартфоном компании без вырезов станет iPhone 15 Pro  По данным Taiwan Economic Daily, компания Apple начала производство смартфонов iPhone 14. Пока что речь идёт о стадии пробного производства, на которой дол...

Первые видеокарты на 6-нанометровых процессорах готовы к выпуску. Radeon RX 6500 XT поступит в продажу 19 января В Сети появились новые подробности о видеокартах AMD Radeon RX 6500 XT и RX 6400, и они сулят этим моделям графические процессоры (Navi 24 и Navi 24XL соответственно), произведенные по техпроцессу 6 нм. В таком случае новинки станут первыми в мире видеокартами на базе 6...

Toshiba расширит производство силовых полупроводниковых изделий, построив фабрику, рассчитанную на 300-миллиметровые пластины Компания Toshiba объявила о строительстве новой фабрики по производству силовых полупроводниковых изделий с использованием 300-миллиметровых пластин. По словам Toshiba, транзисторы MOSFET, IGBT и другие силовые компоненты сейчас очень востребованы. Фабрика будет также в...

Серия Samsung Galaxy S22 осталась без новейшей однокристальной системы Exynos 2200 По словам бывшего сотрудника Samsung под ником Super Roader, южнокорейская компания недавно решила полностью отказаться от использования однокристальной системы Exynos 2200 в смартфонах серии Galaxy S22. Все модели Samsung S22 будут оснащены однокристальной системой&nbs...

Silicon Motion: твердотельные накопители с PCIe 5.0 станут массовыми не раньше 2024 года По мнению компании Silicon Motion, одного из основных производителей контроллеров для SSD, массовый переход на использование интерфейса PCIe 5.0 в твердотельных накопителях произойдёт не ранее 2024 года. Производитель сообщил, что первые потребительские продукты с поддержкой...

Следующая европейская фабрика Intel может быть построена в Германии Летом прошлого года появилась информация, что Intel изучает возможность строительства в Европе фабрики стоимостью 20 млрд евро. У компании уже есть фабрики в Ирландии, но теперь похоже, что второй производственной площадкой Intel станет город Магдебург в Германии, по кр...

Apple может готовить к выпуску очки виртуальной реальности с разрешением 8K Ли Нан, соучредитель и бывший вице-президент Meizu, поделился интересными новостями о грядущем продукте Apple.Ли Нан отмечает, что производитель iPhone работает над новыми «8K-очками». Данный продукт от гиганта из Купертино будет представлять собой гарнитуру VR/A...

Intel приобретет Tower Semiconductor за 5,4 млрд долларов Компании Intel и Tower Semiconductor на днях объявили об окончательном соглашении, в соответствии с которым Intel приобретет Tower за 53 доллара за акцию наличными, что соответствует общей сумме примерно 5,4 млрд долларов. Как утверждается, покупка «ведущего произ...

Компания Sigma рассказала, в каком состоянии находится разработка трехслойного датчика изображения Компания Sigma, сегодня анонсировавшая выпуск объективов Sigma 16mm F1.4 DC DN | Contemporary, 30mm F1.4 DC DN | Contemporary и 56mm F1.4 DC DN | Contemporary с креплением Fujifilm X, также поделилась тем, на каком этапе находится разработка трехслойного датчика изображ...

AMD представила топовый игровой процессор Ryzen 7 5800X3D с 96 МБ кэш-памяти третьего уровня. И он быстрее флагманского CPU Intel Core i9-12900K Компания AMD сегодня не только представила видеокарты Radeon RX 6500 XT и Radeon RX 6400, но также явила миру свой самый мощный игровой процессор Ryzen 7 5800X3D. Особенность его состоит в  гигантском кэше третьего уровня – 96 МБ! Он состоит из 32 М...

Kioxia отгружает образцы модулей UFS 3.1 на базе собственной флеш-памяти QLC NAND Компания Kioxia, специализирующаяся на выпуске флеш-памяти и решений для хранения данных, сообщила о начале поставок модулей, соответствующих спецификации UFS 3.1, в которых используется фирменная память QLC 3D NAND. Технология QLC позволяет получить максимальную плотно...

MediaTek представила 5-нм процессоры Dimensity 8000 и 8100 MediaTek представила два новых мобильных процессора Dimensity 8000 и 8100. Чипы основаны на 5-нанометровом техпроцессе TSMC и будут использоваться в смартфонах среднего уровня. ОсобенностиПо большей части MediaTek Dimensity 8000 и 8100 почти идентичны. Оба процессора…

Ещё до запуска предприятия в Германии Tesla получит право выпустить на нём более двух тысяч кузовов для Model Y Попытки Tesla запустить на построенном в окрестностях Берлина предприятии серийное производство электрических кроссоверов Model Y до конца 2021 года успехом не увенчались, поскольку общественные слушания и согласования затянулись. При этом компания получила разрешение на вып...

Всего за квартал доля Samsung на рынке контрактного производства полупроводниковой продукции выросла с 17,2% до 18,3% Согласно подсчетам TrendForce, выручка десяти крупнейших контрактных производителей полупроводниковой продукции в четвертом квартале 2021 года достигла 29,55 млрд долларов. Это на 8,3% больше по сравнению с третьим кварталом и новый рекорд. Отметим, что рекордные значен...

Samsung начала убирать из продажи смартфоны Galaxy S21 перед выпуском Galaxy S22 Всего несколько часов остается до официальной презентации смартфонов серии Samsung Galaxy S22, о которых мы уже практически все знаем.Южнокорейская компания активно готовится к данному событию, публикуя тизеры и сливая в Сеть колоссальные объемы информации о потенциальных но...

США все еще не решили, как быть со SMIC США могут ввести дополнительные меры против SMIC По сообщению источника, администрация президента США до сих пор не решила, блокировать ли дальнейшие продажи американских технологий китайскому производителю микросхем Semiconductor Manufacturing International Corp (SMIC...

TeamGroup анонсирует выпуск самого высокопроизводительного твердотельного накопителя с интерфейсом PCIe Gen5 Ориентируясь на растущий спрос на высокоскоростные системы хранения данных, компания TeamGroup анонсировала выпуск своего первого твердотельного накопителя с интерфейсом PCIe Gen5. Он пополнил серию T-Force Cardea. Массовое производство SSD серии T-Force Cardea с интерф...

Samsung начала строить в США завод за $17 млрд На новом предприятии, который расположится в техасском городе Тейлор, планируется наладить выпуск чипов по передовым технологическим процессам, предназначенных для применения в таких областях, как мобильная связь, 5G-сети, высокопроизводительные вычисления и искусственный ин...

Xiaomi 13 и 13 Pro появятся раньше, чем ожидалось Недавно стало известно что четыре IMEI из китайской базы данных, присвоенных четырем номерам моделей новых смартфонов Xiaomi. Считается, что эти номера моделей представляют китайские и глобальные варианты Xiaomi 13 и 13 Pro. Похоже, что Xiaomi уже начала разработку серии ...

К 2030 году Rivian планирует занять 10 % рынка электромобилей Выход молодого американского производителя электромобилей Rivian на фондовый рынок сопровождался достижением капитализации, позволяющей поспорить с многими старожилами рынка. Компания не теряет амбиций, и рассчитывает к 2030 году занять 10 % рынка электромобилей, как заявил ...

Первый телефон с чипсетом Snapdragon 8 Gen 1+ появится в июне Чипсет Snapdragon 8 Gen 1+ появится раньше, чем ожидалось. Согласно источнику, флагманская модель Snapdragon 8 Gen 1+ должна появиться уже в июне. Первые устройства на базе Snapdragon 8 Gen 1+ будут выпущены в Китае, но на данный момент названия смартфонов не разглашаются. П...

Samsung выпустила фирменную скоростную память LPDDR5X Samsung объявила, что её DRAM LPDDR5X одобрена для использования с мобильными платформами Snapdragon от Qualcomm Technologies. В ноябре прошлого года Samsung разработала первую в отрасли 14-нанометровую память LPDDR5X DRAM. В то время производитель сообщал о скорости до 8,5…

NVIDIA заявила, что GeForce RTX 3050 бесконечно быстрее GTX 1650 и GTX 1050 — в играх с трассировкой лучей Компания NVIDIA заявляет, что её видеокарта GeForce RTX 3050, которая поступит в продажу 27 января, бесконечно быстрее моделей GeForce GTX 1650 и GeForce GTX 1050 в играх с поддержкой трассировки лучей. И даже опубликовала рекламный график, который это демонстрирует. Казус в...

Western Digital повысила цены на флеш-память NAND — это следствие загрязнения производства в январе Компания Western Digital сообщила, что повысила контрактные цены на флеш-память 3D NAND. Решение связано с инцидентом, произошедшим на одной из её фабрик в январе, когда обнаружилось, что для производства значительного количества микросхем использовались загрязнённые материа...

TSMC прекратила поставки в Россию и приостановила производство процессоров «Эльбрус» Компания TSMC полностью прекратила все поставки микроэлектроники российским партнёрам, а также приостановила выпуск отечественных процессоров «Эльбрус». Об этом сообщил тайваньский раздел портала Yahoo! News. Источник изображения: tsmc.com Тайваньская TSMC является крупнейши...

Слухи: китайский автопроизводитель NIO займётся выпуском смартфонов Китайский производитель электромобилей NIO, по слухам, намерен заняться выпуском собственных смартфонов, которые получат возможность взаимодействовать с автомобилями компании. Об этом сообщает портал DigiTimes со ссылкой на сетевые источники. Источник изображения: NIO.com С...

Как Россия может остановить производство процессоров во всем мире Если США введут санкции против России, под угрозой может оказаться производство чипом во всем мире Отношения между Россией и США в очередной раз накалены до предела. Угрозы ввода санкций звучат практически ежедневно. Казалось бы, ничего нового. В условиях санкций Россия живе...

Amazon принадлежат 20 % акций производителя электромобилей Rivian О взаимоотношениях гиганта интернет-торговли Amazon и молодого производителя электрических внедорожников Rivian было известно не так много. Первая из компаний заказала второй производство 100 тысяч коммерческих фургонов на электротяге и вложилась в капитал Rivian, но только ...

Intel ведет переговоры с TSMC о выделении 3-нанометрового чипсета Intel ведет переговоры с TSMC о выделении литейных производств для выполнения своей дорожной карты по выпуску продукции. Компания направляет исполнительную делегацию на встречу с TSMC в конце этого месяца, чтобы обезопасить производственные мощности для узла изготовления кри...

Поставки гарнитур VR и AR к 2025 году вырастут в 10 раз Согласно последнему прогнозу специалистов аналитической компании Counterpoint, мировые поставки гарнитур виртуальной и дополненной реальности (VR и AR) в период с 2021 по 2025 год вырастут примерно в 10 раз — с 11 до 105 млн штук в год. По данным Counterpoint, вн...

Samsung выпустит One UI 4.1 для Galaxy S21 и Galaxy Note 20 в феврале Сравнительно недавно южнокорейский гигант Samsung выпустил обновление One UI 4.0 на основе Android 12 для флагманской серии Galaxy S21 и складных смартфонов Galaxy Z Flip 3 и Galaxy Z Fold 3 в некоторых регионах. В скором времени обновление получат и другие модели смартфонов...

Intel готовит к релизу процессоры Alder Lake для ноутбуков Еще в октябре Intel подробно рассказала о своих новых чипах Alder Lake 12-го поколения для настольных ПК, а теперь поставляет свои чипы с индексом H на ноутбуки. Новые процессоры основаны на гибридной конструкции (6 производительных ядер в паре с 8 энергоэффективными ядрами)...

Apple начала выпускать самую продаваемую модель iPhone 13 в Индии Apple выпустила смартфоны серии iPhone 13 в сентябре этого года, и теперь, всего через несколько месяцев, технологический гигант из Купертино начинает производство iPhone 13 на индийском рынке. Согласно последним сведения , контрактный производитель Apple, компания Foxc...

Малоизвестные китайские производители видеокарт планируют выпуск 5-нм и 7-нм чипов в 2022 году Несколько китайских производителей графических процессоров намерены уже в этом году создавать графические процессоры с использованием 5-нм или 7-нм узлов, что может стать для них проблемой, учитывая, что ключевые клиенты TSMC уже имеют предоплаченные TSMC для получения льгот...

Intel будет почивать на лаврах лишь до лета? Слухи приписывают процессорам Ryzen 7000 огромный прирост производительности Архитектура AMD Zen 4, согласно новым слухам, как и её предшественницы, предложит внушительный прирост производительности.  Источник сообщает, что показатель количества исполняемых за такт инструкций (IPC) вырастет на 18%. Для сравнения, Zen 3 принесла рост на 15%...

Samsung увеличит производство чипов Exynos, чтобы снизить зависимость от сторонних поставщиков Samsung Electronics, ведущий мировой производитель смартфонов, входит в список немногих компаний, производящих собственные чипы. В отличие от Apple, Samsung также использует чипы таких компаний, как Qualcomm и MediaTek, а также свою собственную линейку процессоров Exynos. По...

ASRock выпускает первый официальный BIOS для X370 с поддержкой Ryzen 5000 (Vermeer) После долгих лет ожидания компания AMD разрешила партнерам по выпуску системных плат официально поддерживать процессоры Ryzen 5000 в моделях на чипсетах 300-й серии. Ситуация с системными платами на чипсетах AMD 300-й серии, поддерживающими процессоры Zen3, не могла бы ...

Apple выпустила обновление iOS 15.4.1 и iPadOS 15.4.1, чтобы исправить проблемы с разрядкой батареи Сегодня Apple выпустила новое обновление программного обеспечения для своих мобильных устройств. iOS 15.4.1 для всех поддерживаемых iPhone, а также iPadOS 15.4.1 для всех поддерживаемых планшетов. Как следует из списка обновлений, это второстепенный выпуск не содержит никаки...

Разработчики Pokemon Go создали игру, в которой собирается криптовалюта Компания Niantic, прославившаяся выпуском игры Pokemon Go, разработала не менее интересное приложение.Речь идет о специальной игре Fold AR, которая позволяет во время исследования окружающей местности зарабатывать криптовалюту. Для создания приложения Niantic заключила сотру...

США могут запретить поставки смартфонов в Россию Как пишет CNews со ссылкой на агентство Reuters, в список попали смартфоны, а также различные запчасти для автомобилей и компоненты, используемые в авиационной промышленности. В "черном списке" окажутся и различные материалы из "многих других отраслей", ...

Бюджетные умные часы Motorola Moto Watch 100 Motorola объединилась с eBuyNow, и еще в феврале на презентации для инвесторов обе компании представили план выпуска умных часов под брендом Motorola. Теперь на презентации были подробно описаны более конкретные планы по выпуску умных часов под названием Moto Watch 100. С...

Более 750 000 баллов в AnTuTu. Новая SoC MediaTek Dimensity 7000 превосходит Snapdragon 870 Проверенный китайский информатор Digital Chat Station раскрыл ключевые параметры новой однокристальной системы MediaTek Dimensity 7000, которая должна быть представлена в ближайшее время. Сообщается, что MediaTek Dimensity 7000 будет производиться с использованием 5-нан...

Производственные мощности GlobalFoundries полностью забронированы до 2023 года На этой неделе контрактный производитель полупроводниковой продукции GlobalFoundries, принадлежащий фонду Mubadala Investment из ОАЭ, завершил публичное размещение акций. На фоне этого события рыночная капитализация компании выросла до $26 млрд. Теперь же стало известно, что...

Xiaomi официально подтвердила чип Snapdragon 8 Gen1 у смартфонов Xiaomi 12 Компания Xiaomi официально сообщила, что в скором времени выпустит смартфоны, в основе которых лежит однокристальная система Snapdragon 8 Gen1.Китайский технологический гигант уже опубликовал соответствующее сообщение с помощью своего официального аккаунта в Twitter.На тизер...

«Это не баг, а фича», — Google комментирует массовые жалобы владельцев Pixel 6 на сканер отпечатков пальцев Первые пользователи флагманских смартфонов Google Pixel 6 и Pixel 6 Pro сообщают о проблемах при использовании подэкранного сканера отпечатков пальцев. Он работает очень медленно и не всегда распознаём пользователей с первого раза. Сканеры отпечатков пальцев под дисплее...

Oppo Find N – первый складной телефон от китайского производителя через несколько дней будет представлен официально (видео) Oppo долго готовилась к выпуску своего первого складного смартфона. Прошло три года с тех пор, как был представлен прототип, и теперь на рынок выходит модель Find N. Теперь Oppo официально объявила о выпуске своего телефона Find N. Премьера этого устройства должна состоятьс...

Radeon RX 7000 совершат огромный скачок в производительности? Radeon RX 7600 XT может оказаться быстрее Radeon RX 6900 XT В Сети появились новые подробности о видеокартах Radeon RX 7000, точнее, одной из базовых моделей линейки. Как пишет инсайдер Greymon55, часто публикующий новые данные о различных видеокартах, готовящихся к выпуску, перспективная модель на графическом процессоре Navi 33...

Oppo работает над электромобилями. Первым будет скутер Популярный производитель смартфонов – китайская компания Oppo – скоро расширит свой портфель устройств электромобилями. Первым устройством такого типа, вероятно, станет дешевый скутер. Сегмент электромобилей динамично растет с каждым годом, поэтому неудивительно, что все бо...

Samsung остановит производство ЖК-панелей на полгода раньше запланированного срока Раньше Samsung был крупнейшим производителем ЖК-панелей, но в последние годы китайские компании, такие как BOE и CSOT, быстро увеличили свою долю рынка. Samsung и LG продолжают уступать позиции, в результате чего BOE превзошла LG в 2018 году. На данный момент BOE являет...

NVIDIA платит TSMC миллиарды долларов, чтобы забронировать 5-нм техпроцесс для видеокарт GeForce RTX 40 Графические процессоры NVIDIA следующего поколения включают архитектуры Hopper и Ada Lovelace (RTX 40), которые основаны на 5-нм техпроцессе TSMC. По сообщениям СМИ, в третьем квартале 2021 года американский производитель внёс TSMC предоплату в размере $1,64 млрд, а в будуще...

Ожидается, что цены на потребительскую память DDR3 вырастут на 5% В этом году Intel и AMD выпустили новые процессоры с поддержкой решений DDR5 DRAM для ПК и серверов. В ответ на это южнокорейские производители DRAM разрабатывают технологию, дополняющие появление новых процессоров. Согласно последнему отчету, в разгар постепенного перехода ...

Intel рассматривает возможность строительства завода в Индии В декабре появилась информация, что Tower Semiconductor рассматривает возможность строительства завода по производству полупроводниковой продукции в Индии. В свете того, что Intel приобретает Tower Semiconductor за 5,4 млрд долларов, ожидаемым оказалось сообщение о то, ...

Российские инженеры освоят выпуск чипов по 65-нм нормам к 2026 году и по 16-нм к 2030 – Коммерсантъ Буквально через 10 лет в России появятся собственные мощности, способные выпускать смартфоны на базе прорывного 14-нм техпроцесса

Nvidia GH100 (Hopper) станет самым большим графическим процессором за всю историю По слухам, графически процессор Nvidia Hopper должен был оказаться гетерогенным — говорилось, что он будет состоять из двух кристаллов. Однако согласно новым данным, Nvidia Hopper окажется монолитным графическим процессором, а площадь его кристалла составит около ...

Intel и TSMC заключают сделку по 3-нм техпроцессу Intel и TSMC официально заключили сделку по производству 3-нм чипов. Как сообщается, ведущая в мире тайваньская фабрика строит новое предприятие исключительно для обслуживания Intel. Этот объект будет расположен в районе Баошань города Синьчжу на севере Тайваня. 3-нм техпроц...

Qualcomm может отказаться от услуг Samsung по выпуску чипов Snapdragon 8 Gen 1 В числе компаний, получивших права на производство однокристальной системы Snapdragon 8 Gen 1, оказалась Samsung.Тем не менее, именно произведенные ею чипы оказались проблемными. Samsung столкнулась с высоким процентом брака при производстве — лишь около 35% чипов полу...

По данным IDC, продажи планшетов и хромбуков в четвертом квартале замедлились, но в целом в 2021 году наблюдался уверенный рост В минувшем квартале было продано 46 млн планшетов. Это на 11,9% меньше в годовом выражении. Однако год в целом был для производителей планшетов успешным — по сравнению с 2020 годом зафиксирован рост на 3,2%. Всего за год было продано 168,8 млн устройств, что являе...

Новый датчик изображения Canon позволяет делать цветные фотографии высокого качества в темноте По сообщению источника, специалисты компании Canon разработали новый датчик изображения, позволяющий получать цветные фотографии высокого качества в темноте. Датчик построен на однофотонных лавинных диодах (single-photon avalanche diode, SPAD). SPAD усиливает одиночные ...

Playstation Spartacus станет реальностью уже на этой неделе. Это ответ Sony на Xbox Game Pass Как сообщают источники, на этой неделе Sony готовится представить новый сервис подписки на видеоигры для PlayStation. Сервис находится в разработке под кодовым названием Spartacus и считается ответом Sony на Xbox Game Pass. По данным Bloomberg, новый сервис будет сочета...

Видеокарты Intel Arc Alchemist отложили на лето Долгожданные игровые видеокарты Intel Arc Alchemist вполне могут быть отложены до середины лета, судя по последней информации от информационного издания Igor's Lab. Запуск видеокарт запланирован на конец второго квартала, но из-за различных проблем, связанных с драйверами, з...

Представлен флагманский чип Qualcomm Snapdragon 8 Gen 1 Компания Qualcomm дала старт мероприятию под названием Snapdragon Tech Summit 2021, которое будет длиться два дня.В рамках саммита была официально представлена флагманская однокристальная система Snapdragon 8 Gen 1, которая, по словам производителя, «прокладывает путь ...

GPU частотой 2,8 ГГц и память с частотой 18 ГГц. Характеристики бюджетной видеокарты AMD Radeon RX 6500 XT Завтра состоится большое мероприятие AMD, в рамках которого компания представит новые процессоры и видеокарты. В числе последних окажется Radeon RX 6500 XT – новая бюджетная модель. Несмотря на свой статус, некоторые параметры у это модели совсем не бюджетные. К п...

Ожидается, что в этом квартале Samsung превзойдет Apple по объему выпуска смартфонов и выйдет на первое место Согласно последним исследованиям TrendForce, мировое производство смартфонов в четвертом квартале 2021 года составило 356 млн штук, что соответствует росту по сравнению с предшествовавшим кварталом на 9,5%. Во второй половине прошлого года наблюдался всплеск спроса, свя...

Huawei может сделать складные телефоны более доступными для всех Как же воодушевленно восприняли новости о выходе первого складного смартфона в 2019 году. Мне тогда посчастливилось в числе первых оказаться на закрытой демонстрации складного Huawei Mate X. Я складывал и раскладывал его с таким чувством, будто у меня в руках будущее. Телеф...

Флагманам с Android такая автономность не снилась. Смартфоны линейки iPhone 14 смогут работать от аккумулятора ещё дольше Смартфоны линейки iPhone 14 могут получиться ещё более автономными, нежели текущее поколение. Источник сообщает, что TSMC получила все заказы Apple на модемы 5G для флагманских моделей iPhone этого года. Предполагается, что новые чипы будут производиться с использо...

AMD уже готова начать массовое производство Ryzen 7000. Компания приступит к этому примерно в течение месяца Похоже, процессоры Ryzen 7000 действительно выйдут намного раньше конца года.  Согласно данным инсайдера, который часто раскрывает параметры будущих видеокарт и процессоров, AMD начнёт массовое производство новых CPU либо в апреле, либо в начале мая.  Напомни...

О возможности приобретения своей ИС MIPI D-PHY(SM) объявила Arasan Компания Arasan Chip Systems, являющаяся ведущим поставщиком комплексных решений Total IPTM для современных систем на кристалле (SoC), объявила о возможности немедленного приобретения своих автономных сверхмаломощных ИС MIPI D-PHYSM в качестве только передающих (Tx only) или...

Новый iPhone c 3 нм процессором не в следующем году Целый ряд сетевых информаторов не исключали того, что iPhone 14 получит чипсет, созданный по 3-нанометровой технологии TSMC. Были предсказания, что техпроцесс достигнет необходимой зрелости в следующем году, чтобы чипмейкер мог приступить к серийному производству процессоров...

Производство автомобилей Toyota упало на 26 % в октябре из-за дефицита чипов Корпорация Toyota Motor длительное время сопротивлялась влиянию полупроводникового кризиса, поскольку уже несколько лет заставляет своих поставщиков держать на складах четырёхмесячные запасы компонентов. Любые запасы без пополнения рано или поздно будут исчерпаны, поэтому в ...

Специалисты DSCC говорят об уверенном росте производства дисплеев OLED Специалисты аналитической компании DSCC изучили динамику рынка плоских панелей за последние несколько лет, оценили рост рынка в текущем году и дали прогноз на 2022 год. По их мнению, наблюдается уверенный рост производства дисплеев OLED. В частности, в этом году прогноз...

Абсолютный лидер в среднем ценовом сегменте в этом году. SoC Dimensity 8000 Max оказалась быстрее, чем Snapdragon 870 Известный инсайдер Digital Chat Station, комментируя результаты тестов, заявил, что серия Dimensity 8000 станет абсолютным лидером в среднем ценовом сегменте в этом году.  Несколько недель назад MediaTek анонсировала наборы микросхем Dimensity 8000 и 8100 для ...

США потребовали от TSMС прекратить сотрудничество с Россией. Есть риск полной остановки поставок видеокарт и других компонентов из Юго-Восточной Азии Крупнейший мировой производитель чипов Taiwan Semiconductor Manufacturing Company (TSMС) уведомил российских партнеров о том, что компания может отказаться от сотрудничества по требованию США. Под удар могут попасть «Байкал Электроникс», НТЦ «Модуль&ra...

AMD и Intel остановили поставки в Россию процессоров и видеокарт Причиной бойкота российского рынка производители называют спецоперацию России на территории Донецкой и Луганской народных республик. Примечательно, что теперь без процессоров останутся не только россияне, но также и белорусы - компании договорились прекратить поставки своей ...

По слухам, Samsung вскоре объявит о поглощении Infineon или NXP Последние слухи указывают, что в ближайшее время Samsung Electronics объявит о крупномасштабной сделке по поглощению немецкого производителя микросхем Infineon Technologies или голландской полупроводниковой компании NXP Semiconductor. Источник изображения: Business Korea Хан...

Бизнес Intel по производству твердотельной памяти превратится в Solidigm — подразделение SK hynix Получив одобрения от антимонопольных органов восьми стран, южнокорейская компания SK hynix на этой неделе формально завершила первый этап сделки с Intel, по условиям которой последняя передаёт ей в управление предприятие Fab 68 в китайском Даляне, выпускающее твердотельную п...

Обнародован план Toshiba, предусматривающий выпуск HDD объемом более 40 ТБ Подтверждая информацию, появившуюся в начале февраля, компания Toshiba опубликовала стратегию развития жёстких дисков категории Nearline. Коротко говоря, производитель рассчитывает в ближайшие годы планомерно увеличивать ёмкость накопителей, используя инновационные инже...

150-ваттная зарядка — новый максимум для реального флагмана. Массовое производство вот-вот начнётся Известный китайский инсайдер под ником Digital Chat Station сообщил о том, что индустрия мобильных телефонов готовится к выпуску мобильных устройств с поддержкой 150-ваттной зарядки. Более того, первый подобный телефон скоро будет запущен в массовое производство. В наст...

Google назвала Chrome OS самой быстрорастущей операционной системой в мире Google сообщила, что в мае популярность Chrome OS выросла на 92 % в годовом исчислении. Благодаря этому скорость распространения операционной системы в пять раз превышает скорость роста рынка ПК. По словам Google, это делает Chrome OS самой быстрорастущей операционной систем...

Правда ли, что Snapdragon 888 лучший процессор для Android-телефонов? Теперь нет Если брать самых массовых производителей процессоров для смартфонов, можно назвать, пожалуй, Qualcomm с ее Snapdragon и MediaTek со одноименными продуктами. Раньше у нас еще были Kirin от Huawei, которых больше нет. Сейчас есть Exynos от Samsung и линейка ”А” от Apple. Но о...

Цены на флеш-память NAND в этом квартале снизятся не так сильно, как ожидалось ранее Аналитики TrendForce скорректировали свой прогноз, относящийся к ценам на флеш-память типа NAND. Если ранее они полагали, что в первом квартале 2022 года средняя цена продажи этой продукции уменьшится по сравнению с четвертым кварталом 2021 года на 10-15%, то в новом пр...

Xiaomi 13 выйдет еще не скоро, но мы уже о нем кое-что знаем Xiaomi уже работает над своей флагманской серией следующего поколения — серией Xiaomi 13. Она станет топовой линейкой в 2023 году, но как и в последние годы первый смартфон в ней будет выпущен в декабре этого года. Мы уже слышали кодовое название новинки — m2, и о том...

Intel уступила лидерство Samsung по продажам полупроводников в 2021 года, а AMD сильнее других увеличила выручку Компания Counterpoint Technology Market Research обнародовала результаты исследования мирового рынка полупроводниковой продукции по итогам 2021 года. Отрасль претерпела значительные изменения. Несмотря на дефицит, компании нарастили выручку, а некоторые очень даже значительн...

Samsung представляет процессор Exynos 2200 с графическим процессором Xclipse на базе архитектуры AMD RDNA2 Компания Samsung Electronics Co., Ltd., мировой лидер в области передовых полупроводниковых технологий, сегодня объявила о выпуске нового мобильного процессора премиум-класса Exynos 2200. Exynos 2200 — это недавно разработанный мобильный процессор с мощной архитектурой AMD R...

В конце месяца Nikon выпустит приложение для смартфонов, которое позволит отправлять снимки с камеры на сервер FTP без использования компьютера Компания Nikon на минувшей неделе объявила о выпуске NX MobileAir, приложения для смартфонов, которое загружает изображения, снятые цифровыми камерами Nikon, на сервер FTP без использования компьютера. Приложение должно выйти 24 ноября. Кроме того, была представлена ком...

Анонсированы мобильные процессоры Dimensity 8100 и 8000 MediaTek представила своих высококлассных конкурентов — Dimensity 8000 и 8100. Оба чипа изготовлены по 5-нанометровому техпроцессу и построены, по сути, на одном и том же оборудовании. Тем не менее, 8100 является выигрышным билетом в кремниевой лотерее и работает на более вы...

Замена iPhone появится уже в этом году. Запасы гарнитуры Apple будут ограниченными Известный аналитик Минг-Чи Куо утверждает, что гарнитура смешанной реальности Apple будет выпущена в конце 2022 года, однако стартовые запасы будут ограниченными. В записке, отправленной инвесторам, Куо заявляет, что выпуск гарнитуры Apple AR/VR будет «отложен до конца ...

Утечка подтверждает скорый выпуск видеокарт Intel Arc A30M Pro для мобильных рабочих станций В сети появились изображения брошюры со спецификациями, которые подтверждают, что Intel действительно очень скоро выпустит видеокарты Arc для рабочих станций. Модель Arc A30M Pro упоминается в конфигурации ноутбука Dell Precision 5470, оснащенного 14-дюймовым экраном с ...

Обновление драйвера Intel улучшает производительность Windows 11 и Windows 10 Драйвер Intel DCH 30.0.101.1191 - это обновление, которое вы захотите получить, если ваше устройство с Windows 11 или Windows 10 не работает. Этот патч драйвера теперь доступен пользователям по всему миру с процессорами Intel Core 6-го поколения или новее и направлен на устр...

Убытки Rivian в минувшем квартале превысили 2,4 млрд долларов Компания Rivian опубликовала отчет за четвертый квартал 2022 года. В нем сказано, что убытки производителя электромобилей за отчетный период превысили 2,4 млрд долларов. Компания все еще сталкивается с серьезными проблемами при наращивании производства. Автопроизводител...

В период до 2026 года продажи микросхем будут расти на 6,9% в год Аналитическая компания IC Insights опубликовала прогноз, относящийся к мировой полупроводниковой промышленности. В документе прогнозируется, что общий объем продаж полупроводниковой продукции в этом году вырастет на 11% после очень сильного роста на 25% в 2021 году и на...

В Нижегородской области запустили производство вычислительной техники компании «Рикор» Как сообщает "КоммерсантЪ" , в новом корпусе компания планирует ежегодно выпускать до 1 млн единиц техники. Серверы и серверные платформы будут работать на процессорах Intel Xeon Scalable второго и третьего поколений и AMD, а также на отечественных "Байкал&quo...

Micron и UMC заключили мировое соглашение Компании United Microelectronics Corporation (UMC) и Micron Technology объявили о мировом соглашении. Они отзовут свои жалобы друг на друга по всему миру, а UMC произведет единовременный платеж в пользу Micron. Сумма платежа не раскрывается. UMC и Micron надеются на воз...

Слух: PlayStation 5 Pro выйдет в 2024 году, будет в два раза мощнее PlayStation 5 и получит собственный аналог технологии DLSS В Сети периодически появляются слухи об игровой консоли PlayStation 5 Pro, однако если такая модель и выйдет, то далеко не в ближайшей перспективе. Согласно новым данным, улучшенная PlayStation 5 если и появится, то, самое раннее, в конце 2023 года. А более реален ее вы...

Правительство обсуждает с Lenovo, Acer и HP выпуск партии ноутбуков на российских процессорах Как пишет "КоммерсантЪ" , со ссылкой на протокол заседания Минцифры с зарубежными производителями электроники, чиновники обсуждают с руководством ООО "Леново Глобал Технолоджи Раша" выпуск партии ноутбуков, которые будут работать на процессорах российских...

Google Chrome OS стала быстрорастущей операционной системой в мире Компания Google  утверждает, что Chrome OS является самой быстрорастущей операционной системой в мире. Ещё в мае поисковый гигант сообщил, что популярность Chrome OS выросла на 92% по сравнению с прошлым годом. По мнению поискового гиганта, популярность Chrome OS в...

Foxconn будет участвовать в построении индонезийской «экосистемы новой энергии» Тайваньская компания Foxconn сообщила, что договорилась с министерством инвестиций Индонезии и несколькими местными компаниями по вопросам, связанным с разработкой и выпуском электромобилей в этой стране. В последние годы компания Foxconn, больше известная как основной ...

Oppo представила нейропроцессор MariSilicon X для мгновенной обработки RAW-изображений и ночной съемки в 4K Компания Oppo в рамках первого дня мероприятия INNO DAY 2021 анонсировала свой первый нейропроцессор NPU MariSilicon X, который основан на 6-нанометровом производственном процессе.Его основная цель — улучшить качество фотографий и видео, а также еще больше расширить на...

Следующее поколение Apple iPhone может перейти на использование 4-нм процессоров Пока освоение 3-нм техпроцесса силами TSMC плохо увязывается с планами самой Apple.

Глава Intel уверен в способности компании ускорить закон Мура в ближайшие десять лет Ещё на квартальной отчётной конференции генеральный директор Intel Патрик Гелсингер (Patrick Gelsinger) ссылался на готовность компании освоить пять новых техпроцессов за четыре года и к середине десятилетия обойти конкурентов. На вчерашнем мероприятии, частично приуроченном...

OnePlus 10R с чипом Dimensity 9000 будет представлен во втором квартале 2022 года Компания OnePlus недавно представила в Китае свой последний флагманский смартфон OnePlus 10 Pro, которому еще предстоит глобальный запуск. Кроме того, она собирается выпустить стандартную модель OnePlus 10.Сегодня появилась новая информация, в которой утверждается, что OnePl...

Apple работает над огромным складным гибридом MacBook и iPad с сенсорной клавиатурой Недавно мы сообщали о том, что Apple откладывает выпуск складного iPhone до 2025 года и переключает внимание на складной MacBook. Теперь же появилась новая информация о складном гибриде MacBook и iPad. Эту новость опубликовал редактор Марк Гурман из Bloomberg. Он подтве...

MSI готовит игровые ноутбуки на процессоров Intel Alder Lake-P и новых видеокартах NVIDIA Компания MSI готовит к выпуску обновлённые игровые ноутбуки Raider GE66 и GE76, а также Stealth GS66, оснащённые пока неанонсированными высокопроизводительными процессорами Core i9-12900HK и Core i7-12700H серии Alder Lake-P. Информацию о них на сайте одного из европейских м...

SMIC продолжают покидать руководители верхнего звена Компания Semiconductor Manufacturing International Corp (SMIC) сообщила, что её вице-председатель подал в отставку. Это произошло менее чем через год после того, как он занял эту должность. Чан, бывший директор по исследованиям в TSMC, пришел в SMIC в конце декабря. Ком...

TSMC уже готовится к выпуску Apple M3 Если верить тайваньскому изданию DigiTimes, то TSMC активно готовится к началу массового производства 3-нм чипов. Оно должно стартовать ближе к концу следующего года. Сейчас сотрудники компании тестируют технологию и работают над устранением различных проблем, время от време...

Настольный процессор для мобильных компьютеров? Intel может выпустить 16-ядерный Core i9-12980HX для мощных игровых ноутбуков и рабочих станций Сразу несколько инсайдеров указали на то, что Intel готовит мощные мобильные процессоры Alder Lake-HX для ноутбуков. Топовая модель с восемью высокопроизводительными ядрами и восемью энергоэффективными уже отправлена партнерам компании для тестов и создания готовых устр...

Tesla Shanghai Gigafactory будет производить более 1 миллиона автомобилей в год Шанхайская гигафабрика Tesla будет производить более 1 миллиона автомобилей в год в самое ближайшее время. Tesla Shanghai Gigafactory является первым заводом компании в Китае. Это также первый завод по производству электромобилей в Китае, полностью принадлежащий иностра...

Intel планирует в 2022 году отгрузить более 4 млн графических процессоров Arc Alchemist В ходе презентации Investor Meeting 2022 компания Intel представила амбициозные планы относительно графических процессоров Arc Alchemist на 2022 год. Процессор Arc Alchemist для ноутбуков появится в первом квартале 2022 года. За этим во втором квартале 2022 года последу...

Xiaomi может выпустить версию флагмана 12 Pro с более мощным чипом Известный инсайдер Digital Chat Station сообщил в социальной сети Weibo, что к выпуску готовится версия Xiaomi 12 Pro «Derivative».Данное устройство имеет номер модели «L2S» и будет оснащено грядущим чипом SM8475. Какое официальное название получит указанный смар...

Intel: Arc пойдет на рынок другим путем Завоевание рынка графических процессоров в компании решили начать с выпуска моделей для ноутбуков, а не для настольных компьютеров. Опыт партнерства с OEM-производителями и разработчиками программного обеспечения позволит Intel успешно вывести на рынок графические процесс...

OnePlus 10R получит впечатляющее «железо» как для ... В прошлом году OnePlus была официально возвращена под крыло Oppo. Это повлекло за собой не только сокращение штата, но и смену стратегии. Компания отказалась от выпуска OnePlus 9T и устроила ранний дебют OnePlus 10 Pro только на рынке Китая. До глобального рынка он доберется...

Google закрывает в Chrome экономичный режим Компания Google сообщила о грядущем отказе от экономичного режима в фирменном браузере Chrome — с релизом версии 100, который запланирован на 29 марта 2022 года. Google добавила режим Data Saver в Chrome для Android в 2014 году — он позволял сэкономить моби...

Опубликована обновленная информация о пожаре на берлинском заводе ASML Компания ASML Holding NV (ASML) недавно опубликовала обновленную информацию о пожаре, который произошел 3 января на ее заводе в Берлине. За прошедшие дни производитель ключевого оборудования для полупроводникового производства выполнил предварительную оценку ущерба. Пож...

Цена на оперативная память DRAM продолжат падать в I квартале 2022 — прогнозируется снижение до 8-13 % Аналитическая компания TrandForce опубликовала свежий прогноз, касающийся поставок оперативной памяти DRAM. Несмотря на снижение запасов DRAM у производителей компьютеров, TrendForce заявляет, что общий спрос на чипы памяти войдёт в период циклического спада в первом квартал...

Анонсирован Xiaomi 12 — первый смартфон на базе Snapdragon 8 Gen 1. Ролик демонстрирует производство телефона Компания Xiaomi официально анонсировала серию флагманских смартфонов Xiaomi 12, подтвердив, что они будут оснащены новейшей однокристальной системой Qualcomm Snapdragon 8 Gen 1. На рекламном изображении компании утверждается, что Xiaomi 12 станет первым смартфоном, кото...

В 2021 году по всему миру было отгружено полмиллиарда ПК и планшетов Последние данные Canalys показывают, что мировые поставки ПК, включая планшеты, в четвертом квартале 2021 года упали на 7% в годовом выражении до 133,7 млн ??единиц. Несмотря на это падение, 2021 год стал рекордным для ПК и планшетов, поскольку общий объем поставок за г...

Началось производство Xiaomi Mix 5 со Snapdragon 8 Gen 1 Проверенный китайский информатор Digital Chat Station сообщает о том, что компания Xiaomi приступила к пробному производству нового флагмана Snapdragon 8 Gen 1, и это не смартфон серии Xiaomi 12. Речь идет о телефоне под названием Xiaomi Mix 5, которому изначально припи...

У Sigma не получалось удовлетворять спрос в четвертом квартале 2021 года Нехватка полупроводниковой продукции, поразившая многие отрасли в прошлом году, затронула и производителей фототехники, в частности, компанию Sigma. В отличие от Sony и других коллег по цеху, компания Sigma не публиковала никаких уведомлений, связанных с дефицитом и его...

Первый флагман Xiaomi с камерой Leica. Появились изображения Xiaomi 12 Ultra В китайской социальной сети Weibo опубликовали первые изображения флагманского смартфона Xiaomi 12 Ultra, который станет первым устройством Xiaomi с камерой Leica. На этой неделе компания Xiaomi объявила о партнерстве с Leica, что положило конец многолетнему сотрудничес...

Глава Intel заявил, что семейство процессоров Alder Lake позволило компании навсегда обогнать AMD В середине февраля прошлого года генеральным директором Intel был назначен Патрик Гелсингер (Patrick Gelsinger). Отпраздновать приближение символического рубежа он решил видеообращением к аудитории LinkedIn, в котором заявил, что с выходом на рынок семейства процессоров Alde...

Процессоры с тактовой частотой 5 ГГц возвращаются на рынок Процессоры с такой частотой были сняты с производства около десяти лет назад, и это никого особенно не волновало. Что же изменилось с тех пор? Судя по выставке CES 2022, борьба между AMD и Intel на фронте процессоров с тактовой частотой 5 ГГц и выше разгорается с новой си...

STL и Analog Devices заключили сотрудничество в сфере создания радиомодулей Open RAN 5G Компания STL (NSE: STLTECH), один из ведущих в отрасли интеграторов цифровых сетей, объявила о сотрудничестве с Analog Devices, Inc. в сфере разработки радиомодулей 5G Open RAN (O-RU). Вместе эти две компании будут разрабатывать решения, готовые к использованию в сетях ...

MIUI 13 для Redmi Note 10 и Note 10 Pro уже готова На этой неделе китайская компания Xiaomi начала в Поднебесной выпуск стабильного обновления MIUI 13 для совместимых устройств. Пока доступ к последней версии MIUI на основе Android 12 получили только несколько смартфонов и планшетов премиум-класса. Вслед за Китаем обновлённо...

Qualcomm имеет эксклюзивное соглашение с Microsoft в отношении Windows on ARM Портал XDA сообщает, что несколько лет назад Qualcomm заключила с Microsoft эксклюзивное соглашение в отношении Windows on ARM. Именно по этой причине все устройства под управлением этой операционной системы базируются на процессорах Snapdragon. Впрочем, срок данного соглаш...

Intel анонсирует новые процессоры Core 12-го поколения Сегодня Intel анонсировала свои новые процессоры Core 12-го поколения для настольных ПК. Шесть анонсированных моделей - это первые процессоры Intel нового поколения, основанные на архитектуре компании Alder Lake с использованием 10-нанометрового производственного процесса. ...

Южнокорейский гигант намерен создать в Европе конкуренцию китайским производителям солнечных панелей Седьмая по размеру активов в Республике Корея компания Hanwha Group шагнула на европейский рынок возобновляемой энергетики. Корейцы уверены, что рынок Европы созрел для массовых проектов солнечной и ветряной генерации, а китайские компании будут отсечены санкциями США. Для в...

24-ядерный процессор Intel Raptor Lake (Core 13) засветился в Сети Intel выпустила еще не все процессоры семейства Alder Lake (Core 12), а в Сети уже засветился флагман следующего поколения – Raptor Lake. Ожидается, что эти процессоры выйдут к концу следующего года и будут отличаться от Alder Lake большим количеством ядер и слегк...

Tesla открыла завод аккумуляторного оборудования в Онтарио В рамках программы по расширению и удешевлению производства аккумуляторов компания Tesla открыла в канадском городе Маркем (провинция Онтарио) завод по производству оборудования, необходимого для выпуска батарей. Об этом сообщили в мэрии города. Источник изображения: Blomst ...

Выручка SK hynix за год выросла на 45%, чистая прибыль — втрое Компания SK hynix, являющаяся одним из крупнейших производителей микросхем памяти, опубликовала отчет за третий квартал 2021 года. Выручка SK hynix за отчетный период составила 10,11 млрд долларов. Это на 14% больше, чем во втором квартале и на 45% больше в годовом выра...

Литографическая система Nikon FPD FX-6AS предназначена для производства панелей OLED и ЖК-панелей высокого разрешения Компания Nikon недавно объявила о выпуске новой литографической системы FPD FX-6AS, которая предназначена для изготовления плоских панелей малого и среднего размера. По мнению Nikon, FX-6AS — оптимальная система для производства панелей на органических светодиодах...

Местом европейского анонса электромобиля Fisker Ocean выбрано мероприятие Mobile World Congress в Барселоне Американский автопроизводитель электромобилей Fisker объявил, что его флагманский внедорожник Ocean официально дебютирует в Европе на мероприятии Mobile World Congress в Барселоне. Крупная выставка, посвященная мобильным технологиям, в это раз пройдет с 28 февраля по 3 ...

Президент США поторопил Конгресс с принятием закона о чипах и инфраструктуре Президент США Джо Байден выступил перед Конгрессом США с обращением «О положении дел в стране», в котором, помимо прочего, попросил законодателей поторопиться с принятием закона, направленного на поддержку производства полупроводников в стране и развитии соответствующей инфр...

Apple рассматривала возможность выпуска плеера iPod с функцией телефона Apple анонсировала свой первый iPhone еще в 2007 году, и с тех пор мы увидели массу интереснейших устройств, а в разработке компании было еще огромное количество смартфонов, которые так и не поступили в производство.Фотографии одного из прототипов смартфонов слили на днях в ...

Tesla подтвердила планы на строительство второго завода в Шанхае Появилось подтверждение предыдущей информации о том, что компания Tesla планирует построить ещё один завод по сборке электромобилей в Шанхае. Соответствующие планы компания подтвердила в письме, направленном руководству зоны свободной торговли района Линьган. Новый заво...

Превратить мобильную GeForce RTX 3080 Ti в настольную RTX 3060 Ti. Топовый мобильный GPU Nvidia GA103 официально прописался в дискретной видеокарте Слухи о том, что в 3D-карте GeForce RTX 3060 Ti будет использоваться графический процессор GA103, на базе которого построена мобильная GeForce RTX 3080 Ti, подтвердились официально. Три видеокарт на GA103 представила Zotac. Таким образом, в разных версиях GeForce RTX 30...

Huawei выпустит новый ноутбук с 5-нм процессором Kirin 9006C Говоря о ноутбуках Huawei , обычно подразумевают серию ноутбуков MateBook. Однако у компании есть еще одна серия ноутбуков, ориентированных на предприятия и государственные учреждения. Новая информация показала, что компания работает над новым ноутбуком Qingyun L420, который...

GM добавит подогрев и вентиляцию в сиденья продаваемых сейчас автомобилей в середине 2022 года Компания General Motors (GM) завершила эту неделю обещанием добавить подогрев и вентиляцию сидений в автомобили, продаваемые в последнее время без этих функций из-за глобальной нехватки полупроводниковых изделий. Доукомплектование автомобилей начнется в середине 2022 го...

Продажи хромбуков и планшетов сократились впервые с начала пандемии Специалисты аналитической компании IDC утверждают, что в минувшем квартале поставки хромбуков и планшетов сократились. Это произошло впервые с начала пандемии, после пяти кварталов роста, обусловленного переходом на удаленную работу и обучение. По подсчетам IDC, поставк...

Новое предприятие Volkswagen в Германии сосредоточится на выпуске нового массового электромобиля Планы крупнейшего европейского автомобильного концерна по переходу на электротягу весьма амбициозны, но руководство Volkswagen вынуждено балансировать между экономической целесообразностью, конкуренцией со стороны Tesla и интересами собственных профсоюзов. Без строительства ...

General Motors решила выпускать мобильные электростанции на водородных топливных ячейках Компания General Motors (GM) анонсировала планы производства передвижных мини-электростанций на водородных топливных элементов. Ими она намерена заменить варианты, работающие на бензине и дизельном топливе и загрязняющие атмосферу. В отличие от них водородные модели будут эк...

Xiaomi 12 Ultra выйдет во второй половине года и будет стоить около 1000 евро. Новые подробности о перспективном флагмане Xiaomi В Сети появились новые подробности о смартфоне Xiaomi 12 Ultra. На этот раз китайский инсайдер говорит, что аппарат выйдет только во втором полугодии и будет построен на SoC Snapdragon 8 производства TSMC. Платформа, о которой идет речь, это преемница нынешней Snapdrag...

В Geekbench протестировали бюджетный смартфон Nokia Suzume Компания HMD Global готовит к скорому выпуску новый смартфон Nokia, который уже известен под кодовым названием Suzume.Грядущий Nokia Suzume был замечен в Geekbench, благодаря чему мы узнали, что смартфон оснащается 8-ядерным чипом Samsung Exynos 7884, 3 ГБ оперативной памяти...

Huawei начала массовое производство складного смартфона следующего поколения По информации отраслевых источников, компания Huawei начала массовое производство складного смартфона следующего поколения. Модель будет конкурировать с Samsung Galaxy Z Flip3, но, как ожидается, иметь более низкую цену.В отчете издания Economic Times говорится, что 25 ноябр...

Microsoft работает над ноутбуком Surface под управлением Windows 11 SE То, что началось с Microsoft Surface еще в 2012 году, за прошедшие годы расширилось, охватив несколько форм-факторов и устройств, включая Surface Pro, Surface Go, Surface Laptop, Surface Laptop Studio, Surface Hub, Surface Duo и другие. Теперь, похоже, Microsoft работает над...

Процессор на архитектуре x86, выпущенный не Intel и не AMD. Появились тесты CPU Brutus Centaur компании VIA На рынке x86-совместимых CPU по факту есть только два основных игрока: Intel и AMD. Но также порой вспоминают про компанию VIA. Сегодня у нас есть возможность оценить производительность уникального процессора, который был представлен несколько лет назад, но так и не выш...

Власти штата Огайо готовы предоставить не менее $2,1 млрд субсидий на строительство предприятий Intel В уходящем месяце корпорация Intel объявила о намерениях потратить $20 млрд на строительство двух новых предприятий в штате Огайо, которые с 2025 года смогут начать выпуск продукции с использованием передовой технологии Intel 18A. Местные власти оказались щедры на предоставл...

Qualcomm выпустит быструю и холодную платформу Snapdragon 8 Gen 1+ уже в мае С тех пор как был анонсирована однокристальная система Snapdragon 8 Gen 1, начали ходить слухи о платформе Snapdragon 8 Gen 1+, которая будет производиться с использованием 4-нм технологического процесса силами TSMC, а не Samsung. Высказываются предположения, что Snapdr...

Представлен Snapdragon 8 Gen 1 — топовый процессоров для флагманских смартфонов в 2022 году Он собран на заводе Samsung по 4-нанометровому техпроцессу на архитектуре ARM v9 и состоит из восьми ядер.

Xiaomi 12S Pro станет первым флагманом компании без Qualcomm Во второй половине года Xiaomi планирует анонсировать ряд флагманов, включая Xiaomi 12S и Xiaomi 12S Pro. Ранее предполагалось, что эти модели получат однокристальную систему Snapdragon 8 Gen 1 Plus, однако теперь появилась новая информация. Сведениями поделился ресурс ...

По словам производителя, Adata Premier Extreme SDXC SD7.0 — первая карта памяти формата SD Express, получившая сертификат SD 7.0 Компания ADATA Technology, одной из областей специализации которой является выпуск сменных носителей, объявила о выпуске карты памяти Premier Extreme SDXC SD7.0 Express Card. В этой карточке используется контроллер SMI SM2708AB. По словам производителя, Adata Premier Ex...

Суд ЕС отозвал антимонопольный штраф в размере 1,06 млрд евро, наложенный на Intel В 2009 году Европейская комиссия подала в суд на компанию Intel, обвинив её в «злоупотреблении рынком путем влияния на производителей компьютеров, чтобы они откладывали или отменяли выпуск своих изделий на процессорах производства конкурирующей компании AMD»...

Samsung Display расширяет производство панелей OLED и QD-OLED По сообщению источника, компания Samsung Display (SDC) собирается расширить производственные мощности, выпускающие телевизионные панели QD-OLED или панели OLED для IT-продукции, расположенные в Южной Корее. Компания решила уйти с рынка ЖК-телевизоров и прекращает произв...

АвтоВАЗ возобновит производство Lada Granta и Lada Niva Legend на следующей неделе Как пишет РБК со ссылкой на официальное сообщение АвтоВАЗа, на следующей неделе компания возобновит производство, но речь идёт о двух наименее зависимых от импорта моделях — Lada Granta и Lada Niva Legend. 16, 17 и 18 марта эти авто будут производить по полному гр...

Panasonic начнет серийное производство аккумуляторов Tesla с марта 2024 года Panasonic заявила сегодня, что начнет массовое производство новой литий-ионной батареи для Tesla до конца марта 2024 года на заводе в Японии. Представленная японской компанией в октябре батарея формата 46 миллиметров в ширину и 80 миллиметров в высоту примерно в пять раз бол...

Apple планирует в 2022 году выпустить MacBook Pro начального уровня Источник утверждает, что компания Apple значительно опережает график перехода от процессоров Intel к процессорам собственной разработки. По неподтвержденным данным, на будущий год она планирует выпуск пяти новых моделей компьютеров Mac. Среди них — новый MacBook P...

Ericsson представил возможность выделения смартфонам индивидуальных сегментов сети Пользователи сети выиграют от внедрения дифференцированных услуг, одновременно получая базовый общий сегмент мобильного широкополосного доступа и специальные сегменты, например, для гейминга или сегмент с повышенным уровнем защиты для B2B-приложений.Сети 5G позволяют внедрят...

Продажи серии Samsung Galaxy S21: гордость или разочарование? Прошедший 2020 год оказался не таким хорошим и успешным, как хотелось бы Samsung. Компания удержала лидерство на рынке смартфонов, но ее проблемы в этом сегменте только усугубились. После 10 лет выпуска премиальных устройств серии Galaxy Note южнокорейский гигант отказался ...

По данным IC Insights, продажи полупроводниковых изделий категории O-S-D быстро растут Специалисты аналитической компании IC Insights подготовили прогноз, относящийся к рынку полупроводниковых изделий категории O-S-D (оптоэлектронные приборы, датчики и дискретные приборы). Напомним, это один из двух сегментов рынка полупроводниковых изделий. Вторым являют...

Canon начала выпускать картриджи для принтеров без DRM-чипов из-за дефицита полупроводников Компания Canon, помимо прочего занимающаяся производством картриджей для принтеров и многофункциональных устройств, испытала на себе воздействие глобального дефицита чипов. Нехватка продукции этого типа вынудила вендора производить картриджи без DRM-чипов, которые встроенная...

У электропикапа Tesla Cybertruck появится «младший брат» в миниатюрном виде Пока Илон Маск и компания Tesla продолжают отодвигать начало производство электрического пикапа Cybertruck на всё более поздние сроки, в сети появились информация о возможном выпуске ещё одной версии этого автомобиля.  Изначально информацией поделился довольно изв...

Rivian вряд ли выйдет на безубыточность в ближайшие два года, но аналитики видят в компании потенциал Ещё до начала поставок серийных пикапов R1T американская компания Rivian собрала 54 500 заявок на покупку электромобилей, и удовлетворить их она сможет лишь к концу 2023 года. Сторонние эксперты считают, что даже подняв объёмы производства выше 100 тысяч электромобилей в 202...

Skoda возобновила производство автомобилей, приостановленное из-за дефицита чипов Принадлежащий концерну Volkswagen чешский производитель Skoda возобновил выпуск продукции на большинстве линий после двухнедельного перерыва, вызванного нехваткой полупроводниковых компонентов. skoda-auto.com Skoda является крупнейшим в Чехии экспортёром. 7 октября компания ...

Дискретные видеокарты Intel Arc Alchemist поступят в продажу во втором квартале, но компания уже работает над новым поколением 3D-ускорителей Intel подтвердила планы по выпуску GPU серии Arc Alchemist на мероприятии Investors Meeting 2022. Инвестором (как и обычным пользователям) важно было услышать, что дискретные 3D-карты компании на базе графических ускорителей DG2 поступят в продажу во втором квартале тек...

Смартфоны со 150-ваттной зарядкой выйдут на рынок в ближайшее время Авторитетный инсайдер Digital Chat Station в очередной раз стал источником эксклюзивной информации на мобильном рынке.Сейчас он сообщил, что в скором времени на рынке появятся первые смартфоны с поддержкой зарядки мощностью 150 Вт. Массовое производство одного из них вот-вот...

Специалисты института Фраунгофера показали многоцветный микродисплей OLED с минимальным энергопотреблением В рамках проекта Backplane, финансируемого министерством экономики, труда и транспорта Саксонии, ученым из Института органической электроники, электронно-лучевой и плазменной техники Фраунгофера (Fraunhofer FEP) удалось создать многоцветный микродисплей OLED с наименьши...

Такой платформы нет ни у Qualcomm, ни у Apple. Завтра MediaTek представит 4-нанометровую Dimensity 9000 Как пишет источник, завтра компания MediaTek представит свою флагманскую однокристальную платформу линейки Dimensity. Раньше ее инсайдеры называли Dimensity 2000, но, согласно новым данным, называться она будет Dimensity 9000. Особенность ее заключается в том, что ...

SK On инвестирует 2,53 млрд долларов в строительство в Китае нового завода по производству аккумуляторов для электромобилей SK On, дочерняя компания SK Innovation, специализирующаяся на выпуске аккумуляторов, планирует инвестировать 2,53 млрд долларов в строительство нового завода по производству аккумуляторов для электромобилей. Предприятие будет расположено в Китае. Об этом сообщило агентс...

Уникальную технологию производства чипов на основе ИИ-технологий анонсирует Hisense Компания Hisense объявила о выпуске своего первого процессора с использованием алгоритмов когнитивного восприятия на основе технологий искусственного интеллекта для улучшения качества изображения на уровне 8K (Hi-View HV8107). Новинка в очередной раз подтвердила ее лидерское...

Названы временные рамки выпуска смартфонов серии Xiaomi 12 Популярный инсайдер Digital Chat Station раскрыл возможные временные рамки, в которые может выйти серия Xiaomi 12.Сообщается, что устройства будут представлены в следующем месяце, вскоре после мероприятия Qualcomm Tech Summit 2021. Естественно, смартфоны китайской компании п...

Складной смартфон Oppo Find N представят 15 декабря Компания Oppo долго готовилась к выпуску своего первого складного смартфона. Прошло много лет с тех пор, как был представлен прототип, и теперь на рынок выходит модель Find N. На данный момент, к сожалению, компания не поделилась никакой информацией об этом смартфоне, поэтом...

13 смартфонов Xiaomi и Redmi получат финальную MIUI 13 до конца марта. Список моделей Компания Xiaomi сегодня опубликовала перечень смартфонов, которые получат финальную версию MIUI 13 в ближайшее время – до конца марта. Так как речь идет преимущественно о флагманах, да к тому же недавно выпущенных, все они, скорее всего, получат MIUI 13 на ба...

Складной телефон Oppo возможно назовут Find N 5G, а Huawei возможно работает над складной моделью Технологии складных смартфонов все еще находятся на стадии становления и пока очень дорогие. Тем не менее, Samsung успешно доказала, что, если компания достаточно крупная и смелая в своих предложениях и ценах, складной форм-фактор может иметь большой успех. Имея это в виду,...

GeForce RTX 4090, Radeon RX 7900 XT и их соперник из стана Intel. Появились данные о будущих топовых GPU всех трёх компаний В Сети появились новые данные о топовых видеокартах AMD, Nvidia и Intel следующего поколения. Начнём с адаптера Nvidia. Известный инсайдер kopite7kimi, который в своё время первым перечислил параметры линейки GeForce RTX 30, описал топовую карту, которую для удобс...

Смартфону Xiaomi 12 Ultra все-таки быть Уже несколько месяцев различные источники спорят друг с другом касаемо того, выйдет ли на рынок смартфон Xiaomi 12 Ultra.Теперь же появилось подтверждение выпуска данного устройства. Дело в том, что Xiaomi 12 Ultra появился в базе данных IMEI под каталожным номером Xiaomi L2...

Неназванный производитель смартфонов уже тестирует 50-мегапиксельный датчик изображения Sony формата 1/1,1 дюйма В сети появились сведения о том, что Sony предоставила образцы нового датчика изображения разрешением 50 Мп неназванному производителю смартфонов. Оптический формат датчика — 1/1,1 дюйма. Это делает его самым большим датчиком такого рода. Источник напоминает, что ...

2022 год станет третьим годом подряд, когда контрактное производство полупроводниковой продукции вырастет не менее чем на 20% Специалисты аналитической компании IC Insights подготовили прогноз, относящийся к рынку контрактного производства полупроводниковой продукции. По их данным, после сокращения на 2% в 2019 году указанный рынок продемонстрировал сильный отскок на 21% в 2020 году. В 2021 го...

Гарнитура Arpara 5K Micro OLED VR Компания Arpara создала новую гарнитуру виртуальной реальности, которая представляет собой первую в мире автономную гарнитуру 5K на базе микро-OLED-дисплея. Предлагая пользователям комбинированное разрешение 5120 x 2560 пикселей, гарнитура оснащена процессором Qualcomm XR2 и...

Apple дала советы по сохранению максимальной автономности iPhone У всех современных смартфонов есть одна неприятная особенность — очень низкое время автономной работы, и устройства Apple не являются исключением.В защиту купертиновцев следует заметить, что последние пару лет ситуация с автономностью iPhone существенно улучшилась. Теперь же...

Названа дата выхода процессора Intel Core i9-12900KS Похоже, что компания Intel раскрыла дату выпуска первого в мире процессора для настольных ПК, работающего на частоте 5,5 ГГц. В аккаунте производителя в твиттере вчера появилось сообщение, в котором указана дата 5 апреля. Напомним, Intel Core i9-12900KS — по сути...

4 нм, поддержка 320-мегапиксельных камер и небывалая производительность. Представлена мобильная платформа MediaTek Dimensity 9000 – и она мощнее любой платформы Qualcomm Как и предполагалось, MediaTek сегодня представила однокристальную систему Dimensity 9000 – флагманскую платформу для смартфонов 2022 года. В одном новинка выгодно отличается от платформ Qualcomm и Apple – это первая в мире SoC, производимая по техпроцессу 4...

Глава Xiaomi пообещал выпустить MIUI 13 до конца года Основатель Xiaomi Лэй Цзюнь пролил свет на предстоящий крупный выпуск новой версии фирменной прошивки MIUI.Речь идет о MIUI 13, которая должна принести множество изменений, которые значительно улучшат пользовательский интерфейс и опыт использования устройств.По словам топ-ме...

Использование старой платформы в iPhone 14 позволит снизить стоимость и создать большую разницу с iPhone 14 Pro На прошлой неделе сообщалось, что iPhone 14 и iPhone 14 Max будут построены на прошлогодней операционной системе Apple A15 Bionic, а модели iPhone 14 Pro и 14 Pro Max будут оснащены еще не анонсированной SoC Apple A16 Bionic. Теперь аналитик Apple Минг-Чи Куо раскрыл но...

Мобильная платформа Huawei Harmony OS 2.0 появится за пределами Китая в начале 2022 года Китайская компания Huawei готовится к запуску своей программной платформы Harmony OS 2.0 за пределами домашнего рынка. Согласно имеющимся данным, глобальный запуск мобильной операционной системы состоится в начале следующего года. Об этом пишет издание CNews со ссылкой на гл...

Процессор Snapdragon 8 Gen 1 получит две разные версии Qualcomm Snapdragon 8 Gen1 SoC будет представлен на саммите Qualcomm Snapdragon Technology Summit 30 ноября этого года. Сообщается, что Qualcomm Snapdragon 8 Gen1 под кодовым названием SM8450 производится с использованием 4-нм техпроцесса компанией Samsung. Позже будет…

Новый чипсет NPU MariSilicon X от Oppo Oppo только что анонсировала свой чипсет NPU MariSilicon, основанный на 6-нанометровом производственном процессе. Его основная цель - улучшить качество фотографий и видео, а также еще больше расширить набор функций своих камер. Первыми телефонами, которые будут ее использова...

Xiaomi 12 Ultra будет работать на чипсете Snapdragon 8 Gen 1+ Надежный китайский инсайдер Digital Chat Station сообщил в Weibo, что предстоящий смартфон Xiaomi 12 Ultra будет работать на еще не анонсированном чипсете Snapdragon 8 Gen 1+.Учитывая, что флагманские чипы Qualcomm с обозначением «+» обычно анонсируются во втором...

Сетевое хранилище Qnap TS-364 оснащено портом 2.5GbE Компания Qnap Systems сообщила о выпуске хранилища с сетевым подключением (NAS) TS-364. В его описании выделено наличие порта 2.5GbE и двух слотов для кеширующих накопителей типоразмера M.2 2280 с интерфейсом PCIe Gen 3 x2. Хранилище, подходящее для использования дома...

Графический процессор от GeForce RTX 3060 и две версии с 4 и 8 ГБ памяти. Новые подробности о GeForce RTX 3050 В Сети появились новые и довольно интересные подробности о перспективной видеокарте Nvidia GeForce RTX 3050, которая ожидается в январе 2022 года. Пожалуй, самое интересное: сейчас ей приписывают уже не новый GPU GA107, а хорошо известный GA106, используемый в GeForce R...

Почему Apple не торопится с выпуском гибкого iPhone: компания просто наблюдает за своими конкурентами и не собирается повторять ошибки на рынке складных смартфонов Инсайдер под ником DylanDKT утверждает, что Apple находится в процессе тестирования нескольких прототипов складных устройств. Компания пытается выбрать между двумя вариантами. В первом iPhone в разложенном состоянии будет превращаться в небольшой планшет, а во втором &m...

Montage Technology начинает производство RCD DDR5 второго поколения Montage Technology, ведущая компания по разработке интегральных схем для обработки данных и межсоединений, сегодня объявила о выпуске чипа DDR5 RCD 2-го поколения (RCD02) со скоростью 5600 МТ/с для поддержки производителей модулей памяти, позволяющих создать экосистему DDR5-...

В Volkswagen надеются на улучшение ситуации с микросхемами уже в этом году Немецкий автопроизводитель Volkswagen ожидает, что в этом году нехватка полупроводниковой продукции, ограничивающая выпуск машин, продолжит ощущаться, но во второй половине года ситуация станет лучше и даст возможность нарастить производство. Об этом сообщило агентство ...

EK планирует выпуск водоблоков и активных задних панелей для видеокарт Nvidia GeForce RTX 3090 Ti Компания EK, специализирующаяся на выпуске компонентов для компьютерных систем охлаждения, сообщила, что «работает над множеством новых водоблоков Quantum Vector²» для видеокарт серии Nvidia GeForce RTX 3090 Ti. По словам производителя, эти карты «...

Разбираться в процессорах для телефонов скоро станет намного проще Процессоры сами по себе никому не интересны. Это действительно так, ведь мы получаем набор цифр, которые толком не дают понимания, чего от них ждать. Например, мало кто из простых пользователей скажет, чем отличаются друг от друга Snapdragon 778 и Snapdragon 865, а ведь раз...

Компактный флагман Sony Xperia 5 Mark 4 получит Snapdragon 8 Gen 1 Plus, Gorilla Glass Victus и камеры от прошлогодней модели В сообщении на китайской социальной сети Weibo просочились технические характеристики смартфон Sony Xperia 5 Mark 4. Это модель премиум-класса небольшого размера, которую вы можете считать современным эквивалентом телефона Xperia Compact. Сообщается, что Sony Xperia 5 M...

«Аэродиск» и «Рикор» представили линейку российских серверов «Фотон» Проект является очередным шагом по расширению линейки собственных ИТ-решений, предлагаемых "Аэродиском" на российском рынке. Сервер "Фотон" стал первым решением этого класса, полностью разработанным и произведенным в России. Все элементы серверов данной л...

Раскрыты характеристики процессора для смартфонов среднего уровня 2022 года В последнее время ходят слухи, что MediaTek готовит к выходу процессор Dimensity 7000 для смартфонов среднего уровня. Ранее сообщалось, что SoC будет построена на 5-нм техпроцессу TSMC. Теперь появилось больше подробностей о ней.

«Мегафон» увеличил скорость интернета до 30% Оператор связи «Мегафон» увеличил скорость интернета для владельцев максимальных тарифных планов в надежде привлечь больше клиентов. Тарифные планы «Максимум», VIP и «Премиум» получили опцию pre-5G, которая увеличивает обычную ск...

Intel Alder Lake для тонких ноутбуков смогут потреблять до 64 Вт энергии и будут быстрее предшественников до 70 % Компания Intel поделилась подробностями об энергоэффективных моделях мобильных процессоров Alder Lake, которые представлены в сериях P и U. Производитель также подтвердил, что первые ноутбуки на их основе поступят в продажу в следующем месяце. Источник изображений: Intel В о...

Технология трассировки лучей уже работает на смартфонах. Демонстрация на примере Oppo Reno6 Pro 5G Компания Oppo провела свою ежегодную конференцию для разработчиков в Шанхае. На мероприятии Oppo Developers Conference 2021 (ODC21) компания представила множество новых разработок, а также продемонстрировала технологию трассировки лучей на смартфонах. В течение многих л...

MSI выпустит специальную версию игрового ноутбука Crosshair 15 Rainbow Six Extraction Edition с Alder Lake и GeForce RTX 3070 Ti Компания MSI готовит к анонсу новый игровой ноутбук Crosshair 15/17. 15-дюймовая версия лэптопа создана в сотрудничестве с Ubisoft. Она предложит оформление в стиле готовящейся к выпуску шутера Rainbow Six Extraction, релиз которого запланирован на 20 января 2022 года. Источ...

Главные анонсы первого дня CES 2022 — новинки AMD, Intel и NVIDIA AMD начала с представления новых мобильных процессоров Ryzen 6000-й серии. Они построены на 6-нм ядрах Zen 3+ и обладают встроенной графикой с архитектурой RDNA 2, а новая мобильная платформа поддерживает LPDDR5 и PCIe 4.0. Старшие процессоры в семействе Ryzen 6000 имеют 8 я...

AMD будет бороться с новыми процессорами Intel посредством своих старых APU. К выходу готовится линейка Renoir-X Компания AMD, согласно новым слухам, может выпустить на рынок необычные доступные процессоры.  Речь идёт о линейке Renoir-X на базе архитектуры Zen 2. Если точнее, компания собирается взять настольные APU Ryzen 4000G, отключить им GPU и продавать по...

На процессоре Intel 2023 модельного года уже запустили Windows. Компания похвасталась достижением в разработке Meteor Lake В конце этого года Intel выпустит процессоры Raptor Lake. Они принесут удвоение количества малых ядер и новую архитектуру для больших. Но полноценное новое поколение выйдет в 2023 году, и это будет Meteor Lake.  Глава Intel поделился, что на таком процессоре уже у...

Смартфон Osom OV1 будет изготовлен из керамики и нержавеющей стали Команда разработчиков Essential PH-1 работает над новым устройством от бренда Osom под названием OV1. Это смартфон, ориентированный на конфиденциальность, который постоянно сообщает пользователю к какой информации обращаются приложения. Еще в декабре всплыла фотография неизд...

Тизеры Moto Edge X30 показывают время автономной работы и дизайн камеры Moto Edge X30 будет представлен в четверг как первый смартфон с новейшим чипсетом Qualcomm Snapdragon 8 Gen 1. В последние несколько дней бренд начал предоставлять более подробную информацию о телефоне, а последние тизеры показали время автономной работы, дизайн камеры. Теле...

К третьему кварталу TSMC на четверть увеличит объёмы выпуска 5-нм продукции Доля 7-нм изделий может начать сокращаться.

Как Samsung унизила Google с выходом Galaxy S22 Каким бы крутым ни был с технической точки зрения новый Galaxy S22, внимание многих больше привлекло новое пятилетнее обязательство Samsung по обновлению этого и некоторых других устройств. Samsung предлагает четыре года обновлений ОС и пять лет исправлений безопасности. Эт...

Компьютер размером со смартфон на современном 10-нанометровом процессоре Intel и всего за 255 долларов. Представлен Morefine M6 Компания Morefine запустила на Indiegogo сбор средств на мини-ПК M6. Этот тот случай, когда устройство действительно можно без каких-либо оговорок назвать карманным или наладонным компьютером.  Размеры устройства составляют всего 155 х 80 х 19 мм, то есть по габари...

Поддержка экранов 4К, 200-мегапиксельных камер и GPU AMD с трассировкой лучей. Представлена SoC Samsung Exynos 2200 для Galaxy S22 Компания Samsung сегодня официально представила свою новую флагманскую однокристальную систему для смартфонов Exynos 2200. Это первая в мире SoC, в которой используется GPU с аппаратной трассировкой лучей. Графический процессор Xclipse 920 построен на знакомой по дискре...

Новая серия Redmi Note 12 появится на рынке во втором квартале 2022 Прошло совсем немного времени с тех пор, как Redmi представила на рынке серию смартфонов Redmi Note 11. Недавно стало известно, что к выходу готовится смартфон Redmi Note 11S 5G, а теперь появились новости о планах Redmi относительно серии Note 12. По словам популярного...

Почему Apple не делает iMessage для Android и что об этом говорит Google На выходных Google обвинила Apple в том, что она пытается зарабатывать не только за счет производства хороших смартфонов или качественной рекламы, но и за счет не совсем честных методов. В частности, Google утверждает, что купертиновцы оказывают давление на молодых пользова...

Италия планирует выделить более 4 млрд евро, чтобы стимулировать производство микросхем В распоряжении Reuters оказался проект закона, в соответствии с которым Италия в период до 2030 года выделит более 4 млрд евро в качестве стимула для увеличения внутреннего производства микросхем. С помощью этих средств руководство страны стремится привлечь больше инвес...

Компания EK представила водоблок для системной платы ROG Maximus Z690 Hero Компания EK сообщила о выпуске водоблока EK-Quantum Momentum² ROG Maximus Z690 Hero D-RGB, который предназначен для ПК, основой которых служит системная плата ROG Maximus Z690 Hero. Водоблок отводит тепло от процессора  Intel 12-го поколения (Alter Lake), уста...

К выпуску готовится доступный ноутбук Samsung Bugzzy на базе Chrome OS Согласно свежей информации от авторитетных источников, компания Samsung готовит к выпуску новый ноутбук на базе Chrome OS.В данный момент известно, что будущая новинка имеет кодовое название Bugzzy. В основе ноутбука будет лежать процессор Intel Jasper Laker. Управляется уст...

Скоро появятся Poco X4 и Poco X4 NFC Прошло довольно много времени с момента выпуска Poco X3 NFC. И хотя линейка M уже дебютировала запуском Poco M4 Pro, модельный ряд серии X в настоящее время по-прежнему состоит из смартфонов X3 NFC и X3 Pro. Согласно последней утечке, оказывается Poco работает как над X4 для...

Alibaba представила собственный процессор Yitian 710 со 128 ядрами Armv9, памятью DDR5 и технологией PCIe 5.0 Один из крупнейших поставщиков облачных услуг в Китае, Alibaba, объявил сегодня о предварительном выпуске нового экземпляра на базе процессора Yitian 710. Новый процессор представляет собой совокупность усилий Alibaba по разработке собственного дизайна, способного поддержива...

Производители микросхем не думают, что российская спецоперация усилит нехватку микросхем Полупроводниковая отрасль все ощущает последствия пандемии COVID-19, а теперь к факторам неопределенности добавился еще один, первые сообщения о котором появились в середине месяца. Российская спецоперация на Украине, начавшаяся 24 февраля, поставила перед участниками о...

Новый процессор Intel i5-12400 покорит бюджетный сегмент Процессоры Intel 12-го поколения были тепло приняты, но на данный момент для покупки доступны только флагманские модели K. Платы Z690 тоже дорогие, и, поскольку вам нужно покупать и то, и другое, процессоры 12-го поколения в основном предназначены только для высокопроизводит...

Vivo скоро начнёт тестировать Android 12 в России: объявлен график выпуска Китайская компания Vivo опубликовала график выпуска бета-версий сборок на основе новой операционной системы Android 12 для российских пользователей своих смартфонов.  Vivo X60 Pro Поучаствовать в бета-тесте Android 12 смогут пользователи таких моделей: С конца де...

Qualcomm анонсирует обновленный процессор Snapdragon 8 Gen 1+ в мае С тех пор, как был анонсирован чипсет Snapdragon 8 Gen 1, ходили слухи о новой версии «+», которая будет производиться с использованием 4-нм узла TSMC, а не Samsung — и это вызвало множество вопросов. Ходили слухи что он будет менее склонен к перегреву и снижению производите...

Windows 11 действительно хочет, чтобы вы использовали Microsoft Edge для некоторых функций Последняя версия Microsoft Edge действительно хороша, но это не значит, что все начнут использовать ее после Chrome или Firefox. Вы можете легко изменить браузер по умолчанию в Windows 10 или даже Windows 11, но такие функции, как поиск Windows Search или виджеты Windows, по...

Назван производитель полупроводниковой продукции, который будет лидером по росту продаж в этом году Специалисты аналитической компании IC Insights проанализировали данные за месяцы, прошедшие с начала года и дали прогноз на 2021 год по продажам полупроводниковой продукции, капиталовложениям и рейтингу 25 крупнейших поставщиков по темпам роста продаж. Согласно прогнозу...

Опубликован рендер складного смартфона Honor Magic Fold 5G Компания Honor активно выпускает новые смартфоны с тех пор, как стала независимым брендом, отделившись от Huawei. После запуска нескольких моделей премиум-класса она планирует выйти на рынок складных устройств.Прошло несколько месяцев с тех пор, как в Интернете начали появля...

Xiaomi готовит к выпуску три смартфона на чипе Snapdragon 8 Gen 1 Plus Популярный инсайдер Digital Chat Station поделился информацией о предстоящих флагманских смартфонах Xiaomi.Инсайдер заявил, что, по крайней мере, три телефона Xiaomi, оснащенные чипом Snapdragon 8 Gen 1 Plus и дисплеем с разрешением 2K, в настоящее время находятся в разработ...

Ноутбуки Apple MacBook на базе чипсета M2 поступят в продажу в конце этого года Компания Apple недавно провела мероприятие, на котором анонсировала свои новые продукты — смартфон iPhone SE, планшет iPad Air, Mac Studio и Studio Display. Но есть еще несколько новинок, которые не были представлены официально.В последних новостях сообщалось, что Apple гото...

Состоялся официальный релиз Visual Studio 2022 и .NET 6 Microsoft объявила о выходе стабильных версий Visual Studio 2022 и .NET 6, а значит уже сейчас разработчики могут скачать инструменты с официального сайта и начать их применять в своих проектах. Visual Studio 2022 стала 64-разрядной версией, благодаря чему среда разработки ...

Обновления Windows 10 за декабрь 2021 года: что нового и улучшенного Накопительное обновление Windows 10 за декабрь 2021 года теперь доступно для пользователей, и это последнее обновление для операционной системы в 2021 году. В отличие от предыдущих обновлений, исправления во вторник представляют собой небольшой выпуск с несколькими исправлен...

На новые дешёвые процессоры Intel компании AMD придётся отвечать перевыпуском старых моделей без GPU Intel представила всю линейку процессоров Alder Lake, включая очень доступные модели. Чем ответит AMD? Пока новых настольных CPU, кроме экспериментального Ryzen 7 5800X3D, не предвидится. И отдуваться в нижнем ценовом сегменте будут старички.  Как ранее мы уже слы...

Apple в очередной раз возглавила рынок планшетов Планшеты по-прежнему пользуются большим спросом для работы и учебы. Последний отчет International Data Corporation (IDC) показал, что, хотя в четвертом квартале 2021 года поставки планшетов сократились на 11,9% по сравнению с четвертым кварталом 2020 года, общий объем вырос ...

Ещё одна жертва дефицита микросхем: прием заказов на камеру Sony Alpha ZV-E10 временно прекращен На японском сайте компании Sony опубликовано уведомление о временном прекращении приема заказов на камеру Alpha ZV-E10, представленную в конце июля. Производитель приносит извинения и признает, что не имеет возможности своевременно обеспечить себя необходимыми компонен...

General Motors анонсировала «доступный» Equinox EV стоимостью $30 000 В конце презентации General Motors (GM) на выставке CES 2022 бренд Chevrolet анонсировал выпуск доступного электромобиля Equinox EV. Ожидается, что в розничной продаже новинка будет стоить $30 000. Источник: Chevrolet Одной из главных тем в ходе CES для GM стала премьера эле...

Sony планировала выпустить мобильную версию облачной игровой платформы PlayStation Now В 2017 году, когда Apple ещё терпимо относилась к возможному приходу облачных игровых сервисов на iPhone, компания Sony готовилась выпустить версию PlayStation Now для мобильных устройств. Документы, подтверждающие это намерение, были обнаружены при анализе открытых материал...

Опубликован план разделения Toshiba на три отдельные компании Подтверждая предварительную информацию, компания Toshiba сегодня объявила о намерении разделиться на три отдельные компании. Этот шаг призван повысить её ценность в глазах акционеров. Он стал кульминацией почти пяти месяцев работы стратегического комитета совета директо...

В Geekbench появился неизвестный девайс Lenovo QRD на чипе Snapdragon 8cx третьего поколения Ожидается, что китайский технологический гигант Lenovo выпустит новое устройство на базе чипа Snapdragon.Пока неясно, о каком именно девайсе идет речь. Это может быть ноутбук или планшет. Потенциальная новинка уже появилась в бенчмарке Geekbench под названием Lenovo QRD.Согл...

Micron и MediaTek первыми проверили память LPDDR5X в работе В ходе мероприятия MediaTek Executive Summit компания Micron Technology объявила, что компания MediaTek подтвердила работоспособность памяти LPDDR5X, созданной специалистами Micron. Указанная память работает с новой флагманской платформой MediaTek Dimensity 9000 для сма...

Альянс Renault–Nissan–Mitsubishi анонсировал планы на выпуск 35 моделей электромобилей к 2030 году Renault Group, Nissan Motor и Mitsubishi Motors Corporation, участники одного из крупнейших автомобильных альянсов в мире, Renault–Nissan–Mitsubishi, представили планы о совместной работе до 2030 года. Альянс собирается предложить самый широкий модельный ряд...

Характеристики Dimensity 7000 конкурируют со Snapdragon 870 MediaTek недавно анонсировала чипсет Dimensity 9000 и проведет специальное мероприятие для него 16 декабря в Китае. Ожидается, что в указанную дату тайваньская компания представит дополнительную информацию о чипе Dimensity 9000. Недавние отчеты показали, что компания также р...

«Яндекс.Маркет» начал выпуск аксессуаров для гаджетов под собственным брендом Commo Пользователи ресурса «Яндекс.Маркет» скоро смогут заказать необходимые аксессуары для гаджетов, которые выпускаются компанией Яндекс и имеют торговый бренд Commo. Для покупки уже доступны зарядные устройства, а также в ближайшее время будут доступны такие аксессуары как чехл...

Стали известны особенности флагманского смартфона Vivo X80 Pro Компания Vivo готовит к выпуску флагманскую линейку смартфонов Vivo X80, в которую войдут модели X80, X80 Pro и X80 Pro Plus.К этому моменту стало известно, что X80 Pro и X80 Pro Plus будут работать на чипах Dimensity и Snapdragon соответственно, причем первому также пророча...

Xiaomi запускает производство Xiaomi MIX 5 Согласно информации на Weibo, бренд начал пробное производство серии MIX 5. Изначально ходили слухи, что модель может быть оснащена чипом более высокого класса Snapdragon 8 Gen 1 Plus, последняя утечка из китайского информатора утверждает обратное. Кроме того, утечка также п...

iPhone SE 3 запущен в массовое производство: полноэкранный iPhone SE также на подходе Источники подтверждают, что Apple начала массовое производство смартфона iPhone SE 3, который должен появиться на рынке в середине-конце марта этого года. Ожидается, что он поддерживает 5G и оснащён однокристальной системой Apple A15 Bionic. Чтобы начать производство iP...

Флагманская Qualcomm Snapdragon 898 показала свои возможности. Появились результаты тестов в Geekbench Авторитетный инсайдер Ice Universe, который часто публикует точную информацию о новинках Samsung, выложил результаты тестирования топовой однкокристальной системы Qualcomm Snapdragon 898. Мобильная платформа Qualcomm Snapdragon 898, которая должна быть представлена в де...

Huawei выпустит новый складной Mate X в феврале Huawei уже выпустила на рынок несколько складных смартфонов, в том числе Mate X, Mate Xs и Mate X2, а теперь китайский технологический гигант готовится выпустить на рынок новую модель в этой же линейке. При этом ожидается, что производитель будет следовать своим обычным срок...

В каждой шутке есть доля шутки? Microsoft разрабатывает Windows 12 с «двумя TPM» В сети появились сообщения о том, что компания Microsoft уже приступила к разработке новой операционной системы, которая станет наследником Windows 11 — Windows 12.  Изначально об этом сообщило сразу два источника, вызвав некоторую путаницу — SwiftOnSe...

Asus ROG Phone 5 и ROG Phone 5s получили стабильную Android 12 Компания Asus продолжает выпуск стабильного обновления операционной системы после выпуска прошивки для смартфонов Zenfone 8 и Zenfone 8 Flip еще в декабре 2021 года. На этот раз повезло пользователям телефонов Asus ROG Phone 5 и ROG Phone 5s. Судя по сообщениям на офици...

Отечественные автомобили Aurus производятся в необходимом объеме В пресс-службе «Аурус Моторс» подтвердили, что производство отечественных автомобилей Aurus на предприятии в ОЭЗ «Алабуга» продолжается. Производственные мощности «Аурус Моторс» в Татарстане не остановлены, операционная деятельность к...

Lenovo заняла третье место на мобильном рынке США благодаря LG Компания Counterpoint Research опубликовала новый отчет о доле рынка смартфонов в США за третий квартал этого года.Согласно исследованиям, несмотря на нехватку компонентов и проблемы с цепочкой поставок, компаниям удалось продолжить восстановление рынка. Изюминкой отчета ста...

Acer выпускает новые игровые ноутбуки на базе новейших процессоров и видеокарт Компания Acer объявила об обновлении своих линеек игровых ноутбуков Predator и Nitro, начав год с выпуска новых моделей Predator Triton 500 SE, Predator Helios 300 и Acer Nitro 5. Все ноутбуки оснащены новейшими процессорами Intel ® Core 12-го поколения и видеокартами серии...

Microsoft подтверждает еще одну проблему в Windows 11 и обещает исправить ее в будущем выпуске Microsoft подтвердила, что некоторые пользователи, использующие такие приложения, как редакторы изображений, могли столкнуться с проблемой цветопередачи после обновления до Windows 11. Кроме того, есть сообщения о других ошибках в последних сборках Windows 11, и Microsoft из...

Новая стратегия оказалась выигрышной: Redmi Note 10 Pro отлично продаётся и после выхода Redmi Note 11 Pro Президент Xiaomi Group China и генеральный директор Redmi Лу Вейбинг (Lu Weibing) подтвердил, что после выпуска Redmi Note 11 Pro модель Note 10 Pro по-прежнему очень хорошо продается. Это подтверждает, что Redmi выбрала правильную стратегию. На прошлой неделе Лу Вейбин...

Новый дизайн в духе MacBook Pro, белая клавиатура и Apple M1: новый MacBook Air 2022 запустят в производство до третьего квартала Apple вчера представила несколько новых продуктов, включая новый Mac Studio, iPhone SE 2022 и iPad Air пятого поколения. Теперь же появились сведения о грядущем MacBook Air. Об этом сообщил известный аналитик Apple Минг-Чи Куо, который уверен, что MacBook Air 2022 ...

Honor X30 может стать первым смартфоном на платформе Snapdragon 695 Сетевые источники сообщают о том, что бренд Honor готовит к выпуску смартфон среднего уровня с обозначением X30. Официальная презентация новинки может состояться до конца текущего квартала. Источник изображений: Honor В настоящее время в семействе Honor X30 представлены две ...

BlackBerry продала свои патенты за $600 млн патентному тролю Канадский производитель смартфонов BlackBerry продал свои унаследованные патенты на мобильные технологии компании Catapult IP Innovations Inc. за $600 млн.BlackBerry сообщает, что продажа включает в себя все ее непрофильные патентные активы. Этот шаг со стороны BlackBerry мо...

Intel отключает поддержку AVX-512 в процессорах Alder Lake Intel отключает поддержку AVX-512 в процессорах Alder Lake. Возможно, это связано с подготовкой к выпуску процессоров серии Core «W», которые могут заменить процессоры серии Xeon-W для Intel. Следует отметить, что это не слухи, поскольку есть официальное заявление по этому п...

Встроенная графика процессоров Intel Meteor Lake может производиться по 3-нм техпроцессу TSMC По слухам, процессоры объединят в себе три разные производственные технологии.

Обновление Intel улучшает качество Wi-Fi и Bluetooth в Windows 11, Windows 10 Intel опубликовала новый набор драйверов для Windows 10 и Windows 11. Драйверы были опубликованы ранее на этой неделе и только что начали распространяться среди пользователей в фоновом режиме. Основное внимание в новом выпуске уделяется качеству беспроводной сети. В отличие ...

Начались продажи камеры Leica Q2 Monochrom Reporter Как и ожидалось, компания Leica объявила о начале продаж камеры Q2 Monochrom Reporter. Напомним, эта модель была представлена в декабре прошлого года. Тогда производитель пообещал, что в продаже она появится в марте 2022 года. В новом пресс-релизе, датированном 3 марта,...

Появились первые подробности о смартфоне Samsung Galaxy S23 Хотя до выпуска смартфонов серии Samsung Galaxy S23 еще очень далеко, в Сеть уже начали проникать первые подробности о них.Согласно свежим данным, южнокорейская компания разрабатывает новый смартфон, который имеет кодовое название Project Diamond, ну а на рынок устройство вы...

Google официально переносит Android игры на Windows В последнее время граница между смартфонами и компьютерами стирается все больше и больше. Причем дело даже не в том, что телефоны догоняют ПК: становятся мощнее, позволяют выполнять какие-то сложные задачи вроде видеомонтажа или серьезных игр. Скорее наоборот, настольные оп...

Вышел POCO M4 Pro 5G с мощным чипом MediaTek, хорошими камерами и зарядкой на 33 Вт Во вторник, 9 ноября, бренд POCO, принадлежащий китайской компании Xiaomi, провёл онлайн-мероприятие, посвящённое анонсу POCO M4 Pro 5G. Это последняя новинка POCO, выпущенная в этом году. Все остальные готовящиеся продукты увидят свет уже в следующем году. POCO M4 Pro 5G, к...

Условно потребительские CPU Intel с TDP до 400 Вт. Новые процессоры класса HEDT выйдут в конце года Похоже, хоть каких-то новых CPU Intel класса HEDT придётся ждать ещё долго.  Пока Intel успешно борется с AMD своими новыми процессорами Alder Lake в настольном сегменте и готовится делать то же в мобильном, один небольшой сегмент рынка компания давно забросила.&n...

Samsung проиграет на рынке складных устройств, чем Galaxy Z Fold3 оказался хуже Galaxy Z Fold2. Мнение известного инсайдера Ice Universe Авторитетный инсайдер Ice Universe, который часто публикует точную информацию о новинках Samsung, раскритиковал южнокорейскую компанию и предсказал её проигрsыш на рынке складных смартфонов. Если Samsung не устранит складку на экране, я не буду покупать Galaxy Z Fo...

iPhone 13 будет массово производиться в Индии с февраля 2022 года Компания Apple производит iPhone на местном уровне в Индии уже несколько лет. Сегодня издание The Economic Times сообщило, что с февраля 2022 года она начнет массовое производство iPhone 13 в этой азиатской стране.В публикации утверждается, что Apple обеспечила поставку полу...

Сама Intel не справится? Плитку с GPU для процессоров Meteor Lake будет производить TSMC Несмотря на обновление своей дорожной карты, смену названий техпроцессов и обещания через несколько лет догнать и обогнать TSMC и Samsung, компания Intel, похоже, готовится передать производство определённой продукции как раз TSMC.  Как утверждает источник, TSMC м...

Faraday Future приступила к выпуску предсерийных электромобилей FF 91 Пытавшаяся около восьми лет назад примерить на себя статус «убийцы Tesla» американская компания Faraday Future прошла тернистый путь к началу выпуска предсерийных электромобилей, и только в этом месяце первый экземпляр кроссовера FF 91 сошёл с конвейера предприятия в Калифор...

Материализованные представления и ReplacingMergeTree в ClickHouse В этой статье будут описаны подводные камни, на которые я натыкался при использовании одновременно материализованных представлений и движка ReplacingMergeTree в ClickHouse (далее CH). Для опытных пользователей CH эта информация возможно будет уже не новой, но надею...

«Кама» может стать самым массовым электромобилем в России: произодитель планирует выпускать 450 тыс. машин в год Стартап по выпуску электромобилей «Кама», принадлежащий Рубену Варданяну и гендиректору «Камаза» Сергею Когогину, планирует к 2030 году производство более 450 тыс. электромобилей на ежегодной основе, о чем сообщают «Ведомости». Поэтом...

Первые сведения о AMD Ryzen 7000 появился в Сети На выставке CES компания AMD анонсировала выпуск нового поколения процессоров Ryzen 7000 уже на этот год. И первые утечки не заставили себя долго ждать.

Первые реалистичные изображения Samsung Galaxy S23 с камерой под экраном Китайский инсайдер Ice Universe, который слил массу достоверной информации о смартфонах линейки Galaxy S22, опубликовал первые компьютерные изображения Galaxy S23. Похоже, инсайдер просто взял изображения Galaxy S22 убрал отверстия для фронтальной камеры. Стоит отметить...

Valve Proton добавила ​​поддержку NVIDIA DLSS Valve недавно протестировала поддержку NVIDIA DLSS для своего уровня совместимости с Proton Windows для Linux с экспериментальной версией, доступной в 6.3-7 и теперь полностью поддерживаемой в версии 6.3-8. Это последнее дополнение к Proton позволит геймерам NVIDIA Linux в п...

Tesla отзывает тысячи электромобилей Model 3 и Model Y из-за проблем с подвеской По сообщениям сетевых источников, американский производитель электромобилей Tesla отзывает несколько тысяч авто Model 3 и Model Y из-за проблем с подвеской. Согласно имеющимся данным, в общей сложности это касается 2822 электромобилей Model 3, выпущенных в период с 2019 по 2...

Hisense представляет уникальную технологию производства чипов на основе ИИ-технологий Hisense представляет уникальную технологию производства чипов на основе ИИ-технологий для улучшения качества изображений на уровне 8K; разработки компании способствуют развитию мировой индустрии дисплеев ЦИНДАО (Китай), 18 февраля 2022 г. /PRNewswire/ — Компания Hisens...

Даже новые процессоры Intel таким похвастаться не могут. Игровой CPU Ryzen 7 5800X3D с огромным кэшем выйдет весной Мы уже узнали фактически всё о мобильных APU Ryzen 6000 перед официальным анонсом, а теперь в Сеть попали материалы, касающиеся настольных новинок.   В данном случае тут говорится о той самой технологии 3D V-Cache, которую компания уже представила для серверных CPU...

Не стоит ждать автомобиля Apple в ближайшие годы. Компания в следующем году лишь получит готовые чипы для автомобильных функций автопилота В Сети появились новые подробности об автомобиле Apple Car. Как утверждает источник, купертинский гигант сотрудничает с южнокорейской компанией, которая в рамках проекта занимается сборкой, упаковкой и тестированием чипов. В данном случае речь идёт о чипе, ответственном...

США не даст китайским компаниям существенно увеличить присутствие на рынке контрактного производства полупроводниковой продукции Специалисты аналитической компании IC Insights, прогнозирующие, что в этом году рынок контрактного производства полупроводниковой продукции вырастет на 20%, отдельно рассмотрели ситуацию с размещением соответствующих производств и перспективы китайских компаний. По подс...

Huawei анонсировала выход на международный рынок мобильного компьютера 2-в-1 MateBook E на базе Intel Tiger Lake В рамках выставки MWC 2022 компания Huawei анонсировала для международного рынка мобильный компьютер 2-в-1 MateBook E на Windows 11. Изначальный анонс устройства, оснащающегося процессорами Intel Tiger Lake состоялся в Китае осенью прошлого года. Источник изображений: Huawei...

iPhone 15 Pro получит 5-кратный перископический зум-объектив Смартфоны серии iPhone 14 будут представлены в этом году. Тем не менее, сегодня появились интересные новости об iPhone 15 Pro, выпуск которого запланирован на следующий год.По информации издания 9To5Mac со ссылкой на аналитика Джефф Пу, гигант из Купертино, по-видимому, веде...

По подсчетам IDC, поставки носимых устройств за год выросли на 9,9% Специалисты аналитической компании IDC подготовили отчет за третий квартал 2021 года, относящийся к рынку носимых электронных устройств. Если верить их подсчетам, поставки этих устройств в годовом выражении выросли на 9,9%. В абсолютном выражении они достигли 138,4 млн ...

Появились первые результаты тестирования мобильных GeForce RTX 2050, GeForce MX550 и MX570 в 3DMark TimeSpy Китайские инсайдеры поделились первыми результатами тестирования производительности мобильных видеокарт GeForce RTX 2050, GeForce MX550 и MX570, которые компания NVIDIA вчера формально анонсировала. Предсерийные образцы ускорителей тестировались в приложении 3DMark TimeSpy. ...

Такие разные Samsung Galaxy S22: смартфоны в 10 уникальных защитных чехлах показали на фото Официальный анонс смартфонов серии Samsung Galaxy S22, однако южнокорейскому производителю не удалось удержать их в секрете, поскольку вся информация о новинках уже просочилась в Сеть. Кроме того, производители чехлов теперь начали размещать чехлы для Galaxy S22 на разл...

Microsoft готовит упрощённую Windows 11 SE для дешёвых ноутбуков Компания Microsoft сейчас готовится к выпуску фирменного недорогого ноутбука серии Microsoft Surface, ориентированного на конкуренцию с хромбуками на основе ChromeOS. И специально для таких ноутбуков создаётся упрощённая версия операционной системы — Windows 11 SE...

Qualcomm представила процессор Snapdragon 8cx Gen для ARM-ноутбуков Три года назад Qualcomm представила процессор Snapdragon 8cx, который был специально разработан для использования в ноутбуках под управлением Windows on ARM. Однако дальнейшее развитие идёт весьма медленно. За эти годы мы увидели несколько итераций Snapdragon 8cx, в том чис...

Флагманский планшет Samsung Galaxy Tab S8 отложили, но Samsung Galaxy S22 не пострадал из-за новой вспышки коронавируса на заводе Samsung Как сообщает Letsgodigital, из-за новой волны пандемии коронавируса на заводе Samsung южнокорейский гигант отложит выпуск линейки флагманских планшетов Samsung Galaxy Tab S8. Предположительно, одиннадцать сотрудников на заводе Samsung в Сувоне, Южная Корея, заболели кор...

Компания TSMC представила техпроцесс N4P Компания TSMC представила процесс N4P, являющийся усовершенствованием 5-нанометровой технологической платформы, оптимизированным по критерию производительности. Новый техпроцесс добавлен к N5, N4, N3. По словам производителя, выбор между ними дает клиентам возможность о...

Игровые видеокарты Intel Arc откладываются. И, вероятно, надолго Многие ждали анонс видеокарт Intel Arc Alchemist на базе графического процессора DG2 на выставке CES 2022, однако этого не случилось. Да, Intel рассказала, что партнеры (в их числе Asus, MSI, Gigabyte, Acer, Samsung, HP, Dell, Haier, Clevo) получили тестовые образцы 3D-...

Использование ИС MIPI D-PHY(SM) Arasan как передатчика или приемника экономит площади и энергию Компания Arasan Chip Systems, являющаяся ведущим поставщиком комплексных решений Total IPTM для современных систем на кристалле (SoC), объявила о возможности немедленного приобретения своих автономных сверхмаломощных ИС MIPI D-PHYSM в качестве только передающих (Tx...

Глава Tesla Илон Маск расскажет о новых планах компании 26 января Предварительные итоги четвёртого квартала уже позволяют говорить о рекордных объёмах поставок электромобилей Tesla в минувшем периоде, но подробный отчёт компания опубликует только 26 января, как стало известно на этой неделе. Тогда же на профильном мероприятии выступит Илон...

Бесплатно увеличить производительность видеокарт Intel Arc можно будет лишь летом. «Магическая» технология XeSS станет доступна в конце второго кваратла Компания Intel представила вчера свои первые видеокарты Arc, пусть пока лишь только для мобильного сегмента. Кроме прочего, эти видеокарты поддерживают технологию суперсемплинга Intel XeSS.   К сожалению, XeSS не будет доступна пользователям до начала лета. Впроче...

Olympus рассматривает возможность продажи подразделения, занятого выпуском научного оборудования и приборов Ссылаясь на издание Nikkei, источник утверждает, что компания Olympus рассматривает возможность продажи своего бизнеса, связанного с выпуском научного оборудования и приборов. Как утверждается, сама компания еще 5-го числа объявила, что рассмотрит возможность продажи ...

Графика консольного качества в смартфоне: Samsung создала целый мультфильм в честь новой SoC Exynos Компания Samsung опубликовала короткометражный анимационный фильм, посвящённый новой однокристальной системе семейства Exynos. Предположительно, речь идёт о модели Exynos 2200, которая дебютирует в смартфонах Samsung Galaxy S22. По сюжету, мальчик продаёт свою игровую к...

Huawei подтвердила, что выпустит еще один крутой флагман Помните времена, когда каждый новый телефон Huawei вызывал огромное количество интереса? Иногда его даже было больше, чем к очередному iPhone. Но времена поменялись, против компании ввели санкции, а мы остались без топового производителя. Но китайская компания не сдавалась ...

Snapdragon 8 Gen 2 выйдет уже в мае следующего года Чуть больше двух недель назад состоялась премьера нового поколения флагманского чипа — Snapdragon 8 Gen 1. Новая платформа создана с использованием 4 нм техпроцесса и работает на новой архитектуре Armv9. Его производством занимается Samsung и будет делать это до мая или июня...

Как подключить SSD к шине PCIe 5.0. MSI показала плату расширения M.2 Expander-Z и говорит о пропускной способности в 128 ГБ/с Компания MSI раскрыла подробности о своём новом продукте. Называется он M.2 Expander-Z, и представляет собой плату расширения с интерфейсом PCIe 5.0 для установки SSD.  Что важно, так это наличие лишь одного слота для установки накопителя ...

Новый бум производства чипов «made in America» Производители потребительской электроники уже год страдают от растущего дефицита чипов. Во время пандемии возрос спрос на смартфоны, ноутбуки, настольные компьютеры, «умные» телевизоры и устройства, подключенные к интернету. Нехватка компьютерных микросхем больно ударила по...

Samsung переведёт свои смартфоны на новую ОС В мае инсайдер сообщил, что в будущем Samsung может перейти на операционную систему Google Fuchsia — в то время не было ясно, планирует ли южнокорейский гигант использовать платформу в качестве операционной системы для своих смартфонов. А сегодня аналитик Дохён Ким сказал, ч...

BMW лишит автомобили сенсорных дисплеев Глобальная нехватка микросхем за последние несколько месяцев затронула абсолютно все отрасли производства. И автопроизводители относятся к числу тех, кто ясно почувствовал удар со стороны дефицита, поскольку многие из крупнейших автоконцернов замедляют производство автомобил...

Неожиданный конкурент Nvidia и AMD. Китайцы из Innoslicon представили игровую видеокарту с 32 ГБ памяти GDDR6X Пока Intel только собирается вернуться на рынок дискретных видеокарт со своей линейкой Arc, китайская компания Innoslicon это уже сделала. Она представила на домашнем рынке готовые решения на базе собственного GPU, причем эти решения вполне себе игровые, так как за...

Apple может представить новые MacBook Pro 8 марта Ходят слухи, что Apple готовится провести свое первое мероприятие в этом году в следующем месяце.Сейчас же появились данные о том, что технологический гигант представит MacBook Pro следующего поколения в рамках ближайшего своего мероприятия.Сообщается, что речь о MacBook Pro...

Samsung планирует поставить 334 миллиона смартфонов в 2022 году Южнокорейский технологический гигант поставил перед собой цель отгрузить 334 миллиона единиц смартфонов по всему миру в 2022 году.Согласно плану Samsung, компания готовится к производству 285 млн смартфонов собственными силами, одновременно передавая производство 49 млн един...

AMD Ryzen 7 5800X3D будет доступен к концу марта Новые процессоры AMD Ryzen 7 5800X3D начали отгружать с заводов. Надежный источник информации, заявляет, что 5800X3D отгружены, и первая розничная партия этих процессоров может появиться на рынках к концу месяца (конец марта 2022 года). 5800X3D — первый клиентский процессор ...

Как модели Volkswagen предпочитают россияне: в 2021 в России выпущено более 170 тыс. автомобилей компании В прошлом году Калужский завод Volkswagen Group Rus выпустил 118 тыс. автомобилей, что на 5% меньше, чем годом ранее. А на производственной площадке Volkswagen Group Rus в Нижнем Новгороде было собрано 52,3 тыс. автомобилей, что на 10% меньше, чем годом ранее. Бестселле...

Motorola стала третьим по величине брендом смартфонов в США Рынок США приветствует нового лидера в индустрии смартфонов, поскольку Motorola стала третьим по величине брендом смартфонов в стране. Motorola в настоящее время принадлежит Lenovo, и компании удалось занять 3-е место, что более чем вдвое превышает рост в США за последний го...

Xiaomi будет производить по 300 тысяч автомобилей в год Xiaomi объявила о своих планах по выпуску электромобилей только в марте, но у нее уже есть грандиозные амбиции в этом направлении — впрочем, как и в любом из сегментов, куда производитель заходит. По данным информационного издания Reuters, агентство экономического развития B...

Volvo и Northvolt построят в Швеции крупный завод электромобильных аккумуляторов Компании Volvo и Northvolt объявили о намерении возвести в шведском Гётеборге крупный завод по производству аккумуляторов для электромобилей — его строительство должно стартовать в 2023 году. Суммарная ёмкость выпускаемых предприятием батарей составит до 50 ГВт·ч в год, чего...

Microsoft может представить Windows 11 SE уже 9 ноября В последнее время вновь появились слухи о скором выпуске облегченной версии Windows 11 – Windows 11 SE. Напомним, эта ОС засветилась еще в середине июня, а сейчас появилась предполагаемая дата ее анонса: программную новинку могут представить 9 ноября. На...

Nvidia «разбудила» таинственный сопроцессор, который «спал» в GPU компании в течение нескольких лет. Новый драйвер активировал модуль GSP Компания Nvidia активировала в некоторых графических процессорах блок, который присутствовал там на протяжении нескольких лет, но был неактивен.  Речь о блоке GPU System Processor (GSP) на архитектуре RISC-V, который в своё время пришёл на смену Fast Logic Control...

Black Shark 5 официально представят 30 марта Серия Black Shark 5 появилась на TENAA и 3C в прошлом месяце и игровой бренд наконец-то назначил дату выпуска телефона. Запуск состоится 30 марта в 19:00 по местному времени Китая. Ожидается, что компания представит два телефона — Black Shark 5 и Black Shark 5 Pro. Старша...

Сверхтонкий бюджтено-премиальный ноутбук со старым двухъядерным CPU. Представлен Chuwi Corebook X 2022 Компания Chuwi представила ноутбук Corebook X 2022, который можно назвать премиальным бюджетником. Суть в том, что он стоит всего 500 евро, но при этом предлагает ряд особенностей, присущих в разы более дорогим моделям.  К примеру, тут тонкий алюминиевый корпус и ...

LG Display разрабатывает дисплеи OLED размером 11 и 12,9 дюйма с двухстековой структурой, предназначенные для будущих планшетов Apple iPad В ноябре 2021 года появилась предварительная информация, что LG Display разработает для планшетов Apple iPad новый дисплей с двухстековой структурой OLED и управляющими цепями, изготавливаемыми по технологии LTPO. Тогда утверждалось, что этот дисплей, поддерживающий час...

Бета-версия WhatsApp UWP теперь использует собственные элементы управления Windows 11 Бета-версия WhatsApp UWP уже некоторое время доступна для загрузки, и похоже, что она получает новое обновление с функциями дизайна Windows 11. В отличие от настольной версии WhatsApp или веб-версии WhatsApp, версия UWP основана на WinUI и XAML, а ее масштабируемый интерфейс...

Илон Маск рассказал об «идиотизме» Tesla: решение об остановке производства оригинальной версии Model X было неверным Глава компании Tesla Илон Маск признал, что решение остановить производство оригинальной версии Model X после анонса обновленного автомобиля было в корне неверным Было идиотизмом прекращать производство оригинальной версии Model X в декабре 2020 года, когда спрос на авт...

Новое поколение Intel Raptor Lake-S будет поддерживать платформы DDR4 С запуском поколения процессоров Intel Alder Lake платформа объединяет поддержку двух различных стандартов памяти DDR: DDR4 и DDR5. Хотя есть материнские платы, которые предлагают последний стандарт DDR5, есть платы, которые предоставляют пользователям возможность использова...

LD Systems® запускает кампанию «Your Sound. Our Mission.» («Ваш звук. Наша миссия») Немецкий производитель и дистрибьютор профессиональной аудиопродукции LD Systems® расширяет свою деятельность в Северной Америке, в очередной раз подтверждая свою приверженность сотрудничеству с диджеями и музыкантами, работающими на мобильных устройствах, в рамках новой кам...

В линейку G.Skill Trident Z5 DDR5 вошли модули памяти DDR5-6400, работающие с задержками CL32 Компания G.Skill объявила о выпуске модулей памяти DDR5-6400, которые вошли в серии Trident Z5 и Trident Z5 RGB. Эти модули работают при напряжении питания 1,4 В с задержками CL32-39-39-102. Они предложены комплектами по две штуки суммарным объемом 32 ГБ. Эти модули рас...

Седан Volkswagen Passat покидает российский рынок: заказы уже прекратились Компания Volkswagen прекратила прием заказов в России на модель Passat в кузове седан, который будет снят с производства. В начале этого года компания Volkswagen завершила выпуск седана Volkswagen Passat для европейского рынка, но до этого его ещё можно было заказать в ...

Intel наконец-то полноценно представила свои, возможно, лучшие процессоры за много лет. Вся линейка Alder Lake полностью раскрыта Компания Intel наконец-то представила вторую и более объёмную часть линейки процессоров Alder Lake. Если пару месяцев назад компания показала и затем выпустила, по сути, лишь три настольных CPU, то теперь полноценно представлена вся остальная линейка настольных процессо...

Контроллеры питания в следующем полугодии подорожают на 10% Согласно последним исследованиям TrendForce, из-за нехватки полупроводниковой продукции цены на микросхемы контроллеров питания (PMIC) продолжают расти. Аналитики прогнозируют, что средняя цена продажи PMIC в первом полугодии будущего года вырастет почти на 10%, достигн...

Раскрыты первые подробности о процессорах Intel 2023 года Источники сообщили, что процессоры Intel Core 14-го поколения под кодовым названием Meteor Lake будут использовать 3-нм техпроцесс TSMC и не только его.

Европейский рынок смартфонов в значительной степени оправился от последствий пандемии COVID-19 Специалисты аналитической компании Counterpoint Research подвели итоги третьего квартала на европейском рынке смартфонов. По их словам, он в значительной степени оправился от последствий пандемии COVID-19, хотя на продажи в настоящее время влияет продолжающаяся нехватка...

AMD откусила изрядный кусок рынка процессоров у Intel. Сейчас AMD занимает рекордную для себя долю Аналитики Mercury Research оценили рынок процессоров по итогам прошлого квартала. И оказалось, что AMD установила очередной рекорд, заняв максимальную в своей истории долю.  Речь о 25,6% рынка CPU. Для сравнения, кварталом ранее доля компании составляла 24,6%, а г...

Riot Games начинает тестирование Valorant для Android В последние годы крупнейшие шутеры для ПК и консолей также выпустили мобильные аналоги, что значительно расширило аудиторию этих игр на некоторых рынках. Как и Call of Duty, Fortnite, PUBG, Battlefield и другие, Valorant скоро будет доступна на устройствах iOS и Android. Rio...

Klevv анонсирует выпуск модулей памяти DDR5 двух серий Компания Essencore представила под маркой Klevv модули памяти DDR5 двух серий. В одну из них вошли «обычные» модули, а в другую — «игровые», внешне отличающиеся наличием радиаторов и подсветки RGB. Первую серию откроют модули U-DIMM для на...

Ноутбуки Acer Nitro 5 на процессорах Core i7-12700H и i5-12500H замечены на сайте французского магазина Источник обнаружил на сайте PC21.fr три ноутбука на процессорах Intel Core 12-го поколения (Alder Lake). В конфигурации одного из них указана мобильная видеокарта GeForce RTX 3070 Ti. Этот игровой ноутбук Acer Nitro AN515-58 построен на 14-ядерном процессоре Intel Core ...

Российский производитель майонеза представил беспилотное аэротакси. Разработка стоила более 1 млрд рублей ГК «Эфко», производитель майонеза «Слобода», представила прототип собственного беспилотного аэротакси под названием Hi-Fly Taxi, который позволяет совершать полёты на расстояние до 15 км и перевозить грузы массой до 120 кг. Hi-Fly Taxi оснащён 16...

Honor Magic Fold и Honor Magic Wing — такие смартфоны готовит к выпуску компания Honor Компания Honor имеет амбициозные планы по выходу в лидеры рынка смартфонов. Недавно компания стала третьим по величине брендом смартфонов в Китае, обойдя Xiaomi и других именитых производителей. Теперь компания готовится к выпуску своего первого складного смартфона, кот...

Samsung поможет решить дефицит микросхем: новый завод стоимостью 17 млрд долларов, скорее всего, построят в Техасе Хотя южнокорейский технологический гигант Samsung Electronics Co заявил, что не принял окончательного решения о местонахождении нового завода по производству микросхем стоимостью 17 миллиардов долларов, компания давно подтвердила, что он будет расположен в США. Ран...

Смартфоны на топовых платформах MediaTek следующего поколения подорожают, но есть и хорошая новость Уже в конце ноября Qualcomm официально представит однокристальную платформу Snapdragon 898 для топовых смартфонов следующего года, а в начале 2022 года своими флагманскими однокристальными системами ответит MediaTek. Увы, в сравнении с нынешними смартфонами на базе Medi...

Новый Mac Pro завершит переход на Apple Silicon в этом год, но не получит Apple M2 Инсайдер, известный под ником DylanDKT, который в прошлом точно предсказал выпуск iPad Pro на базе однокристальной системы Apple M1, поделился информацией о следующем поколении Mac Pro. Сообщается, что Apple завершит переход на свою линейку Apple Silicon к четвертому кв...

Microsoft объясняет, почему некоторые обновления драйверов выпущены задним числом для Windows 11/10 Если вы часто проверяете наличие обновлений в Windows 11 или Windows 10, возможно, вы заметили наличие старых драйверов и даже неработающих драйверов в разделе Дополнительных обновлений. В последние несколько лет пользователи получали обновления драйверов, помеченные как «IN...

Проблемы на производстве дисплеев для iPhone: пока пострадала только BOE Согласно сообщению The Elec, BOE сталкивается с проблемами при производстве OLED-панелей для iPhone из-за продолжающейся глобальной нехватки чипов. Источник добавляет, что проблемы уже начались и продолжатся в следующем месяце. LG Semicon, которая поставляет драйверы ди...

Складной смартфон Huawei Mate X3 поступит в продажу в конце этого месяца Компания Huawei была одной из первых, выпустивших складной смартфон. На данный момент у нее уже есть несколько устройств, доступных на рынке. Сейчас она готовится к выпуску третьего поколения своего складного смартфона.Согласно последнему отчету, Huawei, как ожидается, предс...

Redmi Watch 2 Lite появился в Европе за 69,99 евро Суббренд Redmi объявил о выпуске Watch 2 Lite еще в ноябре и теперь устройство наконец-то доступно для покупки в Европе в официальном магазине Mi. Однако он пока не доступен во всех странах - прямо сейчас вы можете приобрести его в магазине Mi в Германии. Стоимость Redmi Wat...

Samsung может отказаться от собственных процессоров в будущих смартфонах Нескольким ранее представители южнокорейской компании Samsung сообщили о том, что планируют увеличить производственные мощности и оборудовать фирменными процессорами Exynos более половины своих гаджетов. Источники же утверждают, что задуманному не дано осуществиться.

ВЗПП-Микрон внедряет бережливое производство ВЗПП-Микрон по итогам активной фазы национального проекта «Производительность труда» сократил производственный цикл на пилотном участке на 10%, увеличил объем выпуска полупроводниковых кристаллов на 10%. Участие в проекте ...

Аналитики TrendForce прогнозируют, что продажи крупногабаритных дисплеев micro-LED в 2026 году достигнут 4,5 млрд долларов Если верить последнему исследованию TrendForce, в ближайшие годы крупногабаритные дисплеи micro-LED будут ориентированы на рынок домашних кинотеатров и коммерческих экранов высокого класса, а доход от их продажи в текущем году составит 54 млн долларов. Ожидается, что к ...

Samsung Exynos теряет долю рынка Samsung явно теряет свою долю на рынке мобильных процессоров. Популярность чипсетов Exynos упала, поскольку MediaTek продолжает доминировать на рынке. Согласно отчету, процессоры Exynos южнокорейского технологического гиганта опустились на пятую позицию с мизерной долей рынк...

Colorful и ASUS подтвердили характеристики грядущих процессоров Intel Alder Lake-S Производители материнских плат Colorful и ASUS опубликовали на своих сайтах характеристики грядущих настольных 65- и 35-ваттных процессоров Intel Core 12-го поколения с кодовым именем Alder Lake-S. Речь идёт о моделях без суффикса «K» в названиях, то есть без возможности раз...

Начались продажи базовых комплектов для сборки мини-ПК Shuttle XPC Barebone DL20N и DL20N6 на процессорах Jasper Lake Компания Shuttle, специализирующаяся на выпуске мини-ПК и базовых комплектов для сборки мини-ПК, объявила о начале продаж двух новинок, относящихся к последней категории. Предварительная информация о них появилась еще весной. Это модели XPC Barebone DL20N и DL20N6 в кор...

Intel только успела догнать AMD по количеству ядер в процессорах, как последняя снова собирается наращивать их количество в своих будущих CPU Компания AMD снова развернёт войну на процессорном рынке посредством наращивания количества ядер. Мы уже говорили о 128-ядерных серверных CPU, были слухи о 32-ядерных настольных Ryzen, а теперь пришёл черёд мобильных.  Источник утверждает, что мобильные проце...

Так выглядят самые сложные и большие процессоры Intel 2022 года. Появились подробности о CPU Sapphire Rapids В этом году компания Intel выпустит серверные процессоры Sapphire Rapids, основанные на 10-нанометровом техпроцессе и архитектуре Golden Cove.  Мы давно знаем, что эти CPU будут многочиповыми. Если точнее, они будут содержать четыре чипа, которые сама Intel назыв...

Компания Intel заказала у ASML первую в отрасли систему Twinscan EXE:5200 Компании ASML и Intel объявили о новом этапе многолетнего сотрудничества, направленного на продвижение передовых технологий полупроводниковой литографии. Компания Intel заказала у ASML первую в отрасли систему TWINSCAN EXE:5200 — крупносерийную производственную си...

Новый iPad Pro с чипом Apple M2 появится осенью 2022 года В последнем отчете из Bloomberg, появилась более подробная информация о грядущем iPad Pro. Там говорится, что планшет будет оснащен чипом Apple M2, он также будет поддерживать MagSafe, что сделает его первым планшетом Apple с беспроводной зарядкой. Новый чип M2 будет имет...

Redmi 9A начал получать стабильную версию MIUI 12.5 Enhanced Edition на базе Android 11 Бюджетный смартфон Redmi 9A начал получать крупное обновление ОС, представляющее собой стабильную версию Global MIUI 12.5 Enhanced Edition на базе Android 11, которая обеспечивает высокую производительность и увеличивает время автономной работы на одном заряде.Новое обновлен...

Крупнейший завод Tesla остановился Американский производитель электромобилей Tesla приостановил производство на заводе в Китае до 1 апреля из-за введенного в Шанхае карантина. Та часть города, где находится предприятие Tesla, была закрыта в связи с ростом числа случаев заболеваемости коронавирусом. Завод...

Игровой ноутбук, но не на Windows? Похоже, вскоре на рынке появятся геймерские хромбуки Хромбуки постепенно становятся всё более популярными, хотя в основном их использование связано с обучением. Но, возможно, в скором времени на рынке появятся игровые хромбуки.  На это косвенно указывает тот факт, что Google работает над внедрением в Chrome OS подде...

Samsung Galaxy S21 FE против Xiaomi 12: какой смартфон стоит выбрать? После череды задержек, связанных с дефицитом электронных компонентов, 3 января южнокорейская компания Samsung выпустила долгожданный смартфон Galaxy S21 FE, запуск которого должен был состояться ещё в прошлом году. И новинка, которая должна была стать одним из главных соперн...

Аналитики Counterpoint назвали крупнейшего производителя полупроводниковой продукции по итогам 2021 года Специалисты аналитической компании Counterpoint подвели итоги 2021 года на рынке полупроводниковой продукции. По их словам, отрасль «претерпела значительные структурные изменения» вследствие противостояния США и Китая, а общий объем рынка существенно вырос. ...

Rivian построит в США свой второй завод по производству электромобилей Поддерживаемый компанией Amazon производитель электромобилей Rivian Automotive в конце минувшей            недели заявил, что построит завод стоимостью 5 млрд долларов в Джорджии. Это будет второй сборочный завод Ri...

Капиталовложения в полупроводниковой отрасли в этом году вырастут на 24% и впервые превысят 190 млрд долларов Специалисты аналитической компании IC Insights прогнозируют, что после роста на 36% в 2021 году капитальные расходы в полупроводниковой промышленности в 2022 году вырастут на 24%. В результате будет достигнут новый исторический максимум этого показателя. Говоря  то...

Специалисты IC Insights назвали настоящую причину нехватки микросхем в автомобильной промышленности После нескольких лет умеренного роста поставщики полупроводниковой продукции были ошеломлены резким скачком спроса на микросхемы для автомобильной электроники в 2021 году. Возник дефицит, из-за которого в некоторых случаях производителями приходилось останавливать выпус...

Слухи: Volkswagen хочет купить подразделение Huawei по разработке автопилота Активность китайского гиганта Huawei Technologies на автомобильном рынке до сих пор сводилась к сотрудничеству с партнёрами. Совместно с американо-китайской маркой Seres, например, Huawei разрабатывает гибридные автомобили с фирменной операционной системой HarmonyOS. При это...

Названо преимущество Oppo Find X5 со Snapdragon 8 Gen 1: он получит MariSilicon X NPU Различные производители смартфонов готовятся выпустить свои новейшие телефоны флагманского класса в начале нового года. У нас появилась интересная информация о грядущей серии Oppo Find X5. Известный сетевой инсайдер Digital Chat Station сообщает, что серия Oppo Find X5 ...

Теперь не только Made in China. iPhone 13 начали выпускать в Индии Компания Apple сегодня сообщила о том, что производство iPhone 13 началось в Индии – на заводе традиционного партнера американской компании, Foxconn, расположенном в окрестностях города Ченнаи. Надо сказать, что Apple уже давно производит iPhone в Индии: еще в 201...

Патентный тролль купил у BlackBerry патенты по мобильным технологиям на $600 миллионов Канадский производитель смартфонов BlackBerry продал свои патенты на технологии мобильной связи компании Catapult IP Innovations Inc. за $600 миллионов. BlackBerry заявила, что сделка включает в себя все её непрофильные интеллектуальные активы. Источник изображения: BlackBer...

Японские власти готовят законодательную базу для субсидирования отечественной полупроводниковой промышленности В следующем году на западе Японии начнётся строительство предприятия TSMC, которое сосредоточится на производстве 22-нм и 28-нм продукции преимущественно для нужд местных заказчиков. Власти страны разрабатывают законы, которые позволят им на долгосрочной основе субсидировать...

Выпущены промышленные компьютеры OnLogic Raspberry Pi на базе Pi Компания OnLogic, мировой производитель промышленных компьютеров объявила о выпуске новейших устройств на базе Factor 201 Raspberry Pi. Оба устройства работают на вычислительном модуле Raspberry Pi Compute Module 4, который был разработан специально для промышленных продукто...

ЗАЗ может начать выпуск совершенно нового Kia Carens 2022 Как сообщает издание Акцент со ссылкой на пресс-службу компании Kia, в 2022 году на Запорожском автомобилестроительном заводе может начаться выпуск совершенно нового кроссовера Kia Carens 2022, который был представлен на прошлой неделе. Напомним, автомобиль получил новы...

Apple отложила складной iPhone, но подумывает о 20-дюймовом MacBook Компания Apple, судя по всему, отложила выпуск складного смартфона с гибким экраном до 2025 года, но теперь также рассматривает возможность выпуска складного ноутбука.  Об этом сообщил авторитетный аналитик Росс Янг (Ross Young), основатель исследовательских компа...

Компания LG разработала технологию биометрического распознавания для включения зажигания без ключа По сообщению источника, компания LG Electronics разработала новую технологию биометрического распознавания, которая позволяет владельцам автомобилей включать зажигание без использования ключа. Система включает несколько камер и срабатывает, анализируя выражение лица и д...

В России представлен титановый ноутбук на отечественном «Байкале-М» Омская компания «Промобит» рассказала о ноутбуке на основе отечественного процессора «Байкал-М» - Bitblaze Titan BM15. Модель Bitblaze Titan BM15 получит 15,6-дюймовый экран с матрицей IPS разрешением 1920х1080 пикселей, 8-ядерный процессор &laq...

На заводе по производству Lada Vesta FL установили пять новых роботов для повышения производительности прессовой линии Компания ABB оборудовала своими промышленными роботами обновленную прессовую линию на Lada Ижевском автомобильном заводе Группы АвтоВАЗ, где выпускают автомобили семейства Lada Vesta и Vesta  FL. Пять роботов IRB 760FX позволяют значительно увеличить производительн...

Флагманские продукты Rockchip на базе чипов RK3588 AIoT скоро выйдут на рынок В этом месяце Rockchip объявила, что новые продукты, оснащенные новейшим флагманским чипсетом AIoT серии RK3588, скоро будут запущены в массовое производство. Еще в 2020 году компания анонсировала новый набор микросхем серии RK3588, который является флагманским процессором д...

Вот почему значимость 5G сильно преувеличена Заметили, что в последнее время как-то мало стали говорить о сетях пятого поколения? Сначала их все ждали, потом испугались и начали сжигать вышки, а теперь кажется, что вообще забыли о них. Кажется, что последний активностью были попытки производителей телефонов включать ”...

Продажи на крупнейшем рынке смартфонов упали на 9% Компания Counterpoint Research опубликовала статистику за третий квартал, отражающую ситуацию на крупнейшем в мире рынке смартфонов — китайском. Подсчеты показывают, что продажи смартфонов в Китае упали в годовом выражении на 9%. Всего за три месяца с июля по сент...

Это будет хит. Redmi готовит планшет Redmi Pad 5G Судя по данным, опубликованным источником, в линейке Redmi скоро появится планшетный компьютер. Учитывая, насколько популярными оказались Xiaomi Pad 5 и Pad 5 Pro, можно не сомневаться в хитовом статусе перспективного Redmi Pad 5G. Пока никаких технических подробностей...

Самый продаваемый мобильный телефон: iPhone 13 занимает первое место уже шесть недель подряд на китайском рынке Последние данные исследовательской компании Counterpoint Research показывают, что iPhone 13 занимает первое место по продажам на китайском рынке в течение последних шести недель Аналитики подтверждают, что после выхода линейки смартфонов iPhone 13 компания Apple ненадол...

Что будет отличать смартфоны будущего друг от друга Примерно неделю назад у нас появилась одна интересная новость, которая говорила нам, что Oppo собирается выпустить собственный процессор. Так делает несколько других производителей, и такое поведение постепенно превращается в настоящий тренд нашего времени. Вот только выпус...

Начался серийный выпуск контроллеров FADU для твердотельных накопителей корпоративного класса Компания FADU Technology, специализирующая на разработке высокопроизводительных контроллеров для твердотельных накопителей и решений для центров обработки данных, сообщила, что ее контроллеры FC3081 и FC4121 находятся в серийном производстве. Указанные контроллеры твер...

Qualcomm выпустит самый мощный процессор для смартфонов. Сравнение со Snapdragon 8 Gen 1 Qualcomm, выпуская Snapdragon 8 Gen 1, обещала совершенно новый флагманский чипсет, который должен был стать прорывным по своим характеристикам. К сожалению, все опять пошло не по плану: троттлинг и высокое энергопотребление никуда не делись, из-за чего новый чип получил бо...

WhatsApp Web теперь позволяет создавать собственные стикеры WhatsApp Web получил новую встроенную функцию создания стикеров, позволяющую загружать собственные изображения и преобразовывать их в стикеры, которые можно отправлять собеседникам. Для этого нужно просто щелкнуть значок вложения (скрепку), нажать Sticker, а затем выбра...

General Motors постоит за $2,5 млрд завод по производству аккумуляторов для электромобилей в США Совместное предприятие General Motors и LG Energy Solution, компания Ultium Cells, намерена построить завод по выпуску аккумуляторов для электромобилей в городе Лансинг (шт. Мичиган, США). Как сообщается на городском сайте, строительство завода обойдётся в $2,5 млрд, в проце...

TSMC наращивает отгрузки до рекордного уровня, производственные линии 5 и 4 нм работают на полную мощность TSMC работает над увеличением ежемесячных поставок готовых пластин со 120 000 до 150 000 для своих 5-нм узлов, под которые также подпадает 4-нм. Это в три раза больше, чем TSMC производила всего год назад. Сообщается, что 4-нм узел сейчас находится в полном массовом производ...

Глава Honda не верит в осуществимость планов Toyota использовать водород в качестве топлива для ДВС Источник сообщил, что генеральный директор Honda Тошихиро Мибе (Toshihiro Mibe) скептически оценивает возможность выпуска автомобилей с двигателем, в котором будет сжигаться водород. По его словам, компания Honda изучала потенциал этой технологии, которую сейчас собирае...

Первая информация о Samsung Galaxy Tab S8 FE. Это будет упрощённый вариант грядущего Samsung Galaxy Tab S8 Источники сообщают, что южнокорейская компания готовится выпустить на рынок преемника планшета Galaxy Tab S7 FE, который получит название Galaxy Tab S8 FE. Ожидается, что это будет упрощённый вариант грядущего Samsung Galaxy Tab S8. Южнокорейский гигант еще не выпустил ...

Принята спецификация PCIe 6.0 Организация PCI-SIG, ответственная за развитие и продвижение стандарта PCI Express (PCIe), объявила об официальном выпуске спецификации PCIe 6.0, в которой скорость передачи данных увеличена до 64 ГТ/с, что вдвое превышает возможности PCIe 5.0. «PCI-SIG рада объя...

Российский смартфон Aquarius NS M11 появился в продаже Отечественная компания «Аквариус» (принадлежит Национальной компьютерной корпорации) дала старт продажам смартфона Aquarius NS M11. Отмечается, что перед нами первый карманный персональный компьютер, полностью созданный и выпущенный в России. Новинка работает под управлением...

Внешний твердотельный накопитель Kioxia Exceria Plus оснащен интерфейсом USB 3.2 Gen2 Компания Kioxia недавно анонсировала выпуск внешнего твердотельного накопителя Exceria Plus, уточнив, что конкретная дата выпуска зависит от региона. Накопитель заключен в компактный корпус со скругленными углами. Он совместим с ПК, смартфонами, планшетами и игровыми ко...

ГК «Рикор» наладила в Арзамасе выпуск российских серверов, СХД, ноутбуков и планшетов Российский разработчик и производитель вычислительной техники «Рикор Электроникс» (входит в ГК «Рикор») открыл в Арзамасе (Нижегородская область) производственный комплекс по выпуску серверов, серверных платформ, систем хранения данных и комплектующих к ним, а также ноутбуко...

Представлен графический планшет Xiaomi с большим экраном всего за 55 долларов Xiaomi впервые выпустила графический планшет MIJIA LCD Small Blackboard, также известный как MIJIA LCD Writing Tablet, еще в 2019 году. Продукт стал хитом, и компания зафиксировала огромные продажи с течением времени. Это подтолкнуло Xiaomi к выпуску обновленной версии ...

Yadro и Nokia наладят российское производство базовых станций 4G и 5G Российский производитель электроники Yadro и финская Nokia решили запустить в России производство базовых станций мобильной связи стандартов 4G и 5G — для этих целей будет создано совместное предприятие. Минимальные гарантированные закупки такого оборудования, по оценкам ист...

Мощностей для выпуска чипов на 200-мм пластинах по-прежнему не хватает — дефицит начнёт спадать только в 2023 году В период с 2020 по 2025 год темпы роста производства чипов на десяти крупнейших полупроводниковых заводах мира составят примерно 10 % в год. При этом большинство из этих компаний сосредоточится на расширении мощностей по обработке 300-мм пластин — здесь средний рост составит...

Google Pixel 6 и 6 Pro получают декабрьское обновление с последней версией Feature Drop Google довольно трепетно относится к выпуску новых ежемесячных обновлений Android для своих пикселей в первый рабочий понедельник каждого месяца. Однако в этом месяце что-то пошло не так с обновлением для Pixel 6 и 6 Pro, поскольку дуэт сегодня начал получать декабрьское обн...

АвтоВАЗ рассказал о судьбе Lada Vesta первого поколения На днях автомобильный гигант АвтоВАЗ представил новое поколение Lada Vesta. Теперь в пресс-службе компании рассказали о планах на первое поколение автомобиля.  22 февраля 2022 года с конвейера автозавода «Lada Ижевск» сошла первая серийная Lada Vesta н...

Минцифры решило повысить зарплаты разработчикам базовых станций, но это вряд ли поможет Российские власти ускоряют разработку станций мобильной связи отечественного производства. Известно, что Минцифры содействует повышению зарплат сотрудникам компании «Спектр», входящей в госкорпорацию «Ростех». Тем не менее эксперты отрасли считают, что этого будет недостаточ...

Складной смартфон Apple выйдет позже, чем ожидалось? Apple, как и многие другие производители, обращает внимание на складные смартфоны и планирует выпустить устройства такого типа. Однако он может быть выпущен позже, чем предполагалось изначально. Пока может показаться, что Apple совершенно не заинтересована в производстве и ...

Почему Apple не стоит выпускать айфон каждый год Каждый год Apple на своих презентациях демонстрирует нам новинки мобильного мира. И здесь все пользователи разделяются на два лагеря: одни стремятся обновлять устройства вместе с выходом новых моделей, другие говорят, что это абсолютно бессмысленно и продолжают пользоваться...

Япония выделит на поддержку производителей полупроводникового производства 5,2 млрд долларов Япония выделит около 5,2 млрд долларов из дополнительного бюджета на 2021 финансовый год, которые будут направлены на поддержку передовых производителей полупроводниковой продукции, включая крупнейшего контрактного производителя этой продукции, компанию Taiwan Semicondu...

Правительство Тайваня одобрило строительство в Японии завода TSMC по производству микросхем Компания Taiwan Semiconductor Manufacturing Co (TSMC) получила от тайваньского правительства разрешение на строительство завода по производству микросхем в Японии. Об этом сказано в заявлении комиссии по инвестициям министерства экономики, которое одобрило соответствующ...

16 моделей смартфонов Xiaomi и Redmi получат MIUI 13 до конца мая. Список Xiaomi в этом году провела уже две волны обновлений ПО для своих смартфонов и планшетов, в рамках которых они получили новейшую MIUI 13, а сейчас объявлена третья волна. И опубликован перечень смартфонов, составивших эту волну. Список смартфонов, подлежащих обновлению,...

Разрабатывающая твердотельные аккумуляторы для электромобилей компания Factorial Energy получила инвестиции еще от двух автопроизводителей Американский разработчик твердотельных аккумуляторов Factorial Energy объявил о получении инвестиций от Mercedes-Benz и Stellantis. Каждый из автопроизводителей намерен совместно с Factorial Energy разработать твердотельные батареи для электромобилей, последовав примеру...

Италия создает фонд для производства микросхем стоимостью 4 миллиарда евро, пытаясь привлечь Intel Поскольку Intel до сих пор не объявила, где в Европе они откроют завод следующий раз, Италия теперь пытается выяснить, как они могут привлечь на свою сторону некоторых производителей чипов. Страна работает над созданием собственного фонда микросхем стоимостью 4 миллиарда евр...

Память Samsung LPDDR5X DRAM сертифицирована для использования с мобильными платформами Qualcomm Technologies Snapdragon Компания Samsung Electronics Co., Ltd., мировой лидер в области передовых технологий памяти, сегодня объявила о том, что компания Qualcomm Technologies, Inc. утвердила 16-гигабитную (Гбит) память Samsung с низким энергопотреблением и двойной скоростью передачи данных 5X (LPD...

Создание флагманской камеры realme 9 Pro+ Следуя концепции бренда «Dare to Leap», realme продолжает делать доступными для молодежи по всему миру невероятные технологические достижения и высококачественный дизайн продуктов. В номерной серии realme продолжает работать над фантастическим качеством фото, делая флагманск...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)