Социальные сети Рунета
Воскресенье, 5 мая 2024

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Смартфон Galaxy Note10 первым получит 7-нанометровую SoC Samsung В сети появились новые подробности о различиях флагманских смартфонов серии Galaxy S10 и Galaxy Note10, чей выпуск ожидается ближе к осени.  По данным китайских источников, в основу Galaxy Note10 ляжет не Exynos 9820, а более быстрая и совершенная SoC. Платформа E...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Huawei Mate 30 станет первым смартфоном с 7-нанометровой SoC Kirin 985 Источники утверждают, что первым смартфоном, который будет построен на базе однокристальной системы Huawei Kirin 985, станет флагман Huawei Mate 30. Ранее сообщалось, что однокристальная система Huawei Kirin 985 будет первой SoC для смартфонов, изготовленной с использов...

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

5-нанометровые чипы появятся в 2020 году, тогда же стартует тестовое производство по нормам N5+ Taiwan Semiconductor Manufacturing Company (TSMC) намерена запустить массовое производство продукции по нормам 5-нанометрового технологического процесса уже в 2020. Как утверждает издание DigiTimes, усовершенствованная версия данного технологического процесса, которая б...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

У Samsung готова 7-нанометровая технология EUV Компания TSMC первой среди производителей полупроводниковой продукции освоила выпуск продукции по нормам 7 нм. И хотя формально это 7-нанометровая продукция, ее характеристики они находятся на тех же уровнях, которые ожидаются от 10-нанометровой продукции Intel. В компа...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

Стало известно, у кого и когда Nvidia закажет выпуск первых GPU по технологии EUV По сообщению источника, компания Nvidia будет проектировать графические процессоры, выход которых запланирован на будущий год, в расчете на изготовление по 7-нанометровой литографии в жестком ультрафиолетовом диапазоне (EUV). Их выпуск будет заказан у компании Samsung. ...

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

TSMC запустит серийное производство по нормам 2 нм уже в 2024 году Компания TSMC сообщила о том, что она начала стадию исследований и разработок, связанных с 2-нанометровым технологическим процессом. TSMC первой на рынке сделала подобное заявление. В настоящее время конкретные детали процесса не разглашаются. Соответствующий завод рас...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Однокристальная система Huawei Kirin 985 будет представлена до конца квартала Еще в декабре появились первые сведения, что Huawei работает над однокристальной системой Kirin 985, которая станет преемницей нынешней флагманской модели Kirin 980. Как и Kirin 980, она будет спроектирована в расчете на технологические нормы 7 нм, но может стать первой...

У Globalfoundries и Arm готов тестовый чип, изготовленный по 12-нанометровой технологии с применением объемной компоновки Компания Globalfoundries (GF) объявила о выпуске чипа высокой плотности с объемной компоновкой, построенного на архитектуре Arm. Как утверждается, он обеспечит «новый уровень системной производительности и энергетической эффективности для вычислительных приложений...

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

В следующем квартале LG Display удвоит выпуск прозрачных дисплеев OLED Южнокорейская компания LG Display намерена использовать интерактивное сенсорное управление и другие передовые функции в своих коммерческих дисплеях, чтобы увеличить технологический отрыв от конкурентов на этом рынке. Об этом сообщил источник со ссылкой на слова представ...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

SoC Kirin 990 с технологиями ARM выйдет выйдет в 2020 году, а в Kirin 1020 будут только собственные разработки Huawei Несмотря на то, что ARM и Huawei приостановили сотрудничество, китайский гигант продолжает разработку новейшей однокристальной системы Kirin 990, которая может быть представлена в 2020 году. Согласно последней информации, новая однокристальная система должна использова...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Завершении работы над архитектуры Zen 3 Учитывая окончание работ на Zen 3, первые чипы, построенные по этой микроархитектуре, могут появиться на рынке уже в 2020 году. Тестирование прототипов Zen 4 AMD планирует начать в 2021-м. Вполне возможно, что за два года полупроводниковое производство перейдёт на более сове...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

USound увеличивает время автономной работы беспроводных наушников до 12 часов с помощью MEMS Австрийская компания USound получила дополнительный транш, увеличивший последний раунд финансирования до 30 млн долларов. По словам USound, дополнительные средства позволят ускорить разработку следующего поколения звуковых излучателей, в которых используется технология ...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Очередное дополнение к договору с GlobalFoundries развязывает руки AMD В пресс-релизе AMD, посвященном итогам четвертого квартала 2018 года и года в целом, есть небольшой параграф, озаглавленный «Обновление соглашения о поставке пластин». При всей лаконичности и малозаметности на фоне финансовых показателей он весьма важен. В ...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Huawei начинает производство 5-нм чипов Kirin 1000, которые дебютируют в смартфонах Mate 40 В начале сентября китайская компания Huawei представила новый флагманский чип Kirin 990, который производится по улучшенному 7-нанометровому технологическому процессу с использованием EUV-литографии.

MediaTek проектирует 7-нм чип с поддержкой 5G Компания MediaTek в интервью ресурсу Android Authority сообщила о намерении представить в текущем году передовой мобильный процессор, при производстве которого будет применяться 7-нанометровая технология. Известно, что в продуктовом семействе MediaTek изделие расположится на...

Преемник eFlash готов. Samsung Electronics начинает коммерческую поставку eMRAM Компания Samsung Electronics объявила о начале массового производства своего первого коммерческого продукта со встроенной магнитной памятью с произвольной выборкой (eMRAM) на основе 28-нанометрового технологического процесса 28FDS, построенного на использовании полность...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Highview Power CRYOBattery — первая в мире криогенная система хранения энергии гигаваттного уровня Компания Highview Power, называющая себя мировым лидером в области долговременных хранилищ энергии, сообщила о разработке криогенной модульной системы хранения энергии CRYOBattery. По словам Highview Power, эта система масштабируется до нескольких гигаватт и может быть ...

Bosch приступает к выпуску микросхем из карбида кремния Компания Bosch сообщила о запуске производства полупроводниковых изделий из карбида кремния (SiC), которые предназначены для электромобилей. По сравнению с кремниевыми аналогами, используемыми сегодня, они меньше, могут переключаться с большей скоростью и рассеивают гор...

Huawei Mate 30 с HongMeng OS или Ark OS представят 22 сентября Ожидается, что линейка флагманских телефонов Huawei Mate 30 и Mate 30 Pro будет представлена осенью этого года. Свежая информация, которой поделился в Twitter источник, указывает на то, линейка Mate 30 будет построена на однокристальной системе Kirin и работать под упра...

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

Результаты теста AnTuTu позволяют оценить производительность новых SoC Qualcomm Snapdragon 730G, Snapdragon 730 и Snapdragon 665 На днях Qualcomm представила три новых платформы: 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665. И вот сейчас в сети появились результаты тестирования всех трех новых платформ в популярном тестовом приложении AnTuTu, что позволяет нам предв...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Samsung Display инвестирует 10,85 млрд долларов в производство панелей QD-OLED По данным источника, компания Samsung Display (SDC) официально объявила о решении инвестировать 10,85 млрд долларов США в исследования, разработку и производство телевизионных панелей QD-OLED. Инвестиционный план рассчитан на 6 лет — с 2019 по 2025 год. Первым шаг...

TSMC наймет 8000 сотрудников для разработки 3-нанометровых чипов В скором времени тайваньская компания TSMC планирует начать производство чипов по 5-нанометровому технологическому процессу. Однако производитель не намерен останавливаться на этом.

У SK Hynix готова память HBM2E с пропускной способностью 460 ГБ/с Компания SK Hynix объявила о разработке памяти DRAM HBM2E с самой высокой в ​​отрасли пропускной способностью. По сравнению с памятью HBM2 пропускная способность увеличена на 50% — до 460 ГБ/с — поскольку скорость в расчете на одну линию данных д...

Redmi Note 7 Pro показал убедительные результаты в тестах Смартфон Redmi Note 7 Pro оказался значительно более производительным по сравнению с Redmi Note 7 благодаря использованию мобильной платформы Qualcomm Snapdragon 675. В данной однокристальной системе, которая создана с использованием 11-нанометрового технологического пр...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Появилось изображение процессора для настольных ПК AMD Ryzen 3 3200G Picasso В недалеком будущем ожидается выход APU AMD Ryzen 3000 для настольных систем. Эти процессоры с CPU на архитектуре Zen+ и GPU Vega будут выпускаться по 12-нанометровой технологии. Они будут работать на более высоких тактовых частотах по сравнению с 14-нанометровыми APU R...

Microsoft и Sony хотят стать стратегическими партнерами Компании Sony и Microsoft объявили о намерении сотрудничать в вопросах использования облачных решений для игр и искусственного интеллекта. Они уже подписали меморандум о взаимопонимании, в котором сказано, что обе стороны будут изучать возможность совместной разработки ...

Компания Samsung уже отгрузила более 36 000 базовых станций 5G В ходе выставки MWC 2019 компания Samsung Electronics сообщила, что уже отгрузила более 36 000 базовых станций 5G и объявила о завершении разработки нового поколения элементной базы для оборудования 5G mmWave — радиочастотных интегральных микросхем (RFIC, на верхн...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Российская антенна позволит повысить скорость передачи данных в 5G-сетях Исследователи из Томского политехнического университета (ТПУ) разработали особую антенну, которая, как утверждается, позволит поднять скорость передачи информации в мобильных сетях пятого поколения (5G). Reuters Напомним, что в перспективе сервисы 5G теоретически смогут обес...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Специалисты SK hynix разработали память DRAM DDR4 плотностью 16 Гбит, рассчитанную на выпуск по нормам 1Z нм Компания SK hynix сообщила о разработке памяти DRAM DDR4 плотностью 16 Гбит, рассчитанной на выпуск по нормам 1Z нм. По словам производителя, это наибольшая плотность одного кристалла DDR4, а с учетом норм достигнут еще и рекордный объем в расчете на одну пластину. Как ...

Анонс процессора Kirin 985 ближе, чем ожидалось В конце прошлого года в сети появилась информация, что Huawei намерена в этом году выпустить два флагманских чипа. Помимо Kirin 990 компания пополнит ряд фирменных однокристальных систем платформой Kirin 985, которая должна стать разогнанной версией Kirin 980. А еще ест...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Специалисты Toshiba Memory Corporation создали микросхему, позволяющую существенно увеличить скорость и емкость SSD Компания Toshiba Memory Corporation объявила о разработке микросхемы моста, которая позволяет увеличить скорость и емкость твердотельных накопителей. Такие микросхемы, занимающие небольшую площадь на печатной плате и имеющие низкое энергопотребление, дают возможность по...

TSMC начала производство чипов по технологии 7 нм+ второго поколения Для тайваньской компании это первый производственный проект с использованием литографии в жестком ультрафиолетовом диапазоне.

Надёжность российских спутников возрастёт Холдинг «Росэлектроника», принадлежащий государственной корпорации Ростех, объявил о разработке нового оборудования, которое позволит поднять надёжность отечественных спутников. В частности, представлена первая российская бортовая лампа бегущей волны (ЛБВ) с охлаждением за с...

Американские лазеры помогут бельгийским учёным с прорывом до 3-нм техпроцесса и дальше Как сообщает сайт IEEE Spectrum, с конца февраля по начало марта на базе бельгийского центра Imec совместно с американской компанией KMLabs была создана лаборатория для изучения проблем с полупроводниковой фотолитографией под воздействием EUV-излучения (в сверхжёстком ультра...

Крупный производитель процессоров нанял 8 тыс человек для создания чипов новейшего поколения TSMC зачастую в последнее время появлялась в разговорах как компания, занимающаяся разработкой 5-нанометровой технологии для производства чипсетов. Но как стало известно буквально только что, производитель уже сейчас размышляет о технологии следующего поколения — 3-нанометро...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

10-нанометровым настольным CPU Intel быть. Только, видимо, не раньше, чем через два года Вчера в Сеть попала информация о том, что Intel якобы отказалась от 10-нанометрового процесса для настольных CPU и в 2022 году перейдёт сразу на нормы 7 нм. И могло бы быть вполне логичным шагом. Однако теперь в ситуация вмешалась сама Intel, решив прокомментировать дан...

Intel рассказала о Lakefield чуть больше Мы по-прежнему не знаем, в компьютерах какого рода этот процессор будет использоваться, но предполагаем, что ими станут тонкие и легкие ноутбуки. В компании Intel сообщило, что поставки гибридной архитектуры Lakefield начнутся в четвертом квартале. Причем дальнейшие верси...

Intel может привлечь Samsung в качестве контрактного производителя своих дискретных GPU Раджа Кодури, возглавляющий проект Xe по созданию дискретных GPU в компании Intel, недавно посетил завод по производству кремниевых чипов компании Samsung Electronics. Напомним, буквально на днях Samsung заявила о грядущем запуске производства чипов по нормам 5-нанометрового...

EIZO выпускает первую в отрасли графическую карту XMC на GPU Nvidia Quadro P2000 (GP107) с функцией захвата и четырьмя входами 3G-SDI Компания EIZO Rugged Solutions, специализирующаяся на графических картах в защищенном исполнении, объявила о выпуске модели Condor NVP2102xX. По словам производителя, это первая карта XMC с четырьмя входами 3G-SDI на GPU Nvidia Quadro P2000 (GP107). Ее можно использоват...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

Intel продолжит использовать техпроцесс 14 нм даже при создании новейших дискретных мобильных видеокарт Как известно, в следующем году Intel выпустит на рынок дискретные видеокарты Xe с 10-нанометровыми GPU. Согласно последним данным, первые модели выйдут в середине года. Кроме того, в следующем году на рынок должны выйти и 10-нанометровые мобильные CPU Tiger Lake, содерж...

Intel подтверждает намерение в 2021 году выпустить процессоры Sapphire Rapids Рассказывая о графическом процессоре Ponte Vecchio и программной модели oneAPI, компания Intel также опубликовала план выпуска процессоров для суперкомпьютерных вычислений. Это обновленная версия прошлогоднего плана. Она охватывает период с 2019 по 2021 год. По мнению ...

Официально: Redmi Note 8 получит Qualcomm Snapdragon 665 Redmi, суббренд китайской компании Xiaomi, ранее подтвердил, что новый смартфон Redmi Note 8 Pro будет базироваться на игровом чипе Helio G90T от MediaTek. А вот стандартная версия Redmi Note 8 получит совершенно другой процессор. Сегодня Redmi объявил о том, что Redmi Note ...

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

В Великобритании разработан техпроцесс, который лучше, чем CMOS Британские компании Search For The Next (SFN) и Semefab совместно разработали технологический процесс производства полупроводниковых изделий, который, как утверждается, перевернет отрасль. Разработчики не побоялись фундаментальных изменений на уровне транзисторов и вер...

Intel расширяет линейку мобильных процессоров Intel Core 10-го поколения Ноутбуки и устройства «два в одном» на базе новых процессоров основные производители ПК планируют выпустить к праздничному сезону. Компания Intel представила восемь новых процессоров Intel Core 10-го поколения для мобильных компьютеров. Новые процессоры, ранее известн...

Представлена Samsung Exynos 980 — первая SoC Samsung со встроенным модемом 5G Компания Samsung Electronics представила свою первую мобильную однокристальную систему с интегрированной поддержкой сетей 5G, которая получила название Samsung Exynos 980. Samsung Exynos 980 производится по нормам 8-нанометрового технологического процесса и включает два...

Расходы, связанные с ИИ на производстве, к 2025 году возрастут до 13,2 млрд долларов Как мы уже сообщали, по прогнозу IDC в этом году расходы на системы искусственного интеллекта вырастут до 35,8 млрд долларов, а в период с 2018 по 2021 год прогнозируется среднегодовой рост на 38,0%. Свой прогноз, касающийся искусственного интеллекта, практически однов...

Adidas закрывает роботизированные фабрики в Германии и США Компания Adidas объявила сегодня о намерении закрыть свои высокотехнологичные «роботизированные» заводы, расположенные на территории США и Германии. Эти фабрики создавались с целью приблизить производство к клиентам. Однако теперь представители компании объявили о том, что в...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

Российский автопром: дорогу аддитивным технологиям Завод Nissan в Санкт-Петербурге: изготовленные на 3D-принтере детали (белые на фото) используются для фиксации крышки багажника. Фото: «Ведомости» / Nissan Автомобилестроение – одна из первых отраслей, где 3D-технологии нашли коммерческое применение: еще в 1988 год концер...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Слуховой аппарат Oticon Opn Play предназначен для слабослышащих детей Одновременно со слуховым аппаратом Opn S компания Oticon, занимающаяся выпуском подобных изделий с 1904 года, представила модель Opn Play, тоже построенную на новой платформе Velox S. Слуховой аппарат Oticon Opn Play предназначен для детей. По словам производителя, Oti...

Xiaomi продолжает разрабатывать SoC Surge S2 Один из топ-менеджеров китайской компании Xiaomi прояснил судьбу следующего поколения фирменной однокристальной системы Xiaomi Surge.  По словам директора по продукту Ван Тен Томаса (Wang Teng Thomas) платформа Surge S2 не отменена и продолжает разрабатываться ком...

Нехватка процессоров Intel сохранится до 2020 года По данным тайваньского ODM-производителя Compal Electronics, нехватка процессоров Intel продолжится во втором полугодии этого года и сохранится до начала 2020 года. В конце прошлого года Intel заявила о дефиците производственных мощностей, что привело к нехватке 14-нанометро...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

VR-технологии помогут в разработке российских двигателей Государственная корпорация Ростех рассказала о проекте по использованию технологий виртуальной реальности (VR) для ускорения процесса создания передовых российских двигателей. Фотографии Ростеха Речь идёт об использовании средств VR при работе с «цифровыми двойниками». Это в...

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

Unisoc готовится к производству 5G-модемов Компания Unisoc (ранее — Spreadtrum) в ближайшее время организует производство 5G-модема для мобильных устройств нового поколения, о чём сообщает ресурс DigiTimes. Фотографии Reuters Речь идёт об изделии IVY510, первая информация о котором была раскрыта в феврале нынешнего г...

Computex 2019: AMD играет мускулами, демонстрируя чипы на 7 нм Компания бросает вызов Intel и Nvidia, представляя более энергоэффективные и быстрые центральные и графические процессоры, в том числе первый видеочип на ядре Navi и ряд мощных новинок семейства Ryzen. На открывшейся в Тайбэе выставке Computex глава компании AMD Лиза Су п...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Застрянем на 14 нм до 2022 года. Intel отменила 10-нанометровые CPU для настольных ПК и сразу выпустит 7-нм процессоры Компания Intel полностью отменила планы по выпуску процессоров для настольных компьютеров на основе 10-нанометровой технологии и выпустит сразу 7-нм процессоры в 2022 году. Об этом сообщил немецкий ресурс HardwareLuxx со ссылкой на «достоверные» источники, с...

8000 человек помогут TSMC перейти к 3 нм Компания TSMC работает не только над 5-нанометровой технологией, но уже думает о технологии следующего поколения. Марк Лю (Mark Liu), исполнительный президент TSMC, объявил, что компания наймет 8000 сотрудников для нового центра исследований и разработок, который должен...

Intel представила 10-нанометровые процессоры Core десятого поколения (Ice Lake) для ноутбуков Компания AMD вчера представила 7-нанометровые процессоры Ryzen 3000 для настольных ПК, а Intel ей сегодня ответила 10-нанометровыми Ice Lake для ноутбуков. Это те самые процессоры Core десятого поколения, в которых применен качественно новый GPU Gen 11. В Ice Lake испо...

Производством Snapdragon 865 займется Samsung, а Snapdragon 875 — TSMC Недавно стало известно, что в случае с Snapdragon 865, анонс которого состоится в конце нынешнего года, Qualcomm сменит партнера по его производству. Выбор вновь пал на Samsung — одного из крупнейших производителей электроники в мире.   С конвейера южнокорейског...

Facebook покупает компанию CTRL-labs, занимающуюся разработкой нейронного интерфейса Компания Facebook купила молодую нью-йоркскую компанию CTRL-labs, занимающуюся разработкой нейронного интерфейса. Ожидается, что нейронный интерфейс напрямую свяжет мозг человека и компьютер. Сумма сделки не разглашается. По оценке CNBC, она составляет 1 млрд долларов. ...

Lenovo готовит первый в мире ноутбук на Windows с поддержкой 5G В конце прошлого года компания Qualcomm Technologies анонсировала аппаратную платформу Snapdragon 8cx, которая производится в соответствии с 7-нанометровым технологическим процессом и предназначается для использования в портативных компьютерах с постоянным подключением к сет...

Samsung поможет Intel справиться с дефицитом процессоров Компания Samsung Electronics согласилась поставлять Intel центральные процессоры для компьютеров, чтобы помочь решить проблему с их дефицитом. Об этом сегодня сообщили многие отраслевые источники. Как известно, компания Intel столкнулась с технологическими проблемами. ...

Intel готова начать массовое производство память MRAM Компания Intel показала, что она может вывести на рынок совершенно новый продукт. Накопители Optane уже достаточно распространены, хотя речи о замене обычных SSD, конечно, не идёт. Как сообщает источник, Intel уже готова к массовому производству ещё одного нового проду...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

В Facebook знали, что Cambridge Analytica собирает данные пользователей, до того, как разразился скандал Сотрудники Facebook были осведомлены о «ненадлежащей практике сбора данных» пользователей соцсети компанией Cambridge Analytica по меньшей мере за несколько месяцев до того, как в декабре 2015 года издание The Guardian впервые сообщило, что сервис политическ...

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Ассортимент Kanex пополнили решения для подключения устройств с разъемами Lightning и USB-C к автомобилю, домашней стереосистеме или наушникам Компания Kanex, называющая себя «лидером в области сертифицированных Apple решений для подключения устройств Apple», представила четыре новинки. Это адаптер Lightning для наушников, звуковой кабель для Lightning, адаптер USB-C для наушников и звуковой кабель...

Xiaomi выпустила новую защитную маску для лица за $4 Компания Xiaomi представила новую защитную маску-респиратор для лица, которая получила название Xiaomi Mi AirPOP PM2.5 Anti-Pollution Mask. Маска не вызывает дискомфорт при использовании, она получила воздухоочиститель увеличенного диаметра. Ранее производитель уже вып...

Смартфоны Redmi Note 8 и Note 8 Pro еще не вышли, но вице-президент Xiaomi уже оправдывается за использование SoC MediaTek После того, как стало известно, что смартфоны Redmi Note 8 и Redmi Note 8 Pro построены на однокристальной платформе MediaTek Helio G90T, многие пользователи стали задаваться вопросом — что будет с автономностью моделей, ведь SoC этой тайваньской компании снискали...

Huawei выпускает совершенно новый ARM-процессор для смартфонов Анонс Kirin 810 сделал Huawei единственной в мире компанией, в активе которой числятся два 7-нанометровых мобильных процессора. Первым стал анонсированный осенью 2018 г. Kirin 980, используемый, в том числе, во флагманах P30 и P30 Pro образца весны 2019 г.Даже у Qualcomm, ко...

Intel ликвидирует дефицит недорогих процессоров Теперь, после завершения перехода Intel на 10-нанометровую технологию и выхода ее на желаемую траекторию, все внимание приковано к AMD, которая в ближайшее время сообщит о своих финансовых результатах. Цены на недорогие ПК могут упасть еще ниже, поскольку компания Intel о...

Мышь и клавиатура Logitech M355 и K580 оптимизированы для Chrome OS Компания Logitech представила свои первые изделия категории Made for Google:  многофункциональную беспроводную клавиатуру Logitech® K580 Slim Chrome OS Edition и портативную беспроводную мышь Logitech® M355. По словам производителя, современная, точная и бе...

Названа себестоимость складной панели AMOLED размером 7,3 дюйма по диагонали По данным DSCC, складная панель AMOLED размером 7,3 дюйма, которая будет использоваться в первом складном мобильном устройстве Samsung, в настоящее время обходится южнокорейскому производителю почти в 180 долларов. При этом поставляющая их компания Samsung Display (SDC)...

Проблема с высокоскоростной серийной съемкой в камере Leica Q2 устранена в обновлении прошивки Как известно, некоторые владельцы камер Leica Q2 столкнулись с проблемой — снимки в формате RAW (DNG), сделанные  в режиме высокоскоростной серийной съемки, невозможно перенести на компьютер. Служба поддержки Leica в США, Байерс получил подтверждение, что про...

В КБХА внедрена PLM-система В КБХА внедрение PLM-системы происходит в рамках проекта "Цифровые технологии проектирования и производства". Координацию работ осуществляет Управляющий комитет проекта под руководством генерального директора НПО Энергомаш (входит в Госкорпорацию "Роскосмос&qu...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Новый тариф на китайский импорт усугубят проблемы Apple с iPhone Годовая прибыль Apple может пострадать от введения тарифа 10%, который президент США Дональд Трамп (Donald Trump) пообещал ввести на китайский импорт с 1 сентября. Такую точку зрения высказали специалисты инвестиционного банка Bank of America Merrill Lynch. «Быс...

Всего за квартал выручка TSMC уменьшилась почти на четверть Компания TSMC, являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, опубликовала отчет за первый квартал 2019 года. Выручка TSMC за отчетный период составила 7,1 млрд долларов. По сравнению с первым кварталом 2018 года этот показатель у...

Процессоры нового поколения от AMD Ryzen 3 AMD объявила, что ее долгожданные 7-нанометровые чипы Ryzen 3 третьего поколения начнут выпускаться в середине 2019 года. Компания провела живой тест Cinebench на стандартных частотах с новейшим чипом Intel 8-го поколения Core i9-9900K 8-го поколения, чтобы показать, что...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Процессор Samsung Exynos 7 Series 7904 рассчитан на смартфоны среднего уровня Компания Samsung Electronics анонсировала новый мобильный процессор — изделие Exynos 7 Series 7904, изготавливающееся по 14-нанометровой технологии. Чип рассчитан на смартфоны среднего уровня. Основу решения составляют восемь вычислительных ядер: это дуэт ARM Cortex-A73 с та...

ZTE представит собственный 5G-модем для смартфонов во второй половине 2019 года До того как Huawei запустила смартфон Mate 20X 5G, стоимость которого приблизительно равна $900, компания ZTE представила аппарат Axon 10 Pro 5G, цена которого равна $720. Это означает, что устройство ZTE является самым доступным смартфоном с поддержкой 5G. Однако между упом...

Вышла версия приложения WhatsApp Business для iOS Сервис WhatsApp, принадлежащий Facebook, объявил о выходе бизнес-приложения еще в сентябре 2017 года и выпустил его в январе 2018 года. Однако приложение было доступно только для Android, и разработчики обходили стороной выход версии для iOS. Наконец, в феврале этого го...

Управление светом может изменить фотополимерную 3D-печать Технология 3D-печати находит все более широкие применения в здравоохранении, биомедицинской инженерии, производстве и художественном дизайне. Однако в большинстве случаев одновременно возможно изготовление деталей только из одного материала. Увеличение числа одновременн...

Боб Сван: Мы подводим клиентов, они вправе ожидать от нас большего Неожиданный и продолжительный дефицит процессоров Intel смазал результаты рекордного квартала. Доходы Intel в третьем квартале, наверное, оказались рекордными, но руководству компании на подведении итогов большую часть времени пришлось извиняться. Да-да, именно извинят...

Samsung работает над новым чипом Exynos 9630 для смартфонов среднего уровня По сообщениям сетевых источников, следующей однокристальной системой среднего уровня южнокорейской компании Samsung станет Exynos 9630, которая в настоящее время находится на этапе разработки. Предположительно этот чип должен появиться в смартфонах Galaxy A51 и Galaxy A71. В...

Apple A13 Bionic — процессор iPhone 11. Что в нем особенного Новый чип iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max Как обычно, новая “яблочная” система-на-чипе была одним из самых ярких моментов очередного сентябрьского шоу. 18 ядер разного назначения, 7-нанометровый процесс второго поколения, торжество искусственного интеллекта, мощ...

Cooler Master MasterFan SF120M: тихий и производительный вентилятор с необычным дизайном Компания Cooler Master выпустила новый корпусный 120-мм вентилятор MasterFan SF120M. По словам производителя, новинка создавалась с упором на высокую производительность, однако при этом производитель постарался уменьшить и уровень шума. Вентилятор MasterFan SF120M построен н...

Adobe предупреждает, что использование старых версий программ может закончиться судом На прошлой неделе компания Adobe сообщила подписчикам сервиса Creative Cloud, что программы старше двух последних версий больше не будут доступны для скачивания. Теперь Adobe разослала подписчикам электронное письмо с предупреждением, что продолжение использования старо...

Samsung представила 7-нм чип Exynos 9825 За несколько часов до презентации Galaxy UNPACKED 2019, которая будет посвящена анонсу Samsung Galaxy Note 10, южнокорейский гигант объявил о выходе новой однокристальной системы для мобильных устройств. Новый чип, получивший название Exynos 9825, по сути является обновлённо...

На РИФ+КИБ 2019 прошел первый за историю форума РИФ.Хакатон Основной акцент в рамках первого специального проекта РИФ.Хакатон был сделан на пользователя и его персональных данных. В ходе мероприятия участники имели уникальную возможность пообщаться с гуру IT-отрасли и получить их профессиональные консультации по решению реальных кейс...

Производители ускоряют переход на 96-слойную флеш-память 3D NAND Производители микросхем флеш-памяти уверенно повышают процент выхода годной продукции при выпуске 96-слойной флеш-памяти 3D NAND. Ожидается, что эта технология станет основной в производстве флеш-памяти в 2020 году. Переход на 96-слойную технологию 3D NAND поможет поста...

Microsoft купила разработчика ОС, используемой на 6,2 млрд систем Компания Microsoft сообщила о крупном приобретении, выполненном в соответствии со стратегией развития направления интернета вещей (IoT). Как известно, датчики IoT внедряются практически во все — от промышленного оборудования до потребительских устройств — и...

Обзор и тестирование ноутбука Acer Aspire 5 A515-52G на базе процессора Intel Core i5-8265U и видеокарты GeForce MX150 Сложности Intel, связанные с отладкой производства 10-нанометровых процессоров, вынуждают синего гиганта заполнять дорожную карту промежуточными поколениями CPU. По сути, речь идет о многократных доработках 14-нанометровых CPU, впервые представленных еще в 2015 году. Очередн...

Hitachi Vantara представила новые модели облачных услуг Облачные сервисы Hitachi Vantara обеспечивают заказчикам более высокую гибкость и маневренность благодаря полностью управляемым и надежным гибридным и мультиоблачным средам. Комплекс облачных услуг Hitachi Vantara разработан ...

Qualcomm анонсировала мобильную платформу Snapdragon 712, которая на 10% быстрее Snapdragon 710 Компания Qualcomm продолжает расширять модельных ряд свои однокристлаьных систем для смартфонов. Сегодня она анонсировала новую платформу Snapdragon 712, которая получила ряд улучшений по сравнению с предшественником Snapdragon 710. В основном, речь идёт о приросте производи...

А есть ли смысл в 10-нанометровых процессорах Intel. Тесты Core i7-1065G7 заставляют задуматься 10-нанометровые процессоры Intel Ice Lake уже вышли на рынок в составе некоторых ноутбуков, но их обзоров не так много. Поэтому тесты ресурса TechSpot позволяют лучше понять, что же мы получили после стольких лет ожиданий. Итак, источник протестировал Core i7-1065G7 &m...

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Архитектура Armv8.1-M включает расширенные возможности машинного обучения и обработки сигналов для самых маленьких встраиваемых систем Компания Arm на этой неделе представила архитектуру Armv8.1-M. В ней используется технология Arm Helium, представляющая собой расширение M-Profile Vector Extension (MVE) для процессоров серии Arm Cortex-M. Как утверждается, Helium обеспечивает повышение производительнос...

AMD утверждает, что её следующие CPU Epyc будут лучше решений линейки Intel Ice Lake-SP по соотношению производительности на ватт Анонсированные недавно серверные процессоры AMD Epyc второго поколения прямых конкурентов в стане Intel по многим параметрам попросту не имеют. Ранее AMD заявляла, что разрабатывала такие CPU с прицелом на конкуренцию с 10-нанометровыми серверными процессорами Intel. Но...

Samsung начинает производство модулей AiP для 5G mmWave В июле прошлого года компания Qualcomm представила первые в мире антенные модули (AiP) 5G NR mmWave и радиочастотные модули sub-6 ГГц для смартфонов и других мобильных устройств, а к октябрю специалисты Qualcomm смогли уменьшить антенные модули 5G NR mmWave на 25%. ...

У Intel снова проблемы с 10-нанометровой продукцией В начале месяца компания Intel пообещала, что мобильные 10-нанометровые процессоры Ice Lake в составе готовых решений появятся к концу текущего года. Intel не указала названий моделей или их параметров, но рассказала много интересного и полезного об особенностях грядущи...

TSMC начинает производство чипсета A13 для iPhone 2019 Согласно новому отчету от Bloomberg TSMC, один из основных поставщиков компании Apple, начала производство 5-нанометровых микросхем, которые будут использоваться в будущих поколениях смартфонов.

Huawei объяснила, почему во флагманской платформе Kirin 990 используются старые ядра Arm Cortex-A76 вместо новых Cortex-A77 Некоторые обозреватели рассчитывали, что в новейшей однокристальной платформе Kirin 990 будет использоваться кластер самых актуальных высокопроизводительных ядер Cortex-A77, но SoC получила лишь ядра Cortex-A76. После мероприятия-анонса, состоявшегося на выставке IFA 20...

Эстонские патрули в Африке получили беспилотное транспортное средство Взвод эстонских пехотинцев Estpla-32, сейчас несущий службу в Мали (государство в Западной Африке, не имеющее выхода к морю), впервые начал использовать для патрулирования беспилотное сухопутное транспортное средство (UGV) THeMIS. Об этом сообщил разработчик робота &mda...

Samsung Electronics выпускает первый твердотельный накопитель, в котором используется флеш-память V-NAND шестого поколения с более чем 100 слоями Компания Samsung Electronics объявила о начале серийного выпуска твердотельного накопителя объемом 250 ГБ, в котором используется флеш-память V-NAND шестого поколения с более чем 100 слоями (производитель использует обозначение 1xx). В накопителе с интерфейсом SATA испо...

Samsung может начать производство GPU для дискретных видеокарт Intel На этой неделе Раджа Кодури (Raja Koduri), курирующий производство GPU в Intel, побывал на заводе Samsung в Южной Корее. Учитывая недавнее объявление Samsung о начале производства 5-нм чипов с использованием EUV, некоторые аналитики посчитали, что этот визит может быть неслу...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Волшебство коротких пользовательских историй Итеративные подходы к разработке продуктов требуют декомпозиции и работы с маленькими частями. Это улучшает производительность и управляемость. Несмотря на то, что выгода от такого подхода кажется очевидной, команды раз за разом стараются решить все проблемы в одной задаче, ...

Samsung разработала 10-нм DDR4 DRAM 3-го поколения Компания Samsung разработала новые микросхемы памяти 10-нм DDR4 DRAM третьего поколения без использования экстремальной ультрафиолетовой (EUV) литографии. Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого года. 10нм класс ( 1z-нм ) 8G...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

SK Hynix сократит выпуск флеш-памяти NAND более чем на 10% Как мы уже сообщали, сегодня компания SK Hynix опубликовала квартальный отчет. По сравнению с предшествующим кварталом доход и операционная прибыль сократились на 32% и 69%. По словам производителя, причина в том, что цены на его продукцию снижались «быстрее, чем ...

Intel Ice Lake: Чипы для ноутбуков с акцентом на графику Помимо новых процессоров Ice Lake 10-го поколения в конце августа компания обещает представить чипы Core с более высокой тактовой частотой. Выпуская 11 новых чипов Ice Lake, Intel сделала ставку на графические компоненты. В компании утверждают, что представители нового се...

Цифровые технологии в управлении жизненного цикла двигателей Игорь Лучанский, начальник управления информационных систем и технологий "Протон-ПМ": "Наше предприятие постоянно совершенствует производственные процессы, проводит масштабную модернизацию и техническое перевооружение. Это требует оперативности на всех этапах ...

Huawei Mate 40 и Mate 40 Pro получат 5-нанометровую SoC Kirin 1000 Самыми новыми флагманскими смартфонами Huawei являются представленные в начале сентября Mate 30 и Mate 30 Pro, работающие на основе новой платформы Kirin 990. Сегодня сетевые источники поделились информацией о следующей однокристальной системе китайского производителя. Утвер...

Забота об окружающей среде. В упаковке Samsung Galaxy S10 нет пластика Samsung Galaxy S10 стал первым смартфоном флагманской линейки южнокорейского производителя, упаковка которого изготовлены из экологически безопасных материалов без использования пластика и винила. Samsung, как и другие компании, беспокоится о загрязнении окружающей сред...

Билайн построит в Москве готовую к внедрению 5G сеть нового поколения Первая фаза проекта по модернизации, включающая обновление сети связи во всех районах Москвы, в том числе и ЦАО, завершится к сентябрю 2019 года. В результате емкость сети значительно вырастет, а скорость мобильного интернета увеличится в три раза, что полностью соответствуе...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

На конференции в Тайбэе показали рабочий интерфейс PCI Express 5.0 Как известно, куратор интерфейса PCI Express межиндустриальная группа PCI-SIG спешит наверстать длительное отставание от графика по выводу на рынок новой версии шины PCI Express с использованием спецификаций версии 5.0. Финальная версия спецификаций PCIe 5.0 утверждена этой ...

Компания NetApp признана лучшим техническим партнёром Google Cloud в 2018 году в сфере инфраструктуры <p style='color: #333333; font-family: Georgia, 'Times New Roman', 'Bitstream Charter', Times, serif; font-size: 13px; line-height: 19px'><strong>Компания NetApp (NASDAQ: NTAP), лидер рынка в области хране...

iPhone XR теперь производится в Индии Авторитетное издание The Wall Street Journal подтвердило слухи о том, что доступный смартфон Apple открыла производства iPhone XR в Индии. На фото упаковки видна надпись «Designed by Apple in California, Assembled in India», которая гласит, что смартфон «разработан Apple в К...

CES 2019: Игровые ноутбуки Lenovo Legion Y740 и Y540 получили графику GeForce RTX Компания Lenovo привезла в Лас-Вегас (Невада, США) на выставку CES 2019 новые портативные компьютеры Legion Y740 и Legion Y540, созданные для любителей игр. Ноутбуки комплектуются процессором Intel Core. К примеру, для модели Legion Y740 доступен чип Core i7-8750H с...

Стандарт VESA DisplayHDR True Black оптимизирован для дисплеев OLED и microLED Ассоциация VESA сегодня представила новый стандарт DisplayHDR True Black. Это вариант принятой ранее спецификации тестирования мониторов DisplayHDR. Он оптимизирован с учетом особенностей излучающих дисплеев, включая органические светодиоды (OLED) и будущие дисплеи micr...

Dassault Systemes поможет Boom Supersonic ускорить разработку самого быстрого коммерческого авиалайнера в истории Компания Dassault Systèmes объявила о партнерстве с компанией Boom Supersonic. В рамках сотрудничества Dassault Systèmes развернет платформу 3DEXPERIENCE, которая позволит ускорить проектирование и разработку авиалайнера Overture. Ожидается, что Overture б...

Intel наконец начнёт поставки 10-нм процессоров Ice Lake летом этого года, выпуск 7-нм чипов запланирован на 2021 год После нескольких лет задержек и переносов компания Intel наконец готова приступить к массовому производству процессоров по нормам 10-нанометрового технологического процесса. Поставки мобильных чипов Ice Lake, для производства которых как раз и будет применяться 10-нм техпроц...

5G для игр Облачная обработка больших обьемов данных позволяет существенно снизить требования к аппаратному обеспечению, производительности и стоимости абонентских мобильных устройств. Устройству остается только передавать изображение и отслеживать реакцию пользователя на эти изображен...

Intel впервые установит шесть ядер в тонкие ноутбуки. Характеристики Десятое поколение линейки Intel Core будет представлено в двух вариациях: 10-нанометровом Ice Lake-U и 14-нанометровом Comet Lake-U. Первая версия уже повсеместно используется производителями ноутбуков, а вот вторая только готовится к выходу, являясь идеологическим преемнико...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Стекло Corning Astra Glass предназначено для планшетов, ноутбуков и телевизоров На открывшейся сегодня выставке SID Display Week 2019 компания Corning представила новую стеклянную подложку для экранов — Corning  Astra Glass. Производитель уточняет, что этот материал оптимизирован для средних и больших дисплеев высокого разрешения, исполь...

Компания Heliogen сообщила о «прорыве» в солнечной энергетике Компания Heliogen, занимающаяся разработками в области использования солнечной энергии вместо ископаемого топлива, объявила о значительном достижении. Впервые удалось, сконцентрировав солнечные лучи, получить температуру более 1000 °С. По словам Heliogen, это открыв...

BigRep ONE и Keter Plastics: 3D-печать прототипов промышленных конструкций Компания Keter - один из крупнейших производителей пластика в мире. Она использует 3D-принтер BigRep для ускорения процессов конструирования и производства инновационных изделий из пластика.Перед запуском серийного производства изделия посредством отливки в пресс-формы необх...

Intel забуксовала со своими дискретными видеокартами, главная проблема – в их невысокой эффективности Только ленивый не упрекает Intel в том, что она засиделась на 14-нанометровом техпроцессе в своих CPU, но выбор его же для 3D-карт сыграет с последними злую шутку еще до их выпуска: по эффективности они не смогут тягаться 12-нанометровыми решениями Nvidia и 7-нанометров...

Игровая мышь Logitech G604 Lightspeed поддерживает два варианта беспроводного подключения Компания Logitech представила под маркой Logitech G, используемой для игровых периферийных устройств, беспроводную мышь Logitech G604 Lightspeed. Как утверждает производитель, это «беспроводная мышь нового поколения с потрясающим временем автономной работы, разраб...

Аналитика: ASML в 2019 году станет лидером рынка полупроводникового оборудования, обойдя Applied По данным The Information Network, впервые с 1990 года компания Applied Materials может потерять лидерство на рынке высокотехнологичного полупроводникового оборудования. «Applied Materials, которая в последние три года теряет свою долю на рынке оборудования для производства ...

Процессоры Intel Rocket Lake в 2021 году: всё ещё 14-нанометровый техпроцесс, но новые GPU Xe Согласно многим утечкам, в 2021 году Intel выпустит процессоры линейки Rocket Lake. Они будут представлены во многих сегментах. В настольном Rocket Lake заменят CPU линейки Comet Lake, при этом всё так же будут использовать 14-нанометровый техпроцесс. При этом в настол...

CES: Intel представляет 10-нанометровый Ice Lake и «многоэтажный» Lakefield следующей крупной итерацией микропроцессоров Intel Core станет Ice Lake, а соответствующие продукты можно будет купить в очередной сезон рождественских распродаж. Как и ожидалось, корпорация Intel использовала презентацию на международной выставке потребительской электрон...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Компания «Балтика» сообщила о внедрении новых диджитал-инструментов для повышения качества клиентского сервиса Крупнейший отечественный пивоваренный бренд «Балтика» (часть Carlsberg Group) силами своей пресс-службы объявил о том, что он осуществил успешное внедрение в бизнес-процессы ряда инноваций в области цифровизации бизнеса. Речь идет о проекте по GPS-мониторингу качества доста...

Pro Design дополняет семейство решений proFPGA для прототипирования тремя моделями на FPGA Intel Arria 10 Компания Pro Design, специализирующаяся на решениях для разработки и производства электронных изделий, добавила в семейство proFPGA три экономичные платформы для прототипирования на базе FPGA. Они построены на FPGA Intel Arria 10 и различаются числом модулей, которые мо...

В будущем году в Германии появятся мобильные зарядные станции для электромобилей Компания Volkswagen завершила год, представив любопытную разработку — мобильную станцию ​​быстрой зарядки электромобилей. Преимущество такой зарядки заключается в гибкости размещения: ее можно установить, например, на общественной парковке в городе, на...

Чипы AMD для PlayStation 5 будут готовы к третьему кварталу 2020 года Уже не секрет, что в следующем поколении Sony PlayStation будут использоваться гибридные процессоры компании AMD на архитектуре Zen 2 и с графическим ядром поколения Navi с поддержкой трассировки лучей. По данным отраслевых источников, процессоры будут запущены в производств...

Samsung начала массовое производство микросхем памяти LPDDR5 DRAM плотностью 12 Гбит для флагманских смартфонов Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о начале массового выпуска первых в отрасли микросхем памяти LPDDR5 DRAM плотностью 12 Гбит. Новая оперативная память производится по технологии 10-нанометрового класса второго поколения (1y нм). Она пре...

3D-печать домов постепенно набирает обороты Строительство домов с использованием методов 3D-печати может показаться футуристическим, но эта технология постепенно набирает обороты. В качестве примера источник приводит молодую компанию haus.me, которая недавно запустила производство в штате Невада. Этот производите...

Samsung представила свой самый мощный мобильный процессор Без лишних церемонии и предисловий Samsung представила свой самый передовой на сегодняшний день мобильный процессор Exynos 9825. Этот чипсет, скорее всего, будет установлен в Galaxy Note10, новый флагман компании, который будет анонсирован на специальном мероприятии в Нью-Й...

AI2019: железная основа искусственного интеллекта На конференции «Технологии искусственного интеллекта 2019» о своих «умных» чипах рассказали представители ведущих «процессорных» компаний. В разговорах «про искусственный интеллект» наибольшее внимание обычно уделяется нейросетям, методам обучения и т....

Процессоры сигналов изображения ARM Mali-C52 и Mali-C32 предназначены для беспилотных транспортных средств, роботов, домашних умных устройств и камер видеонаблюдения Компания ARM представила процессоры сигналов изображения (ISP) Mali-C52 и Mali-C32. По словам разработчика, они обеспечивают «лучшее в своем классе качество изображения». Для них доступен «полный пакет программного обеспечения и полный набор инструмент...

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

“Орион” пустил в серию российскую “всевидящую” камеру Благодаря увеличенному размеру матрицы и различным усовершенствованиям в производственном процессе, SWIR-камера, созданная московским НПО «Орион», способна «видеть» в дыму, тумане, а также при прочих ограничениях видимости. Помимо промышленного варианта модели, существует гр...

У Adata готов модуль DDR4 DIMM обычной высоты объемом 32 ГБ В прошлом году компания Asus отклонилась от стандартов, чтобы увеличить емкость модулей памяти DDR4 DIMM, воспользовавшись возможностями чипсета Intel Z390. Она предложила модели Double Capacity DIMM или DC DIMM, которые отличались большим числом микросхем DRAM, для раз...

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

Добыча угля в Кузбассе будет роботизирована ХК "СДС", администрация Кузбасса и АО "ВИСТ Групп" подписали соглашение о сотрудничестве в сфере информационных технологий. Подписи под документом поставили Губернатор Кузбасса Сергей Цивилев, президент АО ХК "СДС" Михаил Федяев и генеральный ди...

Представлена 7-нанометровая SoC Kirin 810 Сегодня Huawei анонсировала не только смартфоны серии Nova 5, но и новую однокристальную систему Kirin 810. Как и Qualcomm Snapdragon 855, Apple A12 и Kirin 980, новая SoC Kirin 810 производится по 7-нанометровому технологическому процессу. Поэтому Huawei стала единств...

91% производственных предприятий в России используют устаревающую бизнес-модель В ходе пилотного опроса было опрошено более 100 российских компаний, включая крупнейшие предприятия. Опрос продемонстрировал высокий интерес руководителей компаний к использованию цифровых технологий: большинство отмечают важность цифровой трансформации, примерно у четверти ...

Ростех и Астраханская область реализуют совместные проекты в сфере «Умного города» Подписи под документом поставили губернатор Астраханской области Игорь Бабушкин и заместитель генерального директора Госкорпорации Ростех Александр Назаров. В соответствии с соглашением, Ростех будет содействовать региону в реализации проектов, направленных на созд...

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

Samsung представляет новый смартфон Galaxy Fold стоимостью $ 1980 На мероприятии Unpacked 2019, которое состоялось вчера в Сан-Франциско, Samsung официально представила свой складной смартфон Galaxy Fold. По словам Samsung, Galaxy Fold — это мощный смартфон и революционный планшет в одном устройстве, которое «бросает вызов категории»...

TSMC ускоряется — наймёт 8 тыс. новых сотрудников для внедрения 3-нм техпроцесса Компания TSMC намерена нанять дополнительные 8 тыс. сотрудников в новый научно-исследовательский центр, который будет создан в 2020 году. Он будет ориентирован на исследования и разработки технологий для 3-нанометрового и более тонких технологических процессов. По словам исп...

Производство 5-нм процессоров AMD с архитектурой Zen 4 начнётся в 2021 году Уже сейчас уровень выхода годной продукции на конвейере TSMC достигает 50%.

Теперь официально: не ждите настольных 10-нанометровых CPU Intel минимум до 2021 года Пару дней назад мы смогли ознакомиться с дорожными картами Intel, которые вызвали множество вопросов. В частности, там вообще не было настольных 10-нанометровых процессоров. Сегодня Intel отчиталась за очередной финансовый квартал, заодно подтвердив своё намерение выпу...

CES: AMD анонсирует переход на 7 нм Этот шаг призван обеспечить компании технологическое превосходство перед Nvidia и Intel в борьбе за постоянное уменьшение нормы проектирования. Свою главную презентацию на международной выставке потребительской электроники CES в Лас-Вегасе компания AMD использовала для оф...

10-нанометровые CPU Intel Tiger Lake первыми получат интегрированные GPU Intel Xe Компания Intel сегодня поделилась информацией о своих будущих продуктах. В частности, мы узнали, что в 2021 году компания намерена перейти на семинанометровый техпроцесс, хотя с 10-нанометровым пока ещё не совладала. Также Intel приоткрыла завесу над поколением Tiger La...

У Unisoc готов модем 5G Китайская компания Unisoc, занимающаяся разработкой микросхем, завершила разработку модема 5G. Компания Unisoc, принадлежащая компании Tsinghua Unigroup, не имеет собственного производства. Поэтому выпуск модема Unisoc Ivy 510 будет заказан у ​​TSMC. ...

5G, искусственный интеллект, 20 часов автономности и моментальное пробуждение. Intel рассказала, какими будут ноутбуки в 2020 году Компания Intel сегодня провела специальное мероприятие, на котором рассказала о новинках, припасенных к выставке Computex 2019. Одной из таких является Project Athena. По сути это платформа ноутбуков будущего, которые, по словам производителя, окажутся гораздо лучше нын...

Внедрение SAP Business One на «Полимер Системс» повысило производительность на 20% Компания «Полимер Системс» повысила производительность и эффективность управления в результате внедрения SAP Business One. Партнером по внедрению системы выступила компания «ЦМД-софт». Помимо основных компонентов было установлено ...

Гендиректор Intel считает, что компания поспешила с освоением норм 10 нм Во время конференции Brainstorm Tech, организованной изданием Fortune, генеральный директор Intel Боб Свон (Bob Swan) рассказал о текущем состоянии Intel и направлении будущего развития. Отвечая на вопрос о прекращении действия закона Мура, глава Intel признал, что &laq...

Серьёзный инцидент на фабрике TSMC: производство остановлено, забраковано более 10000 пластин Тревожные новости приходят с Тайваня. Крупнейший контрактный производитель полупроводников, компания TSMC столкнулась с серьёзной аварией на одном из своих передовых заводов, в результате чего оказалось повреждено более десятка тысяч полупроводниковых пластин, а производстве...

Японская компания ALI Technologies разработала летающий мотоцикл Speeder Японская компания ALI Technologies разработала Speeder — летательный аппарат, похожий на гидроцикл. Разработка должна быть представлена на Токийском автосалоне уже в следующем месяце. Корпус транспортного средства сделан из углепластика, чтобы снизить массу. В пе...

В Астраханской области будут реализованы проекты в сфере «Умного города» Подписи под документом поставили губернатор Астраханской области Игорь Бабушкин и заместитель генерального директора Госкорпорации Ростех Александр Назаров.В соответствии с соглашением Ростех будет содействовать региону в реализации проектов, направленных на создание комфорт...

Без картриджей: 5 возможностей экономии для малого бизнеса вместе с HP Оптимизация расходов – одна из первоочередных задач для собственника бизнеса, менеджеров, да и простых предпринимателей. Использование новых печатающих устройств НР открывает сразу несколько путей для экономии средств и ресурсов, в том числе и времени. Возможность 1: Экономь...

MediaTek выпустит чип Helio G90 для игровых смартфонов Компания MediaTek опубликовала тизер-изображение, говорящее о подготовке нового процессора семейства Helio для мобильных устройств. Чип получит название Helio G90. Он будет ориентирован на смартфоны игрового уровня и аппараты топового сегмента. Анонс изделия состоится в теку...

Intel снимает с производства мини-ПК NUC на 10-нанометровых процессорах Cannon Lake Компания Intel сообщила о прекращении выпуска нескольких мини-ПК NUC, включая модели на процессорах Cannon Lake. Говоря точнее, на процессоре Core i3-8121U. Как известно, этот процессор не имеет интегрированной графики, так что в конфигурацию мини-ПК включены GPU AMD Ra...

Ростех внедряет в двигателестроении технологии Индустрии 4.0 Внедрение системы позволит контролировать загруженность оборудования и упреждать его повреждения, что снизит амортизационные издержки производственного комплекса. В рамках проекта впервые был внедрен "Цифровой двойник цеха", который позволяет на визуальном 3D-плане...

AMD представила процессоры Ryzen 3000: на выбор 5 моделей, от 6-ядерного Ryzen 5 3600 за $200 до 12-ядерного Ryzen 9 3900X за $500 Наряду с 7-нанометровыми видеокартами Radeon RX 5000 компания AMD сегодня наконец-то официально представила процессоры Ryzen 3000. В отличие от 3D-карт, создатели не только раскрыли конкретные модели серии, но и их характеристики и даже стоимость. Новинок всего их пять:...

Шинный комплекс KAMA TYRES рассказал о полной смене модельного ряда Андрей Бутон, и.о. исполнительного директора Торгового дома «Кама», рассказал о современном шинном производстве, мировых тенденциях и производственных планах шинного комплекса KAMA TYRES в интервью авторитетному онлайн-изданию «Колёса.ру». По его словам, главным трендом раз...

Samsung разработала 3-е поколение памяти DDR4 10-нанометрового класса Компания Samsung заявила о завершении разработки 3-го поколения оперативной памяти DDR4 DRAM 10-нанометрового класса, для чего ей не потребовалась экстремальная ультрафиолетовая литография.

Intel: мобильные чипы Core девятого поколения появятся «очень, очень скоро» Готовящиеся к выпуску мобильные чипы, включая Core i9, будут базироваться на существующей архитектуре Coffee Lake. Компания Intel подтвердила, что мобильная версия чипов Core 9-го поколения серии H дебютирует во втором квартале текущего года и скорее всего ближе к его нач...

Презентация графической архитектуры Nvidia Ampere может состояться весной 2020-го Давно не секрет, что специалисты Nvidia в настоящее время трудятся над графическими процессорами с архитектурой Ampere. Эти GPU будут изготавливаться на заводах Samsung по 7-нанометровому техпроцессу на базе ультрафиолетовой литографии и, по предварительной...

Оцениваем тесты новейших 10-нанометровых CPU Intel Ice Lake 1 августа Intel представила долгожданные 10-нанометровые мобильны CPU. Несмотря на все слухи о том, что такие процессоры появятся в серийных продуктах лишь в самом конце года, они уже начинают поступать на прилавки. И мы уже можем оценить производительность новейших пр...

ГК "РАМАКС" внедрила SAP Process Mining в УБРиР Уральский банк реконструкции и развития (УБРиР), входящий в топ-30 банков России, завершил проект внедрения SAP Process Mining by Celonis. Новая система позволит банку оптимизировать процесс выдачи кредитов физическим лицам, снизить нагрузку на сотрудников роз...

Intel выпустила 2 новых процессора Comet Lake-U и снимает с производства NUC на базе чипов Cannon Lake, Braswell и Broadwell Компания Intel выпустила два новых процессора в рамках семейства Comet Lake-U, которые предназначены для применения в составе тонких и лёгких ноутбуков. Новинки представляют собой решения начального уровня. Чипы Intel Pentium Gold 6405U и Intel Celeron 5205U относятся к 10-м...

В смартфонах Samsung появятся графические ускорители от AMD В процессорах компании Samsung, Exynos, используются графические ускорители ARM Mali. По производительности они проигрывают кастомным чипам Adreno от Qualcomm и графическим процессорам Apple. Но ситуация может скоро поменяться, поскольку Samsung объявила о сотрудничестве с A...

Qualcomm представила 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665 Однокристальную платформу Qualcomm со странным обозначением SM7150 приписывали самым разным смартфонам еще с прошлого года. И вот эта однокристальная платформа сегодня представлена официально – под названием Snapdragon 730 и… сразу в двух версиях. Если суди...

Tilt объединяет умные оконные жалюзи и солнечные батареи Компания tilt, ранее известная как MySmartBlinds, объявила о запуске «всеобъемлющей линейки изделий для умных домов», которая включает умные оконные жалюзи на солнечной энергии, которые автоматически открываются или закрываются в зависимости от температуры и...

«Росэлектроника» собирается создать первое отечественное производство СВЧ-переключателей для спутников Холдинг «Росэлектроника» сообщил о намерении запустить первое отечественное производство сверхвысокочастотных переключателей для искусственных спутников Земли. Проект, направленный на уменьшение зависимости от поставок зарубежной СВЧ-аппаратуры для космическ...

Приятный сюрприз от Intel: 10-нанометровые процессоры Ice Lake-U стоят почти столько же, сколько и 14-нанометровые предшественники Позавчера Intel наконец-то официально представила процессоры Ice Lake-U, сопроводив анонс всеми техническими подробностями. Но на тот момент не сообщились цены, а зря: у тех, кто присматривается к ноутбукам на базе Ice Lake-U, есть повод для радости. Дело в том, что в с...

Новые карты памяти SD/microSD Transcend получили 96-слойную флэш-память 3D NAND Transcend представляет карты памяти SD/microSD 420T и 420I промышленного класса. Эти карты памяти спроектированы с использованием передовой технологии BiCS4, позволяющей формировать до 96 слоев флэш-памяти 3D NAND. Они проходят тщательное заводское тестирование и выдерж...

Смартфоны в 2019 году сильно подорожают Не так давно на конференции Snapdragon Summit Qualcomm рассказывала о новых процессорах и технологии 5G, которую развивала еще с 1990-х годов. 5G может стать началом нового пути в развитии высоких технологий, разработчикам гаджетов для умного дома открываются новые возможно...

Представлена ещё одна линейка мобильных CPU Intel Core 10 поколения. На сей раз 14-нанометровых 10-нанометровые процессоры Ice Lake для мобильных ПК были представлены в начале месяца. Они стали первыми […]

[Перевод] Руководство по использованию pandas для анализа больших наборов данных При использовании библиотеки pandas для анализа маленьких наборов данных, размер которых не превышает 100 мегабайт, производительность редко становится проблемой. Но когда речь идёт об исследовании наборов данных, размеры которых могут достигать нескольких гигабайт, проблемы...

Охлаждение серверов в ЦОД: новости от Stulz, OCP, Submer, Avnet и Iceotope Существует теоретический экспериментально подтвержденный верхний лимит вычислительных операций, которые можно осуществить, тратя один киловатт*час электроэнергии. Этот лимит называется принципом Ландауэра. Данная концепция также предполагает, что чем интенсивнее вычисления, ...

Бизнес-кейс: Как 3D-печать ускорила и упростила производство элайнеров Исходные данные: Компания Smartee, расположенная в промышленном парке в Цзясине, является одним из крупнейших производителей зубных элайнеров в Китае.  UnionTech - китайский производитель 3D-принтеров, лидер в области стереолитографии. Все 3D-принтеры UnionTech имеют открыту...

TomTom и Denso будут вместе разрабатывать систему самоуправляемого движения Компания TomTom, называющая себя «специалистом по технологиям определения местоположения», объявила о намерении ускорить разработку систем самоуправляемого движения за счет сотрудничества с Denso. Компания Denso известна как поставщик автомобильных компонент...

Официально: Redmi Note 8 получит чипсет Snapdragon 665 и счетверённый модуль камеры Компания Xiaomi решила использовать для смартфонов серии Redmi Note 8 различные процессоры. Так, для модели Redmi Note 8 Pro будет использоваться чип MediaTek Helio G90T. Обычный Redmi Note 8 получит процессор Snapdragon 665. Эта информация появилась в официальной учетной за...

Коммутаторы InfiniBand Mellanox HDR 200G с технологией Mellanox SHARP удвоили производительность платформы Nvidia для ИИ Компания Mellanox Technologies, которую Nvidia покупает за 6,9 млрд долларов, объявила, что ее коммутаторы InfiniBand HDR 200G с технологией «масштабируемого протокола иерархического агрегирования и сокращения» (Scalable Hierarchical Aggregation and Reductio...

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

В ноябре Intel выпустит процессоры Comet Lake-U, которые всё ещё будут производиться по 14-нанометровому техпроцессу Как известно, в конце года Intel выпустит не только мобильные 10-нанометровые процессоры Ice Lake-U, но и линейку Comet Lake-U. Это будут 14-нанометровые процессоры, которые заменят нынешние CPU Intel в этом сегменте. При этом пока не очень понятно, как компания будет р...

Появилось изображение розничной упаковки процессоров AMD Ryzen 9 Компания AMD выделит процессоры Ryzen 9 для настольных ПК на фоне процессоров серии Ryzen 7 более привлекательной коробкой. Одна из компаний, занимающихся розничной торговлей, уже опубликовал изображение упаковки. Как утверждается, коробка изготовлена ​̴...

Утечка подтверждает использование Ryzen Embedded V1000 в портативной консоли GPD Win 2 Max В начале этого месяца появились слухи, что компания GPD планирует выпустить новую более мощную версию своего гибрида ноутбука и портативной игровой консоли GPD Win 2. Теперь же эти слухи подтвердились, так как в Сети появились фотографии нового устройства, которое называется...

MediaTek продолжит разработку производительных чипов Helio X Series Компания MediaTek не собирается отказываться от разработки мобильных процессоров топового уровня Helio X Series, о чём сообщают сетевые источники. Ещё в начале прошлого года MediaTek анонсировала флагманский 10-нанометровый чип Helio X30 с десятью вычислительными яд...

Hitachi Vantara представила новый класс СХД на базе ИИ Среди ключевых анонсов конференции NEXT 2019 компании Hitachi Vantara — платформа Hitachi Virtual Storage Platform серии 5000 и новая программная система управления Hitachi Ops Center. Решения Hitachi VSP серии 5000,...

Samsung получила заказы на производство процессоров от Intel Компания Intel в очередной раз сообщила, что она испытывает проблемы с объёмами производства процессоров. Гонка с AMD за количество ядер привела к увеличению физического размера чипов, а значит, уменьшению их числа на одной пластине и дальнейшему росту дефицита.

Обновление прошивки улучшит работу стабилизатора и автофокуса в камерах Panasonic S1 и S1R Компания Panasonic скоро выпустит обновление прошивки для своих полнокадровых беззеркальных камер Lumix DC-S1 и S1R. В прошивке версии 1.1 будет улучшена работа системы стабилизации изображения, встроенной в камеру. По словам производителя, эффективность стабилизатора ...

Тенденции и технологии в холодоснабжении ЦОД Хладагенты Одним из основополагающих элементов системы охлаждения ЦОД были и остаются хладагенты. Как известно,  в 1987 году в Канаде был подписан Монреальский протокол, которым ограничивается потребление и производство озоноразрушающих веществ, в т. ч. хладагентов. Эт...

Samsung начинает массовое производство памяти MRAM Вслед за компанией Intel о начале массового внедрения магниторезистивной памяти MRAM объявил еще один гигант индустрии — Samsung Electronics. Речь идет о микросхемах eMRAM для различных встраиваемых систем, микроконтроллеров, устройств «интернета вещей» и.....

Volvo анонсирует конкурента Tesla 3 Роскошный бренд Polestar, принадлежащий Volvo Cars, представил свой первый полностью электрический седан, который по цене и пробегу на одной зарядке выступит конкурентом электромобиля Tesla Model 3 на массовым рынке. Polestar 2 будет стоить около 59 900 евро в базовой ...

Смотр цифровизации «1С» провела традиционный бизнес-форум. Компания расширяет свое присутствие на рынке корпоративных решений цифровой трансформации, где еще недавно тон задавали зарубежные ERP. «Более 5 млн заказчиков из 1,5 млн государственных организаций и коммерческих компаний...

Контроллеры Aquantia AQtion AQC111C и AQC112C позволяют оснащать системные платы портами 5GbE и 2,5GbE Компания Aquantia представила контроллеры Ethernet, получившие обозначения AQtion AQC111C и AQC112C. По словам производителя, «компактные и недорогие устройства, оптимизированные для скоростей 2,5 и 5 Гбит/с, являются идеальными решениями для реализации портов LAN...

Intel увеличивает заказы у сторонних производителей чипов из-за дефицита собственных 14-нм производственных мощностей Затянувшееся освоение 10-нанометрового производственного процесса оказывает заметное влияние на весь бизнес Intel. Ещё в прошлом году наблюдалась ситуация, когда у компании оказалось недостаточно производственных мощностей для выпуска всей номенклатуры продуктов по 14-наноме...

Ученые нашли способ, как ускорить 3D-печать в 100 раз Вместо обычного способа 3D-печати сложных объектов слой за слоем с помощью пластиковых нитей, ученые из Мичиганского университета предлагают использовать иной метод, который позволяет не только существенно повысить скорость самой печати, но и увеличить долговечность создава...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Toshiba объединяет два дочерних предприятия Компания Toshiba Electronic Devices & Storage Corporation (TDSC) недавно объявила об объединении двух своих дочерних компаний, Toshiba Microelectronics Corporation (TOSMEC) и Toshiba Discrete Semiconductor Technology Corporation (TDIT), в новую компанию Toshiba Elec...

МТС открывает бизнесу доступ к облачному суперкомпьютеру Решение провайдера #CloudMTS с гибкой тарификацией предназначено как для крупных заказчиков, так и для малого бизнеса и стартапов в различных сферах: в промышленности, финансах, ритейле, научно-прикладных изысканиях - там, где требуется доступ к большим вычислительным мощнос...

Bosch выкупает долю Daimler в совместном предприятии по производству электродвигателей Немецкий поставщик автомобильных комплектующих изделий Robert Bosch сообщил, что выкупил долю своего партнера Daimler в совместном предприятии EM-Motive GmbH, созданном для производства двигателей для электрических и гибридных автомобилей. Завод в Хильдесхайме, Германия...

Schneider Electric: Энергия — цифровой экономике Компания провела в Москве очередной форум Innovation Summit для партнеров и заказчиков. Компания Schneider Electric провела очередной Innovation Summit, в этом году — под слоганом «Энергия цифровой экономики». На отдельной сессии, как и в ход...

FPGA Achronix Speedster7t оптимизированы для ускорителей машинного обучения и сетевых решений с высокой пропускной способностью Компания Achronix Semiconductor, специализирующаяся на выпуске программируемых пользователем вентильных матриц (FPGA) и аппаратных ускорителей на их основе, на днях представила новое семейство FPGA. По словам производителя, FPGA семейства Speedster 7t, построенные на н...

Впереди планеты всей. Россия опередила США и Европу по внедрению искусственного интеллекта Специалисты Microsoft заинтересовались скоростью внедрения искусственного интеллекта (ИИ) в крупных компаниях (со штатом более 250 человек). В январе они провели исследование «Бизнес-лидеры в эпоху ИИ» (Business Leaders in the Age of AI), основой которого ст...

Apple вернется к Qualcomm, чтобы в iPhone 2020 была поддержка 5G Хотите так же на айфоне? Мы тоже В этом году Apple и Qualcomm завершили многолетнее противостояние, заключив мировое соглашение. Apple пришлось уступить оппоненту и выплатить ему в качестве компенсации несколько миллиардов долларов. В Купертино восприняли это довольно болезн...

Компания CIG представила оптические модули 400G, 200G и 100G для центров обработки данных Компания Cambridge Industries Group (CIG), называющая себя «ведущим поставщиком и новатором в области решений для оптической связи», представила линейку оптических модулей для центров обработки данных. Линейка включает модули, поддерживающие скорости 100 Гби...

Второе место на рынке AMOLED теперь занимает не LG Как стало известно, китайская компания BOE Technology опередила корейскую LG по объему поставок дисплеев AMOLED, занимая теперь второе место на этом рынке и уступая только Samsung. В данный момент компания планирует увеличить отрыв от LG, вложив около 7 млрд долларов в...

OmniVision OV5678 — первый датчик изображения для камер «2-в-1» в трансформируемых ноутбуках Компания OmniVision Technologies, специализирующаяся, в частности, на выпуске датчиков изображения, представила модель OV5678. Как утверждается, это первый в отрасли датчик изображения «2-в-1» разрешением 5 Мп для трансформируемых ноутбуков, который дает воз...

Представлены процессор Arm Cortex-A77, графический процессор Arm Mali-G77 и процессор машинного обучения Arm ML Компания Arm представила три разработки, которые, по ее словам, обеспечат «опыт использования ИИ будущего поколения в мире 5G». Во-первых, это процессор Arm Cortex-A77, который обеспечивает расширенные возможности ML и AR/VR за счет повышения производительн...

APEX станет главным нововведением Android Q. Что это такое? Android Q покажут, как обычно, на Googe I/O. Уже сейчас известно, что в систему встроят полноценную темную тему, а все стандартные приложения компании к моменту выхода новой версии Android будут обновлены под данное нововведение. Однако тёмная тема — не главное в Andr...

Бизнес и партия едины. Китай направит государственных представителей в частные фирмы, включая Alibaba По данным китайских государственных СМИ, ведущий технологический центр Китая Ханчжоу планирует направить правительственных чиновников в 100 частных компаний, включая гиганта электронной коммерции Alibaba и автопроизводителя Zhejiang Geely Automobile Holdings. Этот шаг ...

Tesla второй раз в этом году снижает цены на электромобили Model 3 Компания Tesla заявила, что снижает цену своего седана Model 3 на 1100 долларов. Это было сделано после того, как производитель электромобилей завершил действие дорогостоящей реферальной программы по привлечению клиентов. Это уже второе снижение цен на Model 3 с начала ...

Процессоры Comet Lake: партнёр Intel намекнул на сроки появления настольных моделей Опубликованная в середине лета ресурсом XFastest новость о сроках анонса процессоров Comet Lake-S в исполнении LGA 1200 уже стала каноническим источником информации подобного рода, хотя за прошедшее с июля время много могло поменяться. Напомним, согласно выдержкам из летних ...

Rambus расширяет семейство IP-ядер CryptoManager Root of Trust Компания Rambus недавно объявила о расширении семейства продуктов CryptoManager Root of Trust. Это серия полностью программируемых аппаратных IP-ядер, предназначенных для обеспечения безопасности в широком круге приложений, включая интернет вещей, искуственный интеллект...

Популярное ПО для очистки macOS содержит дюжину багов В приложении CleanMyMac X производства MacPaw обнаружены множественные уязвимости, позволяющие при наличии локального доступа к системе повысить привилегии до уровня root. Программа CleanMyMac X широко используется для очистки компьютеров Apple от мусора и оптимизации работы...

Samsung раскрывает подробности о Galaxy S11 О Samsung Galaxy S11 появляется все больше подробностей Смартфон Samsung Galaxy S11 еще даже не анонсирован (да и совсем не факт, что называться он будет именно так, хотя вероятность крайне высока). Однако же, кажется, завеса тайны начала приоткрываться. Ведь южнокорейская к...

Nanoco и Plessey намерены уменьшить полноцветные пиксели microLED на 87% Компании Plessey Semiconductors и Nanoco Technologies объявили о партнерстве, целью которого является уменьшение размеров пикселей монолитных дисплеев microLED. Для достижения указанной цели партнеры намерены обратиться к технологии квантовых точек. Говоря более конкрет...

Утечка раскрыла характеристики грядущего чипсета Qualcomm Snapdragon 735: 7-нм техпроцесс, GPU Adreno 620 По данным инсайдера Sudhansu Ambhoe, компания Qualcomm работает над созданием нового мобильного процессора смартфонов предтопового сегмента под названием Snapdragon 735, и этот чипсет изготавливается по нормам 7-нанометрового технологического процесса. И это существенное отл...

Внедрением SAP Business One повысила производительность «Полимер Системс» на 20% Компания «Полимер Системс» повысила производительность и эффективность управления в результате внедрения SAP Business One. Партнером по внедрению системы выступила компания «ЦМД-софт». Помимо основных компонентов было установлено ...

SoC Kirin 720, которая ляжет в основу Huawei Nova 5, представят завтра Компания Huawei на завтра назначила пресс-конференцию, которая посвящена анонсу новой однокристальной системы семейства Kirin. Источники считают, что речь может идти о новой флагманской однокристальной системе Kirin 985, которая будет производиться по нормам 7-нм технол...

Ключевые тренды в разработке ПО Как устроена современная разработка программного обеспечения? Чтобы ответить на этот вопрос, компания Atlassian провела опрос 500 профессионалов в данной области, по результатам которого были определены ключевые тренды в применении современных практик и подходов к разработ...

Shadow of the Tomb Raider добавили поддержку технологии AMD FidelityFX «Shadow of the Tomb Raider» получил поддержку AMD FidelityFX . В последнем обновлении Patch 18 добавлен переключатель FidelityFX, позволяющий повысить частоту кадров путем небольшого уменьшения масштаба разрешения, что приводит к повышению частоты кадров и компенсации потери...

Sequans Monarch 2 — второе поколение однокорпусной платформы LTE IoT Компания Sequans Communications на днях представила второе поколение своей однокорпусной платформы с поддержкой LTE-M и NB-IoT — Monarch 2. По словам производителя, Monarch 2 опирается на трехлетний опыт, полученный в ходе практического использования первого покол...

Использование 3D-печати на примере Ford Эффективное производство С момента своего основания — 1914 года, компания Ford внедряла инновации в автомобильной промышленности: от изобретения движущейся сборочной линии 115 лет назад, также известной как конвейер Форда, до использования аддитивных технологий сегодня. Всем...

При производстве SoC Kirin для смартфонов Huawei на заводе TSMC возникли проблемы Компания Taiwan Semiconductor Manufacturing Co. (TSMC) является крупным производителем полупроводниковой продукции, в частности, однокристальных систем для Huawei, Apple, Qualcomm, MediaTek и других компаний. Вот уже второй раз за последние несколько месяцев на произво...

Понеслась. MSI и Dell первыми анонсировали ноутбуки на базе новейших процессоров Intel Core 10-го поколения (Comet Lake) Только вчера компания Intel расширила 10-е поколение процессоров Core еще одной мобильной линейкой — 14-нанометровыми Comet Lake (напомним, первыми в начале месяца дебютировали 10-нанометровые Ice Lake) и производители мобильных ПК, не дожидаясь грядущей выставки IFA 2019, т...

Охлаждение ЦОД: новости от Kyocera, ZutaCore и PwrCor Kyocera построит ЦОД, который будет охлаждаться с помощью снега Телекоммуникационная компания Kyocera Communication Systems планирует построить в городе Исикари (остров Хоккайдо, Япони) центр обработки данных, работающий исключительно на ветре, солнечной энергии и биомассе,...

«Роснефть» перейдет на отечественное ПО Об этом рассказали представители Компании на XII научно-практической конференции "Актуальные задачи нефтегазохимического комплекса. Добыча и переработка". "Роснефть" уделяет особое внимание инновационной деятельности и использованию прорывных технологичес...

На Computex 2019 Lenovo представит первый ПК на Windows 10 с поддержкой 5G В декабре прошлого года компания Qualcomm представила миру первый в мире 7-нанометровый процессор Snapdragon 8cx, предназначенный для использования в устройствах Always Connected PC. В начале этого года на MWC 2019 был анонсирован процессор Snapdragon 8cx 5G, который являет...

Запущен первый российский двухлазерный 3D-принтер Первый в России двухпорошковый двухлазерный 3D-принтер является продуктом совместной разработки Уральского электрохимического комбината, НПО «Центротех», НПО ЦНИИТМАШ и ещё нескольких предприятий и научных институтов госкорпорации «Росатом». Одним из ключевых направлений стр...

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

[Из песочницы] Xamarin.Forms — удобное использование иконочных шрифтов в приложении Постановка задачи Для отображения иконок в приложении Xamarin.Forms можно использовать изображения в различных форматах, например png, svg или шрифты ttf. Чаще всего для добавления стандартных иконок удобен шрифт с иконками, например google material icons. Шрифт с иконками ...

Как правильно выбрать аккумуляторную батарею для ноутбука Переносная компьютерная техника пользуется повышенной популярностью среди представителей бизнеса, студентов ВУЗов, любителей компьютерных игр. Современные модели гаджетов отличаются высокими техническими характеристиками, компактностью, многофункциональностью, износостойкост...

Dassault Systemes провозглашает «экономику впечатлений» Компания Dassault Systemes провела в Москве ежегодный 3DEXPERIENCE Forum, познакомив его участников с концепцией Индустриального ренессанса. 3DEXPERIENCE Forum в Москве собрал представителей отечественных и международных компаний, обсудивших возможности современных технол...

Глава Xiaomi подтвердил уменьшение количества рекламы в MIUI Слухи о том, что в MIUI станет меньше рекламы, ходят уже примерно месяц. В отделе разработки оболочки знают, что это один из самых раздражающих моментов для пользователей, и вполне закономерно ищут пути решения проблемы. Теперь в том же ключе высказался и глава Xiaomi Л...

Микроконтроллер Renesas RX66T для управления электроприводом бытовой техники способен диагностировать его неисправность без дополнительных датчиков Компания Renesas Electronics объявила о доступности решения под названием e-AI (встраиваемый искусственный интеллект). Оно предназначено для обнаружения отказов бытовых электроприборов с электроприводом, построенных на 32-разрядных микроконтроллерах Renesas. RX66T. Это ...

У 89% финансовых отделов искусственный интеллект еще только в планах Об этом свидетельствует новое исследование, проведенное Ассоциацией международных сертифицированных профессиональных бухгалтеров (Association of International Certified Professional Accountants) совместно с корпорацией Oracle, в котором приняли участие более 700 финансовых р...

На заводе «Ангстрем-Т» освоена технология Trench MOSFET Российское предприятие «Ангстрем-Т» сообщило об освоении современной технологии полупроводникового производства. Речь идет о технологии силовых транзисторов Trench MOSFET. В настоящий момент в России только «Ангстрем-Т» может выпускать транзистор...

На CES 2019 представлена новая линейка SSD Plextor потребительского сегмента Компания Plextor представила на выставке CES 2019 новую линейку твердотельных накопителей потребительского сегмента, которая скоро пополнит ассортимент производителя. Более интересной из двух предстоящих новинок является серия SSD M10Pe. Эти накопители с интерфейсом PCI...

Intel представила массу новых серверных CPU, среди которых есть 48-ядерная модель Компания Intel сегодня провела масштабную «атаку» рядом новых продуктов, тем или иным образом связанных с работой с данными. Первым пунктом у Intel идут новые процессоры, причём сразу несколько новых линеек. Для начала компания представила новые серверные CP...

AMD может запустить графические процессоры RDNA 2 следующего поколения на CES 2020 AMD предположительно собирается провести мероприятие на CES 2020, чтобы продемонстрировать свое следующее видеокарту Radeon. Ожидая огромного успеха с графическими процессорами первого поколения «RDNA», AMD продемонстрирует улучшенную линейку, используя новую улучшенную архи...

Новый средний класс. SoC Snapdragon 730 набирает более 200 тыс. баллов в AnTuTu Индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) несколько минут назад на своей страничке в Twitter опубликовал результаты тестирования неизвестного устройства, построенного на базе новой мобильной платформы Snapdragon 730. Суммарная оценка превысила 202 тыс. ба...

Rambus приобретает разработчика IP-ядер контроллеров памяти, PCIe и MIPI Компания Rambus, называющая себя ведущим поставщиком IP-ядер и микросхем, объявила о подписании окончательного соглашения о приобретении компании Northwest Logic, специализирующейся на разработке IP-ядер контроллеров памяти, PCIe и MIPI. Разработанные специалистами...

Представлен электрический уничтожитель комаров Xiaomi Yeelight У Xiaomi уже есть парочка фумигаторов, но так как сейчас самый разгар сезона, то компания решила выпустить еще одно аналогичное устройство под названием Xiaomi Yeelight. В режиме работы лампа для уничтожения комаров Xiaomi Yeelight излучает ультрафиолетовое излучение с...

России нужны 145 миллиардов на цифровых двойников В распоряжении CNews оказался проект дорожной карты по развитию новых производственных технологий (НПТ), подготовленный Санкт-Петербургским политехническим университетом им. Петра Великого (СПбПУ). Документ был разработан в рамках реализации мероприятий федерального проекта...

Oracle нужны партнеры в облаках Ключевыми темами прошедшей в Москве конференции Oracle Partner Executive Forum CIS стали облачные решения и другие технологические инновации корпорации. Традиционная партнерская конференция корпорации Oracle прошла в этом году в Москве в марте под названием Oracle Partner...

Schneider Electric запускает умное предприятие в США Применяя самые современные и перспективные технологии вкупе с преимуществами IIoT, Schneider Electric удалось не только оптимизировать производственные процессы, но и показать экспертизу в области промышленного интернета вещей и интеллектуальных технологий в целом.Компани...

Samsung создала 20 Мп датчик изображения для «дырявых» ... Компания Samsung продолжает пополнять модельный ряд фирменных датчиков изображения и сегодня представила сенсор ISOCELL Slim 3T2. Новое изделие позиционируется как самое компактное в своем классе, и оно найдет свое применение в современных безрамочных устройствах. Утверждает...

Завод Tesla Gigafactory 1 уже произвёл более 600 млн аккумуляторных батарей для электромобилей Как сообщает источник, завод Tesla Gigafactory 1, с которым у компании было немало проблем на момент постройки и запуска, сейчас превратился в один из самых главных активов Tesla. С момента запуска на Gigafactory 1 уже произведено более 600 млн аккумуляторных батарей д...

Cooler Master выпустила вентилятор MasterFan SF120M Впервые эта модель была замечена на CES 2019 на стенде Cooler Master. Вентиляторы MasterFan SF120M относятся к топ сегменту, Cooler Master составят конкуренцию Noctua. SF120M оснащен антивибрационным двигателем и конструкцией глушителя звука. Двойной шариковый подшипни...

Уволен через автоматизацию Вспомним, что такое автоматизация. Возьмем, например, определение из Википедии. Автоматизация — одно из направлений научно-технического прогресса, использующее саморегулирующие технические средства и математические методы с целью освобождения человека от участия в процессах...

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Переговоры MediaTek с Apple и Samsung. Ее чипы — флагманам и машинам На чипсетах MediaTek, как широко известно все интересующимся мобильными технологиями, базируются очень многие недорогие, но, при этом, достаточно производительные смартфоны. Новое сообщение указывает, что компания станет развивать предлагаемые ею комплектующие в направлении...

LG Chem покупает у DuPont технологию, необходимую для изготовления панелей OLED методом струйной печати LG Chem, дочерняя компания LG Group, приобрела у американской химической компании DuPont ключевые технологии, используемые для производства «растворимых органических светоизлучающих диодов» (soluble OLED). Эти технологии необходимы для изготовления дисплеев ...

3D-ПЕЧАТЬ МЕТАЛЛАМИ. Характеристики и особенности материалов.  Одно из важных преимуществ 3D-печати металлами – возможность создать изделие из практически любого сплава. Помимо стандартных металлов существует широкая номенклатура специальных сплавов – уникальных высокотехнологичных материалов, которые производятся под определенны...

Кроссовки Adidas Futurecraft Loop рассчитаны на полную переработку Летом прошлого года компания Adidas пообещала к 2024 году перейти на использование только переработанных пластмасс. В рамки этой концепции укладывается новинка, представленная сегодня известным производителем спортивной одежды и обуви. Кроссовки Adidas Futurecra...

Однокристальная система OmniVision OX01F10 совмещена с датчиком изображения Компания OmniVision Technologies, специализирующаяся на выпуске датчиков изображения и процессоров изображения, объединила эти изделия в одном. Недавно она представила однокристальную систему OX01F10, совмещенную с датчиком изображения оптического формата 1/4 дюйма. Раз...

Huawei Mate 30: характеристики и время анонса Huawei Mate 30 станет очередной попыткой компании на соперничество с флагманами Samsung или Apple. Ожидалось, что новое поколение имиджевого флагмана представят в октябре нынешнего года. Но теперь есть предсказания, что компания ускорилась, и анонс линейки Mate 30 должен сос...

Новый флагман Samsung под угрозой. Производство Galaxy Note10 пострадало из-за торговой войны Кореи с Японией Затянувшиеся торговые трения между Южной Кореей и Японией уже сказались на Samsung Electronics. Компания была вынуждена снизить темпы производства однокристальных систем для будущего флагманского смартфона Galaxy Note10. Об этом сообщило корейское издание The Inves...

Qualcomm выпустила рекордно быстрый 5G-модем для смартфонов-флагманов 2019-2020 гг. Компания Qualcomm объявила о выпуске модема Snapdragon X55 5G второго поколения. 7-нанометровый одночиповый интегрированный мультирежимный модем поддерживает частотные диапазоны ниже 6 ГГц и диапазон миллиметровых волн 5G NR со скоростями скачивания до 7 Гбит/с, загрузки до ...

В чем заключаются главные препятствия для IoT в промышленности? Если в 2016 году 60% опрошенных компаний ожидали к 2020 году практического внедрения IoT у себя на производстве, то сейчас их доля сократилась до 50%, приводит "КоммерсантЪ" данные отчета.Кроме того, опрошенные заявили, что практическое применение таких технологий ...

Крошечные компьютеры изменят нашу жизнь. Но построить их крайне трудно Возможно, вы смотрели «Внутреннее пространство», комедийный научно-фантастический фильм 80-х о микроскопической пилотируемой капсуле, введенной в человека? Несмотря на то, что мы еще далеки от создания подводных лодок, плавающих в теле человека, технические достижения позво...

Компания Panasonic первой в отрасли разработала технологию массового производства микрофлюидных приборов методом литья стекла Компания Panasonic сообщила о разработке совместно со специалистами института микрохимических технологий (IMT) технологии массового производства микрогидродинамических или микрофлюидных приборов методом литья стекла. Эта технология обеспечивает снижение стоимости приме...

Ростех представит на ЦИПР-2019 дорожные карты по развитию 5G в России Переход на новые стандарты связи в масштабах страны - это всегда большие трудозатраты и гигантские финансовые вложения. По данным аналитиков развитие сетей 5G в 2020-2027 гг. обойдется каждому сотовому оператору в России в 130-165 млрд руб. Финальные суммы зависят от того, н...

Intel извинилась перед своими клиентами и партнёрами Компания Intel уже достаточно давно борется с дефицитом своих процессоров. Частично ей удалось решить проблему, как минимум, если говорить о настольном сегменте. Но сама же компания заявляла, что до конца года дефицит ещё будет сохраняться, а, возможно, и в следующем го...

3D-печать керамикой: преимущества и сферы применения | Технология Admaflex (часть 1). Сегодня мы начинаем цикл статей о керамической 3D-печати. Расскажем о преимуществах и сферах применения 3D-печати керамикой, особенностях технологического процесса, оборудовании и материалах для печати. <br /> <br>Керамика - материал будущего<br /> Почему к...

Fiat Chrysler Automobiles будет использовать технологии Harman и Google в экосистеме подключенных автомобилей Компания Fiat Chrysler Automobiles NV (FCA) сегодня объявила о том, что будет использовать технологии Harman (напомним, с 2016 года эта компания принадлежит Samsung) и Google для создания новой экосистемы подключенных транспортных средств FCA по всему миру. Предполагае...

Pure устремляется в облака В Москве состоялся форум Pure//Live Russia 2019. Компания Pure Storage провела 19 ноября в Москве форум Pure//Live Russia 2019. Основными темами мероприятия, прошедшего спустя полтора месяца после масштабной конференции Pure//Accelerate 2019 в Остине, стало представление ...

Бенчмарк показал производительность Snapdragon 665, Snapdragon ... Несмотря на то, что среднего уровня платформы Snapdragon 665 и Snapdragon 730/730G были представлены всего пару дней назад, зарубежные издания сумели заполучить результаты тестирования новых чипов в бенчмарке AnTuTu. Более того было даже проведено сравнение производительност...

Вопреки слухам, дрон DJI Spark 2 этим летом не выйдет Прошел почти год с тех пор, когда компания DJI представила последний на данный момент дрон потребительского сегмента. Если быть точным, 18 июля прошлого года вышли модели Mavic 2 Pro и Zoom. С тех пор поклонникам продукции китайского производителя приходилось довольство...

Sony создала чип для Интернета вещей с радиусом действия около 100 км Сетевые источники сообщают о том, что компания Sony разработала чип, который может изменить способ передачи данных устройствами, используемые в сфере Интернета вещей (IoT). Новый модуль, установленный на любом IoT-устройстве, делает возможным отправку данных в собственную се...

Dassault Systèmes проведет 13-й 3DEXPERIENCE Forum 18 апреля в технопарке «Сколково» Ключевой темой мероприятия станет Индустриальный Ренессанс – новый этап цифровизации промышленных предприятий Компания Dassault Syst?mes, мировой лидер в области решений для 3D-проектирования, создания цифровых 3D-макетов и управления жизненным циклом изделий, проведет 13-й...

AMD анонсировала третье поколение процессоров Threadripper Компания AMD официально анонсировала третье поколение процессоров Ryzen Threadripper, которые построены на архитектуре Zen 2 с использованием 7-нанометрового техпроцесса. По словам представителей компании, сделанные улучшения обеспечивают увеличение эффективности работы все...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Продажи фотошаблонов для полупроводникового производства в 2018 году достигли рекордного уровня Отраслевая ассоциация SEMI, в которую входят представители цепочки поставок в области производства и проектирования электроники, сообщила интересный факт: в 2018 году продажи фотошаблонов или масок для полупроводникового производства впервые превысили 4 млрд долларов. Г...

Дроны Wing в Австралии пугают людей и собак Как известно, торговые организации рассматривают беспилотные летательные аппараты как перспективное средство доставки заказов. Компания Alphabet в рамках проекта Wing проводит тестирование в Австралии, где дроны Wing доставляют заказы одной из местных сетей быстрого пит...

Snapdragon 855 лидирует в рейтинге мобильных чипов с ИИ-движком Представлен рейтинг мобильных процессоров в плане быстродействия при выполнении операций, связанных с искусственным интеллектом (ИИ). Многие современные чипы для смартфонов оснащаются специализированным ИИ-движком. Он помогает повысить производительность при выполнении таких...

По мнению AMD, в ближайшие десять лет основным материалом для процессоров останется кремний Старший вице-президент AMD Форрест Норрод (Forrest Norrod), курирующий направление, связанное с вычислительными центрами, недавно прокомментировал перспективы перехода в процессорах от кремния к графену. По словам Форреста, хотя графен представляется очень перспективны...

AMD Navi ломает традиции графических процессоров Как правило, компании начинают с выпуска флагманских продуктов, а затем снижают цены, предлагая решения с компромиссной производительностью. Но к Navi это не относится. Архитектура графических процессоров AMD Navi, появление которых ожидается в этом году, преподнесет всем...

Что необычного в процессах Ice Lake от Intel Ice Lake — еще более мощные процессоры от Intel Технологический процесс 10 нм+. Новая архитектура Sunny Cove (солнечная бухта). Искусственный интеллект (DL Boost и GNA), в центре особого внимания максимизация производительности ядра и стабильность. Чем-то “яблочным” от...

BILL-E - маленькие роботы, способные собирать большие структуры совместными усилиями Современная промышленность уже широко использует роботов для производства таких вещей, как автомобили, электронные устройства и т.п. И каждый робот занимает в технологическом процессе строго определенное место, выполняя только одну заданную ему работу. Исследователи из Масса...

В Intel придумали, как противостоять успехам AMD В сети появилась статья, размещенная на внутреннем портале Intel для сотрудников. В ней подробно изложена новейшая история AMD и признано, что эта компания достигла в последние годы огромного роста. Компании AMD и Intel были созданы примерно 50 лет назад. И хотя Intel ...

В этом году HiSilicon может сместить MediaTek с позиции крупнейшего азиатского разработчика микросхем HiSilicon, дочерняя компания Huawei, специализирующаяся на разработке микросхем, может в текущем году стать крупнейшим азиатским поставщиком этой продукции, сместив с позиции лидера тайваньскую компанию MediaTek. Этот прогноз основан на планах китайской компании, предус...

Hitachi Vantara предлагает новые продукты для защиты и хранения данных Заказчикам стали доступны надежные облачные решения, работающие под защитой межсетевых экранов и обеспечивающие комплексные сервисы корпоративного класса при минимальном уровне затрат. Hitachi Vantara представила новое решение Data Protection-as-a-Service (защита дан...

[Из песочницы] Взгляд юриста: как IT компании расторгнуть контракт с токсичным госзаказчиком IT компания победила в гостендере на разработку системы обучения. Приступила к исполнению и ее начали жестко сливать. В чем причина? Как происходит слив? И под какие риски подписывается разработчик, входя в тендер? Часть 1: темные стороны ТЗ Одно скромное ФГБУ (федеральное...

SK Hynix начинает поставку 96-слойной флеш-памяти 4D QLC NAND Компания SK Hynix объявила о начале поставок ознакомительных образцов кристаллов флеш-памяти плотностью 1 Тбит с объемной компоновкой, которая насчитывает 96 слоев ячеек. Производитель называет эту память QLC 4D NAND. Каждая ее ячейка может хранить четыре бита. Toshib...

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

В России разработан новый электронный терминал для голосования Терминал для голосования является основой для комплекса средств автоматизации цифрового избирательного участка, также разработанного "Автоматикой". Комплекс ведет автоматизированный подсчет голосов, фиксирует итоги голосования и формирует отчет с данными о голосова...

Вслед за Ice Lake: Intel может скоро предсавить 10-нанометровые CPU Lakefield для бюджетных ультрабуков Intel выпустила процессоры Ice Lake всего 10 дней тому назад, но компания уже готовится представить следующее семейство мобильных 10-нанометровых CPU — Lakefield. В отличие от старших братьев, они будут предназначены для использования в доступных ультрабуках и уст...

Samsung выпустит процессор Exynos 9710: 8 нм, восемь ядер и блок Mali-G76 MP8 Компания Samsung готовит к выпуску новый процессор для смартфонов и фаблетов: информацию о чипе Exynos 9710 обнародовали интернет-источники. Сообщается, что изделие будет производиться по 8-нанометровой технологии. Новинка придёт на смену мобильному процессору Exynos 9610 (1...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Большая утечка раскрыла подробности о флагманских камерофонах Huawei P30 Китайские источники опубликовали новые подробности о смартфонах серии Huawei P30, чей анонс ещё только ожидается. Huawei P30 Pro получит экран OLED, как и прошлогодний P20 Pro, но на этот раз панель больше похожа на Mate 20 Pro. Экран имеет увеличенную до 6,5 дюйм...

Huawei стала вторым по величине клиентом TSMC Huawei первой закажет у TSMC выпуск чипов по технологии, основанной на использовании литографии в жестком ультрафиолетовом диапазоне.

В 2018 году компания Renesas продала продукции на 8,87 млрд долларов Компания Renesas Electronics, являющаяся крупнейшим мировым производителем микроконтроллеров и вторым в мире производителем специализированных процессоров, опубликовала отчет за четвертый квартал 2018 года и год в целом. Квартал принес японскому производ...

Jaguar и Land Rover поборются с бактериями Эксперты Jaguar Land Rover уверены, что технология ультрафиолетового излучения UV-C, которая используется в медицине более 70 лет, способна предотвратить размножение бактерий и вирусов в салоне автомобиля. Ультрафиолет широко используется для дезинфекции воды, фильтрации воз...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Разработчик называет Redcat 250mm f/4.9 «самым резким в мире» полнокадровым объективом с фокусным расстоянием 250 мм На сайте Kickstarter завершается сбор средств на выпуск объектива Redcat 250mm f/4.9, который его разработчик называет «самым резким в мире» полнокадровым объективом с фокусным расстоянием 250 мм. Объектив построен по очень простой оптической схеме Петцва...

Toshiba интегрирует в автомобильную однокристальную систему для распознавания изображений ускоритель нейронной сети Системы помощи водителю постепенно наделяются все более сложными функциями, для реализации которых необходимо быстрое распознавание изображений, позволяющее в процессе движения анализировать дорожные знаки и возникающие ситуации. Компания Toshiba сообщила о разработке ...

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

Python потребляет много памяти или как уменьшить размер объектов? Проблема памяти может возникнуть, когда в процессе выполнения программы нужно иметь большое количество объектов, особенно если есть ограничения на общий размер доступной оперативной памяти. Ниже приводится обзор некоторых методов уменьшения размера объектов, которые позволяю...

Привет из прошлого: GIGABYTE оснастила новую плату процессором 2013 года Компания GIGABYTE представила довольно странную новинку — материнскую плату GA-IMB1900TN, предназначенную для компьютеров небольшого форм-фактора. Решение изначально оборудовано процессором Intel. При этом применён чип поколения Bay Trail, выпущенный ещё в 2013 году. Речь ид...

Samsung будет выпускать для Intel процессоры Rocket Lake Не секрет, что компания Intel до сих пор полностью не справилась с дефицитом своих процессоров. В конце прошлого года Intel пришлось в связи с этой ситуацией отдать на аутсорсинг производство чипсетов — этим занялась TSMC. Также ходили слухи, что TSMC может начать...

Характеристики смарт-телевизоров Xiaomi Mi TV 5 раскрыты до анонса На 5 ноября китайская компания Xiaomi наметила крупную презентацию, на которой в числе других новинок дебютируют «умные» телевизоры семейства Mi TV 5. Несколько обнародованных тизер-изображений раскрывают характеристики этих панелей. Основой телевизоров послужит 12-нанометро...

Экономика данных: не только технологии, но и люди Компания Intel провела в Москве форум Intel Experience Day 2019. К 2030 году доля ВВП в мировой экономике, полученная благодаря данным, составит 13 трлн долл. &mdash; больше, чем вся экономика современного Китая. Это становится определяющим фактором при выборе бизнес-...

SC19: Intel представила Ponte Vecchio — первый 7-нм GPU на архитектуре Xe, заточенный под HPC и ИИ Как и ожидалось, в рамках конференции SC19 компания Intel представила свой первый графический процессор на архитектуре Intel Xe HPC, который получил кодовое название Ponte Vecchio, в часть средневекового моста Понте-Веккьо в итальянской Флоренции. Компания Intel называет нов...

Samsung представила процессор Exynos 990 и модем 5G Exynos Modem 5123 В сентябре в модельном ряду чипов Samsung появилась новинка Exynos 980, ставшая первым процессором производителя со встроенным 5G-модемом. А сегодня состоялся анонс его продолжения — Exynos 990. Но здесь решено отказаться от интеграции модуля связи для сетей пятого пок...

Константину Носкову представили опыт цифровизации компании «Протон-ПМ» В мероприятии также приняли участие губернатор Пермского края Максим Решетников и заместитель председателя правительства - министр промышленности, предпринимательства и торговли Пермского края Алексей Чибисов. Интегрированную структуру ракетного двигателестроения представили...

Президент Xiaomi показал и рассказал о том, как производят флагман Mi 9 Смартфон Xiaomi Mi 9 оказался сверхпопулярным, поэтому едва ли не сразу после его выхода топ-менеджеры компании начали говорить о необходимости повышения темпов производства модели. Ранее президент Xiaomi пообещал нарастить производство Mi 9 в течение двух недель, а сей...

Apple хочет снизить свою зависимость от Samsung iPhone X В 2017 году Apple решилась на кардинальные изменения и оснастила iPhone X большим OLED-экраном. Сомневаться в качестве матриц не приходилось, ведь за поставки отвечал лидер индустрии — Samsung. Южнокорейский гигант занял 90% доли рынка поставок матриц, не оста...

Путин утвердил Национальную стратегию развития искусственного интеллекта до 2030 года Документ призван обеспечить ускоренное развитие искусственного интеллекта, проведение научных исследований в этой области, повышение доступности информации и вычислительных ресурсов для пользователей, а также совершенствование системы подготовки кадров в данной сфере, говори...

MediaTek представит свой чипсет с поддержкой 5G в конце этого месяца Компании Huawei, Samsung и Qualcomm уже представили чипсеты с поддержкой 5G-модемов. Сетевые источники говорят о том, что скоро их примеру последует MediaTek. Тайваньская компания объявила о том, что новая однокристальная система с поддержкой 5G будет представлена в мае 2019...

Токарные работы от 3D GURU Во многих производственных отраслях используются токарные работы. Связанно это с тем, что изделия, обработанные и созданные на токарных станках, имеют точные параметры и необходимую степень шлифовки. Заказать токарные работы в Москве можно в компании 3D GURU. Данное предприя...

Volvo и Geely будут вместе разрабатывать и выпускать двигатели внутреннего сгорания Компания Volvo Cars объединит свои активы в области разработки и производства двигателей с соответствующими активами материнской компании Geely. В результате объединения будет создано подразделение, которое обеспечит двигателями внутреннего сгорания следующего поколения...

Китайские учёные разработали 3-нм транзистор По сообщению китайского издания South China Morning Post, группа китайских исследователей из Института микроэлектроники китайской академии наук разработала транзистор, который можно будет выпускать в рамках 3-нм техпроцесса. В отличие от 3-нм структуры транзистора компании S...

Сотрудничество стран БРИКС в сфере ИКТ по предложению России расширено четырьмя новыми направлениями Новые направления были зафиксированы в Декларации пятой встречи министров связи стран БРИКС. Российскую Федерацию на встрече представлял замглавы Минкомсвязи Михаил Мамонов."Принятая декларация станет еще одним важным шагом на пути дальнейшего укрепления и развития со...

Контейнерные ЦОД: новости от Vapor IO, Emerson, BladeRoom и Vertiv Контейнерные центры обработки данных — это мобильные ЦОД, размещаемые в транспортных контейнерах с предварительно установленной инфраструктурой. Эти устройства могут выступать основой при создании модульных серверных ферм, в которых каждый модуль представляет собой отд...

Начались продажи гарнитуры дополненной и виртуальной реальности Varjo VR-1 стоимостью 5995 долларов Финская компания Varjo представила гарнитуру дополненной и виртуальной реальности, о разработке которой впервые стало известно летом 2017 года. По словам производителя, гарнитура VR-1 может похвастать дисплеями Bionic Display разрешением 60 PPD (пикселей на градус) и з...

Apple инвестирует 1 миллиард долларов в Индию Apple намерена инвестировать $1 миллиард в Индию, поскольку она готовится увеличить экспорт iPhone на рынок Европы. Times of India говорит, что Foxconn является главным инвестиционным партнером Apple в стране, который производит продукты Apple для поставки на мировые рынки. ...

TSMC присматривается к 1-нанометровой технологии Компания Taiwan Semiconductor Manufacturing Company (TSMC), крупнейший контрактный производитель полупроводниковых микрочипов, рассказала о развитии технологических процессов.

Большая часть финансовых компаний уже используют искусственный интеллект Компания SAS и Глобальная Ассоциация специалистов по управлению рисками (GARP) опубликовали результаты исследования "Искусственный интеллект в банковской сфере и управлении рисками".Основные области, в которых респонденты отмечают положительное влияние ИИ: автомати...

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

#видео | Дешевый робот Doggo способен выполнять трюки не хуже роботов Boston Dynamics Студенты Стэндфордского университета представили четвероногого робота Doggo, предназначенного для самостоятельной сборки. При желании его может собрать любой желающий, используя доступные в продаже компоненты, открытое программное обеспечение, а также документацию, которую ...

По прогнозу ABI Research, к 2025 году на складах по всему миру будет «трудоустроено» более 4 млн роботов К 2025 году в мире будет более 4 миллионов роботов, работающих на складах. Число роботизированных складов за это время увеличится более чем десятикратно — примерно с 4000 (показатель 2018 года) более чем до 50 000. Такой прогноз дают специалисты консалтингово...

TSMC пересмотрит цены с поставщиками кремниевых пластин Недавно крупнейший полупроводниковый производитель TSMC в числе первых в своей отрасли подвёл итоги работы в четвёртом квартале календарного 2018 года и сделал прогноз по выручке на первый квартал 2019 года. Компания огорошила общественность сообщением об ожидании сильнейшег...

Смартфоны Samsung получат 1 ТБ встроенной памяти Samsung Electronics начала массовое производство первого в отрасли встроенного модуля флеш-памяти Embedded Universal Flash Storage 2.1 (eUFS) емкостью 1 ТБ. Данное решение будет использоваться в мобильных устройствах следующего поколения. Несмотря на прежние разм...

Всё, что нужно знать о Windows 10X Компания Microsoft представила новую версию Windows 10, которая была перестроена с нуля с использованием Windows 10 Core Technologies. Фактически это новая редакция Windows 10, основанная на Windows Core OS, модульной версии Windows 10, позволяющей создавать операционные си...

Даже роботы переезжают в Китай. Adidas закрывает свои роботизированные заводы в Европе и США Компания Adidas объявила о том, что закроет оба своих роботизированных завода Speedfactory по производству обуви. У компании сейчас есть две таких фабрики: в Германии и США. Первая открылась в 2016 году, и тогда Adidas много рассказывала о своих планах относительно тако...

Huawei станет «первым в мире мобильным брендом с двумя 7-нанометровыми платформами». 21 июня будет представлена SoC Kirin 810 Компания Huawei опубликовала в социальной сети Weibo очередную тизерную картинку, посвященную смартфону Nova 5. Изображение демонстрирует многомодульную камеру во всей красе, но надпись сверху — интереснее. В ней компания называет себя «первым в мире мобиль...

Arm Musca-S1 — необычная тестовая микросхема для IoT Компания Arm в сотрудничестве с Samsung Foundry, Cadence и Sondrel продемонстрировала тестовую микросхему Musca-S1 для устройств интернета вещей, изготовленную на мощностях Samsung по 28-нанометровому техпроцессу FD-SOI. Это первая микросхема такого рода, оснащенная вст...

AMD анонсировала процессоры Ryzen 3000 PRO, APU Ryzen 3000 PRO с GPU Vega и Athlon PRO Компания AMD анонсировала линейку процессоров Ryzen PRO нового поколения. Эта линейка включает чипы Ryzen 3000, гибридные процессоры APU Ryzen 3000 с графикой Radeon Vega и AMD Athlon PRO. Линейка Ryzen PRO состоит из настольных процессоров, изготовленных по нормам 7-наномет...

Boeing разработал беспилотный летающий электромобиль Aurora Flight Sciences, дочерняя компания Boeing, объявила об успешном завершении первых испытаний своего первого беспилотного летающего электрического автомобиля. В ходе тестирования, автономное транспортное средство вертикально взлетело и зависло на несколько секунд. Следу...

Первое пилотное применение промышленного экзоскелета ExoBelt ExoBelt - первый российский промышленный экзоскелет, который позволит снизить нагрузку на позвоночник во время подъёма и переноски грузов, избежать травматизации рабочих, снизив общую утомляемость и уровень профзаболеваний на производстве. На текущий момент готов первый испы...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Samsung готовится к переходу на новую технологию производства DRAM-памяти Переход на 16-нанометровые нормы ожидается в 2020 году, говорят аналитики Digitimes Research.

У Volkswagen появился новый облачный партнер Немецкий автоконцерн объявил о внедрении облачных сервисов Amazon, чтобы автоматизировать все процессы логистики и производства автомобилей.

Nokia поможет Tele2 повысить скорость передачи данных в сотовой сети Компания Tele2 в рамках Петербургского международного экономического форума 2019 года объявила о заключении многолетнего соглашения о сотрудничестве с Nokia. Сообщается, что Nokia поможет Tele2 улучшить качество связи, повысить скорость передачи данных через сотовую сеть и п...

MediaTek готовит к выходу чип Helio G90 для игровых ... Провальные продажи чипа Helio X30 поспособствовали тому, что MediaTek оставила попытки создать флагманский, самодостаточный и конкурентоспособный процессор. Не выдержав конкуренции с Qualcomm, Huawei и Samsung, тайваньский чипмейкер принял решение прекратить проектирование н...

Новые подробности о пятиядерных гибридных процессорах Intel Foveros В будущем почти все продукты Intel будут использовать пространственную компоновку Foveros, её активное внедрение начнётся в рамках 10-нм техпроцесса. Второе поколение Foveros будет использоваться первыми 7-нм графическими процессорами Intel, которые найдут применение в серв...

Ускоритель ASUS TUF GeForce RTX 2060 OC рассчитан на компактные системы Компания ASUS анонсировала графический ускоритель TUF GeForce RTX 2060 OC, предназначенный для использования в корпусах с ограниченным внутренним пространством. «Сердцем» видеокарты (модель TUF-RTX2060-O6G-GAMING) служит чип NVIDIA Turing. Конфигурация включает 1920 ядер CUD...

Введена в строй самая большая в России установка для 3D-печати Объединённая двигателестроительная корпорация (ОДК), входящая в госкорпорацию Ростех, ввела в эксплуатацию самую крупную в нашей стране установку прямого лазерного выращивания из порошковых металлических материалов. Речь идёт о передовой системе 3D-печати. Она будет применят...

Вышли январские патчи для Android Компания Google выпустила новый набор патчей для Android, совокупно закрыв 27 уязвимостей. Тринадцать из них актуальны для всех мобильных устройств независимо от производителя. Самая серьезная брешь, CVE-2018-9583, присутствует в одном из системных компонентов Android. Она п...

Razer Hammerhead True Wireless: полностью беспроводные наушники-вкладыши за $100 Компания Razer представила очередную новинку — наушники-вкладыши Hammerhead True Wireless, которые уже доступны для предварительного заказа. Hammerhead True Wireless — это полностью беспроводное изделие. Комплект включает модули для левого и правого уха, между которыми отсут...

3М выпустила первый 3D-принтер, печатающий фторопластом Фторопласт используют в широком спектре отраслей: от потребительских товаров до аэрокосмической промышленности. На днях компания 3М продемонстрировала на выставке Formnext опытный образец 3D-принтера, который в качестве материала использует политетрафторэтилен. Его примен...

Системы ИБП для дата-центра: новости от Fuji Electric и Vertiv Достижения и рост в сферах облачных вычислений и виртуализации, а также развитие других передовых технологий стимулируют спрос на услуги ЦОД, что, в свою очередь, ведет к повышению востребованности источников бесперебойного питания (ИБП) для вновь возводимых или расширяемых ...

Toshiba и Western Digital готовят 128-слойную флэш-память 3D NAND Toshiba и ее стратегический союзник Western Digital готовят к выпуску флэш-память 3D NAND высокой плотности, в которой будет 128 слоев с ячейками памяти. В номенклатуре Toshiba такая память будет называться BiCS-5. Интересно, что микросхема будет относиться к типу TLC ...

На развитие квантовых технологий в России может быть потрачено более 43 млрд рублей Как стало известно "Коммерсату", 24 мая проект "дорожной карты" рассмотрит набсовет АНО "Цифровая экономика" (АНО ЦЭ), а затем правительство. Проект предполагает, что к 2024 году РФ станет значимым игроком на рынке квантовых технологий: по отдел...

10-нанометровый процессор Intel совершенно нового поколения впервые засветился в тесте Процессоры Intel Tiger Lake придут на смену поколению Ice Lake в следующем году. Первые CPU Tiger Lake появятся в мобильном сегменте — о настольном пока можно даже не упоминать. Несмотря на то, что поколение Ice Lake только начало появляться на рынке в готовых про...

Ford использует VR технологию для проектирования автомобилей Ford рассматривает возможность проектировать автомобили в виртуальной реальности. Автопроизводитель начал экспериментировать с инструментом Gravity Sketch, который позволяет дизайнерам рисовать 3D-автомобили в виртуальной реальности и тем самым экономить много времени на ра...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

Компания Intel анонсировала графический процессор Ponte Vecchio На конференции разработчиков суперкомпьютеров в Деневере компания Intel вчера рассказала о графическом ускорителе общего назначения (GPGPU), оптимизированном для суперкомпьютерных вычислений и искусственного интеллекта. Он построен на графической архитектуре Xe и носит ...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

Встроенные средства контроля ресурсов используемой оперативной памяти в приложении Встроенные средства контроля ресурсов используемой оперативной памяти в приложении Описывается достаточно простое в реализации программное средство контроля используемых ресурсов оперативной памяти в процессе выполнения приложения. Основу реализации составляет перехват и ре...

3D-принтеры по металлу Concept Laser (General Electric) оснастят системами компьютерного зрения и машинного обучения Еще несколько лет назад инженерам из General Electric (GE) требовалось 800 деталей, чтобы собрать лишь 30% нового турбовинтового двигателя. Сегодня для этого им достаточно всего 10 деталей. В этом и есть сила 3D-принтеров. Разработчики GE Additive используют возможности адди...

ГИС для отлова мошенников на госзакупках переведут на блокчейн и отдадут новому хозяину Об этом сообщает "Интерфакс" со ссылкой на заместителя руководителя Федеральной антимонопольной службы (ФАС) России Михаила Евраева.Сейчас за работу "Независимого регистратора" отвечает Минкомсвязи. В системе резервируется и хранится история проведения ау...

Технология mini-LED придет на автомобильный рынок в этом году Экраны на миниатюрных светодиодах (mini-LED), предназначенные для использования в автомобилях, появятся на рынке уже в этом году. Таково мнение отраслевых источников. Ожидается, что панели mini-LED найдут применение в экранах с проекцией на лобовое стекло, приборных пан...

Все заказы на модемы 5G пока достаются TSMC Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, уже получила заказы на выпуск модемов 5G, разработанных компаниями, не имеющими собственного производства. Более того, по данным отраслевых источников, пока что все заказы на вы...

Представлены миниатюрные студийные источники света Profoto C1 и C1 Plus для смартфонов Как и ожидалось, компания Profoto представила миниатюрные студийные источники света C1 и C1 Plus, предварительная информация о которых появилась несколько дней назад. Они разработаны специально для использования совместно со смартфонами. С учетом этого C1 и C1 Plus поме...

Разработка Fractal Antenna Systems повысит безопасность самоуправляемых автомобилей и миниатюрных спутников Компания Fractal Antenna Systems сообщила о разработке, которая может сделать движение самоуправляемых автомобилей более безопасным за счет улучшения видимости объектов, включая другие автомобили. Как утверждается, разработка также пригодится будущим летающим автомобиля...

Huawei Mate 30 уже тестируют Линейка Mate является имиджевой для компании и максимально насыщенной всевозможными технологиями. А еще и дорогой, словно инженеров не стали ограничивать в стоимости комплектующих и позволили создать максимально навороченный мобильник. Но, судя по статистике продаж, пользова...

Samsung увеличит цену своих мартфонов из-за новой упаковки Samsung Electronics может поднять цены на фирменные смартфоны в ближайшее время. Готовящееся повышение, вероятнее всего, затронет все аппараты вне зависимости от их текущей розничной цены. Это следует из материалов официального заявления компании, объявившей о намерении отк...

Новые модули флеш-памяти Micron, соответствующие спецификации UFS 2.1, предназначены для автомобильной электроники Компания Micron Technology представила новые модули флеш-памяти NAND, соответствующие спецификации UFS 2.1. Они предназначены для автомобильной электроники. По словам производителя, новая память обеспечивает быструю загрузку системы и найдет применение в информационно-р...

OFD.ru предлагает повысить эффективность бизнеса за счет использования экосистемы решений Разработки для повышения эффективности бизнеса IT-сферы и сектора Retail представил на ежегодной отраслевой конференции CNews «ИТ в ритейле 2019» OFD.ru. Один из крупнейших в РФ операторов фискальных данных познакомил IT-директоров и экспертов ведущих компаний ритейла с лучш...

Pegatron потратит до 1 миллиарда, чтобы перенести производство чипов для iPhone из Китая Поставщик Apple компания Pegatron планирует инвестировать до 1 миллиарда долларов в индонезийский завод по производству чипов для смартфонов Apple iPhone, о чем сегодня сообщили официальные источники. Тайваньский производитель дал документальное обещание правительству ...

Компания Samsung показала 82-дюймовую «электронную вывеску» разрешением 8K с поддержкой HDR10+ Сегодня в Амстердаме завершается выставка Integrated Systems Europe (ISE) 2019. Компания Samsung показала на этом мероприятии несколько новинок в категории «электронных вывесок». Пожалуй, наиболее интересной является панель QLED 8K. Панель размером 82 дюйма...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

ISE 2019: Christie обозначила наиболее перспективные технологии проекторов На стенде Christie, как ведущего производителя проекторов, можно было увидеть практически все существующие технологии, но акценты были сделаны на качественной цветопередаче В современных проекторах используется не менее десятка технологий, основанных на сочетании количества...

«Открытые технологии»: от суперкомпьютеров к «умным» платформам Компания отметила 25-летний юбилей подведением итогов и анонсом универсальной платформы машинного обучения. Компания &laquo;Открытые технологии&raquo; отметила четверть века работы на отечественном рынке высоких технологий. &laquo;Вместе со страной мы переж...

3D-печать железнодорожных запчастей от Stratasys для британских поездов Angel Технический директор Angel Trains Марк Хикс так прокомментировал новость о запуске печати деталей для поездов на 3D-принтере: «Мы гордимся тем, что внедряем это новшество с ESG Rail и Stratasys. Надеемся, что это решение поможет освободить отрасль от технологических ограниче...

Imagination Technologies делает технологию PowerVR Ray Tracing доступной для лицензирования Компания Imagination Technologies сообщила, что ее технология трассировки лучей PowerVR Ray Tracing доступна для лицензирования. По словам разработчика, высокоэффективный подход, реализованный в архитектуре PowerVR Ray Tracing, позволяет обрабатывать миллиарды лучей в с...

intoPIX представит на CES 2019 новый стандарт JPEG XS Бельгийская компания intoPIX, называющая себя «ведущим поставщиком инновационных технологий обработки изображений для профессиональных медиаприложений», намерена представить на выставке CES 2019 новый стандарт JPEG XS и провести предварительную демонстрацию ...

Fortnite для Android получил поддержку 60 FPS, но только на трех смартфонах Разработчики студии Epic Games представили обновление культовой игры Fortnite, которое получило номер 7.30. Выход апдейта стал знаковым событием для пользователей устройств под управлением ОС Android, часть из которых получила доступ к функции, прежде эксклюзивно доступной ...

Cisco покупает компанию Acacia Communications Компании Cisco и Acacia Communications объявили о заключении окончательного соглашения, в соответствии с которым Cisco приобретает компанию Acacia. Acacia разрабатывает и выпускает компоненты для высокоскоростных оптических соединений, поставляя эту продукцию, в том чи...

Билайн выбрал стартап для совместного проекта с использованием технологий 5G Команде o.vision будет предоставлена возможность протестировать свой проект в пилотной зоне 5G Билайн в Сколково, где компания сможет проверить работу своего решения с использованием беспроводных технологий пятого поколения и решения MEC (Mobile Edge Computing). Комбинация т...

MediaTek анонсировала линейку 5G-чипсетов Dimensity: поддержка двух 5G-SIM, Wi-Fi 6 и Bluetooth 5.1 Компания MediaTek подготовила к выпуску новую линейку систем-на-чипе с поддержкой связи 5G. Эта серия получила название Dimensity. А первым чипсетом в рамках новой линейки стала модель Dimensity 1000 5G. Процессор MediaTek Dimensity 1000 5G изготавливается по нормам 7-наноме...

Камеры Nikon Z 6 и Z 7 научатся работать с картами памяти CFexpress, фокусироваться по глазам и снимать видео в RAW Как мы уже сообщали, компания Nikon в преддверии выставки CES 2019 представила объектив Nikkor Z 14-30mm F4 S для камер системы Nikon Z и комплект для видеосъемки, в который входит камера Nikon Z 6. Рассказывая о новинках, производитель одновременно анонсировал выпуск о...

КАМАЗ создает цифровые двойники на производстве "За 11 месяцев 2018 года спроектировано 1780 новых изделий различных видов оснастки - станочной, сборочной, сварочной, термической, разнообразного режущего инструмента, контрольных приспособлений, а также технологического оборудования", - рассказал главный конструк...

Xpeng P7 — первый умный автомобиль на платформе Alibaba In-Car Mini APP и первый с цифровой аутентификацией по стандартам IFAA Компания Xpeng Motors объявила, что «умное электрическое купе» Xpeng P7, выпуск которого запланирован на весну 2020 года, станет первым, в котором будет внедрена аутентификация (технология цифровых автомобильных ключей), соответствующая стандартам IFAA. Кром...

Прощай, LGA 1151. В следующем году процессоры Intel Comet Lake потребуют нового сокета Как известно, настольных процессоров Intel семейства Ice Lake в ближайшее время ждать не стоит. Судя по всем слухам и официальным заявлениям, они выйдут в лучшем случае в начале 2021 года, хотя сегодняшние слова главы Intel заставляют засомневаться в этом. Возможно, Int...

Названы самые распространенные типы ИИ-решений в России Исследование "Цифровая экономика от теории к практике: как российский бизнес использует ИИ", проведенное РАЭК и НИУ ВШЭ при поддержке Microsoft показало, что наиболее часто используемым типом решений на базе ИИ в России являются виртуальные помощники: их применяют ...

Наконец-то. Струйная технология OLED находится на пороге серийного производства Технология производства дисплеев из органических светоизлучающих диодов (OLED) методом струйной печати должна войти в массовое производство в следующем году, а в период 2020 по 2024 год ожидается расширение соответствующих мощностей в 12 раз. Такими данными располагают ...

Универсальное крепление Streacom UM2 позволяет использовать корпуса в качестве радиатора процессора Компания Streacom, выпускающая корпуса для мини-ПК с пассивным охлаждением, представила универсальное крепление UM2, которое позволяет использовать корпуса Streacom FC5, FC8, FC9 и FC10 компании с некоторыми новыми типами разъемов для процессоров. Комплект поставки в...

«Ростелеком» организовал вещание телеканалов в формате 4K Компания «Ростелеком» объявила о начале трансляции телевизионных передач в формате высокой чёткости — 4K (Ultra HD). Вещание ведётся в сервисе Wink и «Интерактивном ТВ». Отмечается, что запуску телеканалов в формате 4K предшествовало углублённое тестирование вещания, которое...

Опрос: операторы ЦОД полагаются на устаревшие инструменты безопасности Появляющиеся практически еженедельно громкие новости о массовых учетных данных подчеркивают проблемы, связанные с безопасностью использования паролей. Пользователи либо выбирают простые легкоугадываемые пароли, либо, когда требуется подобрать что-то более сложное, начинают и...

Лицевые льготы: банки готовы снизить ставки для кредитов по биометрии Кредитные организации рассматривают возможность уменьшения ставок по займам, выданным с помощью биометрии, рассказали "Известиям" в крупнейших российских банках. Это стало реальным, после того как ЦБ снизил требования к обязательным резервам по ссудам клиентам, сдавшим сле...

В США создан очень необычный краситель PhotoChromeleon Ink Специалистам лаборатории компьютерных наук и искусственного интеллекта (CSAIL) Массачусетского технологического института удалось создать краситель, цвет которого можно менять в процессе эксплуатации уже покрашенного изделия. Исследователи смешали фотохромные кр...

Мобильные семинанометровые процессоры AMD Ryzen появятся на рынке к концу года или в начале следующего Недавно компания AMD поверхностно представила семинанометровые настольные CPU Ryzen третьего поколения. Они выйдут на рынок когда-то позже в этом году, но слухи говорят примерно о втором квартале. Чуть ранее AMD представила и новые мобильные процессоры Ryzen, только вот...

Xiaomi стала одним из крупнейших акционеров компании VeriSilicon, занимающейся разработкой SoC Компания Xiaomi входит в число крупнейших производителей смартфонов в мире. Как и многие другие гиганты, Xiaomi пробовала себя в создании собственных однокристальных систем. SoC Surge S1 вышла ещё в начале 2017 года, но популярности не обрела, и Xiaomi не спешит делать...

Что нового в SOLIDWORKS 2020 Более чем шести миллионам пользователей по всему миру SOLIDWORKS позволяет ускорить и усовершенствовать процесс разработки изделий – начиная со стадии концептуального дизайна до изготовления конечной продукции – и сгенерировать добавленную стоимость для их бизнеса. Рассмот...

Подтверждено: Windows 10X сможет запускать классические Win32-приложения В начале октября этого года Microsoft представила новую операционную систему Windows 10X (ранее была известна под кодовым названием Windows Lite), построенную на базе Windows Core OS, которая предназначена для использования на складных устройствах с одним гибким или двумя о...

Kyulux снова удалось улучить синий материал OLED На недавней конференции OLED Korea 2019 в Сеуле директор компании Kyulux, созданной в 2016 году для коммерциализации разработки университета Кюсю в области OLED, в которой используется принцип «термально активируемой отложенной флуоресценции» (TADF), рассказ...

Новейшая SoC Snapdragon 665 во всех тестах опережает SoC Kirin 710 Два дня назад компания Xiaomi представила смартфон Xiaomi CC9e — первый аппарат на рынке с SoC Snapdragon 665. Напомним, данная однокристальная система была представлена в апреле. Она производится по 11-нанометровому техпроцессу и является чуть улучшенной версией ...

По данным Applied Materials, рынок микросхем памяти восстановится не раньше 2020 года Компания Applied Materials, поставляющая оборудование, услуги и программное обеспечение для производства полупроводниковых микросхем, предупредила, что восстановление на рынке микросхем памяти до 2020 года маловероятно. По данным поставщика, служащего барометром всей п...

В США Apple обвинили в мошенничестве с ценными бумагами Компанию Apple обвинили в мошенничестве с ценными бумагами. Соответствующий коллективный иск подан системой пенсионного обеспечения работников города Розвилла, штат Мичиган, в федеральный суд в Окленде, штат Калифорния. По мнению истцов, попытка компании скрыть ...

Intel ожидает переход на 7 нм через два года 10-нанометровая технология производства пала несмываемым пятном на репутацию Intel. В то время, как AMD производит свои процессоры на заводах TSMC по 7 нм нормам, Intel по-прежнему выпускает продукцию по 14 нм нормам.

Охлаждение ЦОД: новости от Schneider Electric, Kyocera, ZutaCore и PwrCor Необходимость минимизации эксплуатационных расходов и воздействия на окружающую среду, при одновременном повышении энергоэффективности и надежности заставляет операторов ЦОД внедрять все более совершенные системы охлаждения. Производители таких решений вкладывают все больше ...

Новая платформа Qualcomm обещает революцию для умных часов В прошлом году компания Qualcomm представила разочаровавшую многих однокристальную систему для умных часов Snapdragon Wear 3100. Она оказалась слишком похожа на платформу пятилетней давности — Snapdragon 400. Вскоре ситуация на рынке умных часов на основе Wear OS ...

Разгром Intel. Xeon Platinum 8280 порой почти втрое медленнее Epyc 7742 при том, что существенно дороже Компании Intel всё сложнее конкурировать с AMD. Новое поколение 10-нанометровых CPU в ближайшее время будет доступно только в мобильном сегменте, а в настольном и серверном Intel приходится обходиться обновлениями старых моделей. Понимая бесперспективность старого подхо...

AMD Ryzen 3000 спустя два месяца после выпуска: Ryzen 7 3700X и Ryzen 9 3900X в дефиците, остальные модели можно купить свободно Процессоры AMD Ryzen 3000 вышли 7 июля, и сейчас, когда подходит к концу двухмесячный срок их пребывания на полках магазинов (реальных и онлайновых), источник взялся подвести первые итоги. И не все они со знаком плюс. Увы, не все модели нового семейство свободно доступ...

HMD Global открыла предзаказы на смартфон Nokia 9 PureView HMD Global объявила об открытии предзаказов на смартфон Nokia 9 PureView —  первого в мире смартфона с пятью камерами с оптикой ZEISS. Каждый кадр, снятый на Nokia 9 PureView, – это результат одновременной работы пяти камер с общей разрешающей способностью 60 М...

Tesla начинает принимать предзаказы на Model 3 китайского производства Сетевые источники сообщают о том, что компания Tesla начала принимать предварительные заказы на покупку электрокаров Model 3, которые сойдут с конвейера Gigafactory в китайском Шанхае. Стоимость автомобиля, который доступен для заказа исключительно на территории Поднебесной,...

10-нанометровые процессоры Intel Elkhart Lake засветились в Сети Согласно дорожной карте, опубликованной в начале апреля текущего года, процессоры Elkhart Lake – новые представители линейки Atom и преемники Gemini Lake – должны появиться в первом квартале следующего года. Судя по последним данным, у Intel все идет по план...

Илон Маск хочет отливать корпуса электромобилей Tesla целиком, а не собирать их по частям Инженеры Tesla регулярно работают над различными улучшениями для того, чтобы автомобили этой компании стали еще более надежными, функциональными, а главное дешевыми в производстве (и соответственно более доступными). При этом, если не брать во внимание «начинку» а...

«СПУТНИКС» создаст новую спутниковую платформу На реализацию проекта Фонд поддержки проектов НТИ предоставит на условиях софинансирования грант в размере 332 млн руб., еще 143 млн руб. будут проинвестированы в уставный капитал компании на этапе коммерциализации.Проект, разрабатываемый компанией "СПУТНИКС" совме...

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

ASUS CG32UQ: монитор для игровых консолей Компания ASUS официально представила монитор CG32UQ для игровых консолей, построенный на матрице VA размером 31,5 дюйма по диагонали. Применена панель формата 4К: разрешение составляет 3840 × 2160 пикселей. Углы обзора по горизонтали и вертикали достигают 178 градусов. Говор...

Дебют электрокара Polestar 2: мощность свыше 400 л. с. и запас хода до 500 км Polestar, бренд спортивных дорожных автомобилей Volvo, представил электрокар Polestar 2, производство которого будет организовано в начале следующего года. Polestar 2 — это «заряженный» фастбэк с пятидверным кузовом. Электрическая силовая установка обеспечивает мощность в 30...

Samsung ISOCELL Slim 3T2 – самый компактный датчик изображения производителя, идеально подходящий для фронтальной камеры Сегодня компания Samsung объявила о выпуске датчиков изображения для смартфонов ISOCELL Slim 3T2. Новинка преподносится как самая компактная модель в линейке датчиков изображения производителя: ее оптический формат составляет 1/3,4 дюйма (размер по диагонали – око...

Гибридные процессоры AMD Ryzen 4000 стали на шаг ближе к выходу Согласно дорожной карте AMD, опубликованной в Сети ранее, вслед за последней новинкой этого года, процессорами Threadripper 3000, в самом начале следующего года дебютируют мобильные гибридные процессоры Ryzen 4000. Судя по всему, у AMD все идет по плану, раз поддержка A...

«МегаФон» ускорит Интернет вещей в пять раз «МегаФон» объявил о внедрении новой технологии, которая позволит пятикратно увеличить скорость передачи данных в сети Интернета вещей (IoT). Речь идёт об использовании стандарта NB-IoT Cat-NB2. Напомним, что NB-IoT (Narrow-band IoT) — это платформа для узкополосного Интернет...

Новый Пуск написан с использованием библиотеки Facebook Win1Leaks сообщает, что новое меню Пуск, которое компания Microsoft сейчас активно разрабатывает, будет построено с использованием JаvaScript-библиотеки React. Данный фреймворк изначально разрабатывался для ленты Facebook, потом он также использовался и в Instagram. Теперь ж...

Патент на 3D-печать аэрокосмической ракеты Американский автономный ракетный завод получил патент на технологию машинного обучения 3D-печати металлом. Для реализации данного проекта в исполнительную команду были приглашены три известных фигуры аэрокосмической промышленности. Наработки Relativity Калифорнийский автоно...

Цифровая трансформация ООО «ИНСТИТУТ ЮЖНИИГИПРОГАЗ» инфраструктуру проектной организации ПАО quot;ЮЖНИИГИПРОГАЗquot; mdash; старейший проектно-конструкторский и научно-исследовательский институт нефтегазовой отрасли, созданный в 1933 году в Донецке. Коллектив института, отметившего в 2018 году 85-летний юбилей, насчитывает...

Наконец новая платформа! SoC Amlogic в Xiaomi Mi TV Pro на 63% быстрее предшественника Сегодня утром генеральный директор Xiaomi TV Ли Сяошуан (Li Xiaoshuang) опубликовал интересную информацию об аппаратной начинке грядущего премиального телевизора Xiaomi Mi TV Pro, который вчера показали на официальных изображениях. Xiaomi Mi TV Pro получил новую платфор...

Экран Samsung Galaxy S10 излучает рекордно малое количество синего света Компания Samsung Display недавно объявила об очередном достижении по части дисплеев для смартфонов. Панель OLED, созданная Samsung Display для смартфона Samsung Galaxy S10, производит значительно меньше синего света, чем любой другой мобильный дисплей, используемый сего...

Intel заявляет о массовой доступности 10-нм процессоров Ice Lake-U во втором полугодии Вместе с оглашением финансовых результатов первого квартала представители Intel также рассказали об успехах в освоении 10-нанометровых технологических норм. Корпорация уже начала квалификационные испытания чипов Ice Lake-U, инженерные образцы которых рассылаются партнёрам ко...

Yangtze Memory начала массовое производство 64-слойных микросхем 3D NAND Китай стремится к технологической независимости, вкладывая значительные средства в полупроводниковую промышленность, что позволит уменьшить зависимость от иностранного импорта и технологий. Для достижения этой цели в 2016 году была создана компания Yangtze Memory Technologie...

Цифровые двойники спасут российскую космонавтику По мнению коллектива из Санкт-Петербургского политехнического университета Петра Великого, одна из причин отсутствия новых оригинальных разработок в современной российской космической индустрии — разрыв преемственности с советским опытом. Нынешнее поколение инженеров не имел...

Курс на локализацию и цифровизацию Коммерческий директор подразделения Honeywell "Промышленная автоматизация" в России и странах Таможенного союза Михаил Дудник рассказал, что в России приоритетами для компании являются локализация производства и цифровая трансформация. На территории страны Honeywell открыла ...

Прогноз CW: Пять прорывных технологий хранения 2020 года Перспективные решения, в том числе NVMe, память класса систем хранения и управление хранением на основе намерений, обещают изменить подход ИТ-служб к хранению, управлению и использованию данных. На протяжении десятилетий прогресс технологий хранения измерялся главным обра...

Водоблок EK-Vector FTW3 RTX 2080 RGB предназначен для 3D-карт EVGA Компания EK, специализирующаяся на выпуске систем жидкостного охлаждения и компонентов для них, предоставила водоблок EK-Vector FTW3 RTX 2080 RGB. Он предназначен для 3D-карт GeForce RTX 2080 серии EVGA FTW3. В описании EK-Vector FTW3 RTX 2080 RGB производитель отмечае...

3D-печати нужны регулирование и стандартизация Стандарты во всем мире важны для определения правил, обеспечения контрольных показателей и внедрения передовых методов всеми участниками цепочки добавленной стоимости. Стандарты необходимы, чтобы такие правила игры были установлены и соблюдались всеми заинтересованными сторо...

Huawei и Vodafone запустили в Катаре сервис 5G для домашних пользователей Катарское отделение сотового оператора Vodafone сделало доступным в этой стране новое решение для домашних сетей Vodafone GigaHome, в котором используется технология 5G. Техническим партнером Vodafone выступила компания Huawei. Сервис Vodafone GigaHome позволяет охвати...

"Цифра" с двойным управлением Цифровая трансформация бизнеса – ​одно из актуальных направлений деятельности компании "КАМАЗ", лидера российского автомобилестроения. О том, как осуществляется цифровизация предприятия, обозревателю "Стандарта" ‌‌‌Игорю АГАПОВУ рассказали директор центра цифровой трансформа...

Tesla представила очень мощные модульные батареи для хранения солнечной энергии По мере развития сферы производства так называемой «зеленой энергии» (солнечной и ветряной), рынок начинает нуждаться в новых решениях, позволяющих хранить эту энергию в больших объемах. Около двух лет назад мы писали о том, как компания Tesla фактически на спор за 100 дней...

В течение пяти лет Huawei в России инвестирует 50 млн рублей в развитие 5G Заместитель председателя правления Huawei Кен Ху выступил с презентацией на тему ускорения развертывания сетей 5G в странах Евразии. Господин Ху отметил: "Технологии 5G стали использоваться намного быстрее, чем, например, это произошло с 4G. Так, 40 операторов из 20...

Fujifilm улучшает автофокусировку камеры X-T3 Компания Fujifilm выпустила прошивку версии 3.00 для X-T3 — беззеркальной камеры формата APS-C со сменным объективом, представленной в сентябре прошлого года. В обновлении улучшено распознавание лиц и глаз, работа автофокуса и исправлены некоторые ошибки. По слов...

W3C и FIDO Alliance завершили разработку веб-стандарта для безопасного входа без пароля Консорциум World Wide Web (W3C) и FIDO Alliance объявили, что спецификация Web Authentication (WebAuthn) теперь является официальным веб-стандартом. Как сказано в совместном пресс-релизе, «это улучшение является важным шагом вперед в деле повышения безопасности и ...

TSMC верит в 7 нанометров Представители компании TSMC считают, что во второй половине 2019 года загрузка 7-нанометровой технологии возрастет. Дело в том, что некоторые крупные производители уже разрабатывают свои решения на данном технопроцессе и совсем скоро эти проекты запустят в производство. Учит...

МТС открыла Центр 5G Центр 5G объединяет зону для исследования сценариев использования сети нового поколения и оборудование для разработки кейсов. Участники Центра 5G получат экспертную поддержку МТС и партнеров проекта, авторы лучших решений - гранты на развитие. Подать заявку на работу в Центр...

BlackBerry и LG расширяют партнерство в разработке технологии подключенных и самоуправляемых транспортных средств Компания BlackBerry не только отчиталась за очередной квартал, но и объявила о расширении партнерства с компанией LG Electronics. Сотрудничество направлено на «ускорение развертывания технологии подключенных и самоуправляемых транспортных средств». Говоря то...

Денис Тихонов: онлайн-кассы в столице применяют 130 тысяч налогоплательщиков В Москве в настоящее время работают свыше 313000 онлайн-касс. По словам первого лица местного ДЭПиР Дениса Тихонова, это каждая десятая от общего количества таких касс в Российской Федерации. Онлайн-кассы применяются 130 тысячами налогоплательщиков мегаполиса. По сло...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)