Социальные сети Рунета
Воскресенье, 28 апреля 2024

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

Процессоры Intel Rocket Lake 11-го поколения получат до 8 ядер и устаревший 14 нм техпроцесс В последнее время Интел не радует своих клиентов

Так-Так-Так и никакого Тика. Чем отличаются процессоры Intel Core разных поколений на основе одной архитектуры С появлением процессоров Intel Core седьмого поколения многим стало понятно, что стратегия «Тик-так», которой Интел следовал всё это время, дала сбой. Обещание уменьшить технологический процесс с 14 до 10 нм так и осталось обещанием, началась долгая эпоха «Така» Skylake, в...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Intel снова испытывает трудности с нехваткой производственных мощностей Интел испытывает новые проблемы с поставками своих процессоров

Процессоры Ice Lake могут быть на 40% быстрее своих предшественников, ну, или медленнее. Никто точно не знает Новые мобильные процессоры Интел могут стать быстрее своих предшественников, а могут и не стать. Тут как повезет

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

2 процессора EPYC 7742 одержали сокрушительную победу над 4 процессорами Intel Xeon Platinum 8180M: 14 000 долларов против 52 000 долларов Противостояние АМД и Интел продолжается

Тестирование процессора Intel Ice Lake. 10 нм на подходе Многообещающие результаты новых 10 нм процессоров от Интел

Процессоры закончились, новогодние распродажи под угрозой. Intel официально сообщает о проблемах с поставками процессоров Интел расстроила своих клиентов, оставив их без процессоров

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

Intel: Gen 12 будет самым масштабным переосмыслением архитектуры за десять лет Хорошие новости для будущих покупателей процессоров Интел

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

Тестирование Intel Core i7-10710U: быстрее, больше, лучше Интересные результаты нового процессора от Интел

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Слухи: AMD переходит на модель выпуска процессоров Tick-Tock Очень любопытно, не скатится ли АМД до вечного "Tock", как Интел

Intel Comet Lake-S подтолкнет еще больше людей к покупке процессора от AMD Интел на грани катастрофы. Почему, и когда она наступит?

Процессоры Intel Core i5 10-го поколения впервые получит поддержку многопоточности Лёд тронулся, компания Интел зашевелилась

Intel: мы не сдадим ни один сегмент рынка процессоров Откровения от руководства компании Интел

Тестирование Intel Core i5-10210U: поможет ли новым процессорам многопоток Разбираемся в новом поколении процессоров от Интел

Intel не будет выпускать 10-нм процессоры для настольных ПК В последние годы корпорация Intel выпускает свои десктопные процессоры по 14-нм технологическим нормам и, судя по всему, продолжит это делать ещё как минимум пару лет. Многострадальный 10-нм техпроцесс к настоящему моменту нашёл применение...

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Большое тестирование Intel Core i7-1065G7: первый настоящий 10 нм процессор против APU от AMD по версии techspot. Сможет ли Интел догнать и перегнать АМД на мобильном фронте?

Дефицит процессоров Intel продлится до 2020 года Дела у Интел всё хуже и хуже. Самое время переходить в красный стан

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Intel снизит цены в 2 раза на все процессоры 9-го поколения Агрессивная ценовая политика Интел грозит нарушить планы Лизы Су

Intel готовит 26-ядерный 52-поточный процессор HEDT Xeon-W для сокета LGA 3647, нацеленный на потребительский рынок Интел готовит мощный удар по компании АМД

Недорогой гибридный процессор AMD Ryzen 5 3400G будет работать на частоте до 4,2 ГГц Совсем скоро компания AMD представит новые семинанометровые настольные CPU Ryzen 3000, основанные на архитектуре Zen 2. Кроме них нас ждут модели линейки Ryzen 3000, которые будут использовать «старую» архитектуру Zen+ и 12-нанометровый техпроцесс. Такими б...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

Samsung анонсирует 7-нм процессор EUV Exynos 990 Компания Samsung анонсировала новый мобильный процессор под названием Exynos 990. Exynos 990 построен на 7-нм техпроцессе EUV, имеет восемь ядер в трехкластерной конфигурации 2+2+4. Про тактовые частоты Samsung умалчивает, но говорит что производительность ядер увеличи...

Intel снова не может обеспечить поставки процессоров, назревает новое подорожание Процессоры подорожают, а Новый год будет испорчен

Подробная информация о ценах и характеристиках Intel 10th Core Х «Cascade Lake-X» Готовимся к невероятным ценам на новое поколение процессоров от Интел

Дискретные видеокарты Intel Xe не способны тягаться даже с 12 нм от AMD и могут не выйти до 2022 года Видеокарты от Интел могут вообще никогда не появится в продаже

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

Xiaomi скоро представит смартфон CC9 Pro с камерой на 108 Мп. Китайский производитель Xiaomi готовит еще один новый смартфон под названием CC9 Pro. Главной особенностью новинки станет камера на 108 МП. К смартфону также еще приписывают игровой процессор Snapdragon 730G, который разработан по 8-нанометровому техпроцессу и имеет два выс...

Процессоры AMD продолжают доминировать на рынке С момента запуска архитектуры Zen в 2017 году AMD получила рост в динамике. Компания полностью подавила конкуренцию с выходом процессоров третьего поколения. По статистике Amazon, AMD заняла 8 из 10 мест в списке десяти самых продаваемых процессоров. Стабильная позиция ...

15 лучших полнометражных мультфильмов для взрослых Если вы сомневаетесь, что мультики могут быть зрелым искусством, посмотрите эти ленты.

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

В конце мая Kerbal Space Program получит дополнение про эксперименты и технологические прорывы Для тех, кто всё-таки смог оторваться от земли.

Память DDR4 скоро станет дешевле, поскольку DDR4 Samsung B-Die переходит на 10-нм техпроцесс Память DDR4 становится дешевле

В России появится новый мощный суперкомпьютер Скоро и мы будем впереди планеты всей

Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus Совсем недавно Intel продемонстрировала свои новые производительные мобильные процессоры 9-го поколения, а теперь компания уже готова рассказать о своих будущих чипах 10-го поколения Ice Lake, которые наконец будут изготавливаться по нормам 10-нанометрового технологического ...

Процессоры AMD Ryzen стали прорывом пятилетних продаж: MindFactory Новые данные о продажах процессорах в магазинах MindFactory

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

Официально: Redmi Note 8 получит Qualcomm Snapdragon 665 Redmi, суббренд китайской компании Xiaomi, ранее подтвердил, что новый смартфон Redmi Note 8 Pro будет базироваться на игровом чипе Helio G90T от MediaTek. А вот стандартная версия Redmi Note 8 получит совершенно другой процессор. Сегодня Redmi объявил о том, что Redmi Note ...

Производители ПК снова готовятся к нехватке процессоров Intel Производители ПК готовятся к повторению нехватки процессоров Intel, которая преследует рынок на протяжении нескольких кварталов с середины 2018 года. Ожидалось, что поставки улучшатся в этом квартале, но по последним сообщениям, поступающим из цепочки поставок, Intel не...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

Российские подлодки засекли при прорыве обороны НАТО Норвежские СМИ сообщили о проведении Россией секретной спецоперации по прорыву обороны НАТО подлодками.

Gartner назвала главные ИТ-тренды Аналитики перечислили ключевые технологические тенденции, способные привести к прорыву и создать большие возможности на ИТ-рынке в ближайшие годы.

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

Игра Grand Theft Auto 6 может быть анонсирована в ближайшее время Снова гадаем на кофейной гуще с лучшими аналитиками планеты

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Процессор Qualcomm Snapdragon 865 анонсируют в начале декабря Новый флагманский процессор Qualcomm Snapdragon 865 получит восемь ядер и будет построен по 7 либо по 5 нанометровому техпроцессу Samsung вместо TSMC. Процессор выйдет в двух версиях: со встроенным модемом Snapdragon X55 и без него. Также новый процессор будет поддерживать ...

Intel Ice Lake. 10 нм — в массы Итак, подходит к логическому завершению одна из самых долгоиграющих интриг в новейшей технологической истории Intel — «когда же, наконец, в серию пойдет 10 нм». Перипетии этой истории у нас еще в памяти, нет смысла их повторять. А завершается все, как ему и положено заверш...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Процессоры AMD на архитектуре Zen 3 будут переведены на техпроцесс 7nm++ В продаже они появятся в 2020 году.

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

Появились первые тесты производительности MediaTek Dimensity 1000 В просторах интернета появились первые тесты нового топового процессора MediaTek Dimensity 1000. Процессор MediaTek Dimensity 1000 уже успел побывать во всех популярных бенчмарк-тестах. В тесте Geekbench процессор набирает 3811 баллов в одноядерном режиме и 13136 баллов в ...

Кристалл контроллера ввода-вывода AMD Ryzen 3000 (Matisse) изготавливается по нормам 12 нм, а не 14 нм Процессоры AMD Ryzen 3000 (Matisse) имеют многокристальную компоновку. Один или два кристалла с CPU Zen 2, изготавливаемые по нормам 7 нм, соседствуют с кристаллом контроллера ввода-вывода. В него встроен двухканальный контроллер памяти DDR4, корневой комплекс PCIe 4.0 ...

В России создан самый лучший электродвигатель на планете Ну вот теперь то заживем! Или снова нет?

Графические процессоры станут для Intel второй категорией продуктов по важности На технологической конференции Citi для инвесторов интересы Intel представлял корпоративный вице-президент Джейсон Гриб (Jason Grebe), который отвечает за сегмент «облачных» решений, но подобная специализация не мешала ему отвечать на широкий спектр вопросов. Когда присутств...

В ноябре Intel выпустит процессоры Comet Lake-U, которые всё ещё будут производиться по 14-нанометровому техпроцессу Как известно, в конце года Intel выпустит не только мобильные 10-нанометровые процессоры Ice Lake-U, но и линейку Comet Lake-U. Это будут 14-нанометровые процессоры, которые заменят нынешние CPU Intel в этом сегменте. При этом пока не очень понятно, как компания будет р...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

Слухи приписывают AMD намерения выпустить в этом году 7-нм гибридные процессоры Представительница AMD на технологической конференции Bank of America Merrill Lynch сообщила, что компания намеревается перевести на 7-нм техпроцесс изготовления и мобильные процессоры марки Ryzen, но когда это случится, она уточнять не стала. В конце концов, настольным 7-нм ...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

Dali — имя новой таинственной линейки гибридных процессоров AMD В начале следующего года нас ждёт выход гибридных процессоров AMD Renoir. Это будут APU Ryzen 4000, которые наконец-то перейдут на семинанометровый техпроцесс и архитектуру Zen 2. Правда, последние слухи указывают, что такие гибридные процессоры сохранят GPU Vega и не п...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

Intel прекращает выпуск практически всех настольных процессоров Core 7-го поколения Компания Intel опубликовала очередное «Уведомление об изменении продукта», получившее номер 117180-00. В нем производитель сообщает о прекращении выпуска практически всей серии процессоров Core 7-го поколения для настольных ПК (Kaby Lake). Это относится к пр...

Суперпроцессор Intel Core i9-9990XE по бесконечной цене – новое развлечение Интел Суперпроцессор Intel Core i9-9990XE по бесконечной цене – новое развлечение Интел

Intel анонсировала мобильные процессоры Core 10-го поколения Ice Lake Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus. В основе процессоров 10-го поколения – структура Intel Sunny Cove, которая содержит четыре ядра с восемью потоками и частотой до 4,1 ГГц. Для…

Юпитер назвали «убийцей» другой планеты Юпитер — пятая по счёту и самая большая планета Солнечной системы. Диаметр этого гиганта примерно в 11 раз больше земного. Как выяснили учёные, планета имеет такое же огромное ядро. Но, по теории, оно должно было быть меньше. Объяснение этому выдвинули довольно любопытное: в...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Технодайджест (март 2019) Робот научил пчёл и рыб общаться, ИИ стал отличать правду от лжи и рисовать пейзажи на основе набросков. Технологические прорывы месяца и просто интересные новости — в новой подборке от MobileUp.

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Смартфоны с лучшими в мире фотокамерами в 2019 г. Быстрый обзор Huawei P30 и P30 Pro Правы оказались как те, кто приговаривал «после такого прорыва ничего радикально более крутого уже не выпустят!» и покупал P20 Pro по скидке, так и те, кто ждал, что Huawei найдёт способ снова улучшить камеры и добавит своим флагманам «лимузинной» крутизны функций. Но будут ...

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Онлайн-магазины утверждают, что у процессора Ryzen 9 3800X будет 16 ядер при частотах 3,9-4,7 ГГц До анонса процессоров AMD Ryzen третьего поколения осталось совсем немного. Напомним, это должно произойти на выставке Computex в конце месяца. Несмотря на это, достоверных данных о процессорах до сих пор нет, причём это касается и частот, и ядер. И вот сегодня в Сети п...

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

Названы возможные причины, по которым Intel не будет использовать свой 10-нм техпроцесс для выпуска графических процессоров Корейское – значит "лучшее"?

Реки на Марсе были гораздо шире и мощнее, чем на Земле После изучения многочисленных фотографий ученые твердо убеждены, что вода сыграла огромную роль в истории Марса. Действительно, поверхность Красной планеты буквально очерчена водными путями, причем исследователи из Чикагского университета уверены, что реки на ней были гораз...

Аппаратная трассировка лучей и игры в режиме 1080p/60 FPS: новые подробности о видеокартах Intel XE В Сети появляются новые подробности о 3D-картах Intel — и это хорошо, так как с каждым днем мы узнаем о них все больше. На этот раз новые данные пришли из Японии, где на мероприятии Intel Developer Conference 2019 в Токио компания рассказывала об интегрированных в...

Технологическая себестоимость 7-нм кристаллов Ryzen не превышает $15 Представители AMD в последнее время часто по своей риторике напоминают руководителей Intel в лучшие, с точки зрения литографии, годы. При малейшей возможности речь заходит о 7-нм технологии и её преимуществах, но руководителей AMD понять можно — долгие годы отсиживаясь на «в...

Процессор Huawei Kirin 985 будет на 10-20% производительней чипа Kirin 980 Новый процессор станет на 10-20% производительней своего предшественника, но при этом он будет похож на него по архитектуре. Его построят по 7-нанометровому техпроцессу с методом обработки EUVL (Extreme ultraviolet lithography). Кроме этого, процессору приписывают встроен...

Intel интригует невероятным анонсом для геймеров на Токийском игровом шоу 13 сентября Анализируем, что может представить нам Интел

Ryzen 9 3950X оказался быстрее флагмана Intel Core i9 10980XE в Geekbench С каждым днём положение компании Интел становится всё хуже

Самые лучшие геймерские процессоры: тестирование Ryzen Threadripper 3970X и 3960Х по версии techspot Неожиданное откровение от команды АМД на игровом поле Интел

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

Гибридные 12-нм процессоры AMD Ryzen могут прописаться в ноутбуке Microsoft Surface В родственном устройстве Surface Pro снова появятся ARM-совместимые процессоры.

Представлен первый в мире смартфон с новым восьмиядерным процессором Им стала модель Reno Z. В её основе лежит производимый по 12-нанометровому техпроцессу чип MediaTek Helio P90. Он включает два ядра Cortex-A75, шесть ядер Cortex-A55 и графический процессор PowerVR GM 9946.

В семействе процессоров AMD с архитектурой Zen 3 могут появиться продукты серии Cezanne Скоро доберутся и до Шишкина с Айвазовским.

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

Чем веганы отличаются от вегетарианцев Технический прогресс и культурное развитие позволяют людям на планете максимально реализовывать свои пожелания. Касается это и предпочтений в еде. Оказывается, каждый седьмой житель планеты не употребляет в пищу мясо. Причин такого подхода к рациону может быть немало. ♥ ПО Т...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

GPU Nvidia Ampere будут основаны на 7-нм техпроцессе Samsung: релиз в 2020 году Графические процессоры Nvidia Ampere уже получили сертификат ECC, но до сих пор мы почти ничего не знали об их особенностях. Сегодня это изменилось. Подробнее об этом читайте на THG.ru.

Xiaomi удешевит ноутбуки с помощью процессоров AMD Xiaomi под брендом Redmi совсем скоро выпустит ноутбуки RedmiBook 14 Enhanced Edition на процессорах AMD. Источники сообщают, что стоить они будут очень недорого.

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Официально представлен новый процессор для флагманского смартфона Samsung Galaxy Note10 Чип Exynos 9825 стал улучшенной версией процессора Exynos 9820, который лежит в основе Galaxy S10. Благодаря применению 7-нанометрового техпроцесса EUV чипсет получил улучшенные показатели энергопотребления и производительности.

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

Intel анонсировала процессоры Coffee Lake серий U и Y Чипы на техпроцессе 14 нм предназначаются для ультрабуков и ноутбуков.

Просто догнали AMD. Intel показала возможности нового GPU Gen11, который будет использоваться в 10-нанометровых процессорах Ice Lake-U Компания Intel сегодня рассказала не только о процессоре Core i9-9900KS, который порадует потребителей высокими частотами. Процессорный гигант также поделился подробностями о производительности нового графического ядра Gen11, которое в конце года дебютирует в мобильных...

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

MediaTek предположительно готовит флагманский процессор для игр Helio G90 Только вчера состоялся анонс улучшенной версии процессора Snapdragon 855 Plus, как сегодня стало известно, что похожий чип, с акцентом на игровых возможностях, готовит компания MediaTek. Как вы можете знать, раньше именно слабый видеоускоритель был главной проблемой систем ...

Будущее квантовых технологий в России: взгляд «МИСиС» и РКЦ Российский квантовый центр и «МИСиС» представили финальную редакцию дорожной карты по квантовым технологиям. Документ содержит ключевые метрики и планы для осуществления технологического прорыва страны по квантовым вычислениям, квантовым коммуникациям и квантовым сенсорам.

Космический телескоп TESS обнаружил первую экзопланету, размер которой сопоставим с размером Земли Группа ученых-астрономов из Массачусетского технологического института подтвердила тот факт, что космический телескоп TESS (Transiting Exoplanet Survey Satellite), являющийся преемником телескопа Kepler, обнаружил свою первую экзопланету, размер которой сопоставим с размерам...

И снова Lenovo: компания привезла в Россию свои смартфоны Четыре современные модели по цене от 6990 до 15 990 рублей скоро будут доступны в продаже на официальном сайте Lenovo и в магазинах-партнерах.

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

В сети появились подробности о готовящихся процессорах AMD Athlon 300GE и 320GE Техпроцесс меньше, частоты выше

Представлен российский процессор Baikal-M на 28 нм техпроцессе Пока все переходят на 7 нм, Байкал осваивает 28!

Qualcomm представила 8-нм чипсеты Snapdragon 730, 730G и 11-нм Snapdragon 665 Компания Qualcomm представила сразу три новые мобильные платформы - Snapdragon 665, Snapdragon 730 и Snapdragon 730G. Причём, если первый чипсет построен на 11-нм техпроцессе LPP, то два последних используют более современный 8-нм технологический процесс LPP. Подробнее об эт...

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

AMD использует дефицит Intel для укрепления позиций на рынке настольных ПК Интел теряет хватку. Крупные сборщики вот-вот начнут вырываться из под контроля компании

Intel работает над созданием 10-нм настольных процессоров Но конечных пользователей не должен беспокоить техпроцесс сам по себе.

Рассекречены характеристики отечественного ARM-процессора Он изготовлен по 28-нм техпроцессу и имеет восемь ядер ARM Cortex-A57

Определена приблизительная площадь кристалла 10-нм процессоров Intel Ice Lake AMD со своим 7-нм техпроцессом не так уж сильно вырвалась вперёд.

Наньша готовится к прорывам в сфере ключевых технологий В ходе второй конференции AI & I Guangzhou International Summit состоялась официальная презентация Центра профессионального обучения и образования в сфере искусственного интеллекта р-на Наньша (Guangzhou Nansha District Artificial Intelligence Vocational Education and Tr...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

Core i9-10980XE — 18-ядерный флагман новой линейки процессоров Intel HEDT поколения Cascade Lake-X (+ результат теста Geekbench для 10-ядерного Core i9-10900X) В следующем месяце Intel должна представить новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000 (Cascade Lake-X). От нынешних Skylake-X новые Cascade Lake-X будут отличаться весьма незначительно: ни архитектура, ни техпроцесс не изменятся, ли...

Apple назвали самой прибыльной компанией США На днях была опубликована новая версия рейтинга Fortune 500, в котором Apple смогла снова занять высокие позиции. Например, купертиновцы получили звание самой прибыльной компании в США, а также стали лидером по целому ряду показателей среди американских технологических компа...

Грядет космическая революция в Интернете Похоже, сегодня ночью произошла технологическая революция, последствия которой в ближайшие годы коренным образом изменят жизнь всех людей на планете …

Intel Rocket Lake - это перенос ядер Willow Cove на 14-нм техпроцесс Процессорные ядра Willow Cove основываются на Sunny Cove, которые являются первыми ядрами Intel с действительно новым дизайном за последние 5 лет. Sunny Cove оснащают 10-нм процессоры Ice Lake, тогда как появление Willow Cove ожидается в 10-нм+ Tiger Lake. Сообщается, что In...

Nokia 8.2 5G первый смартфон с процессором Snapdragon 735 Nokia 8.2 5G станет первым смартфонов на рынке, который оснастят процессором Snapdragon 735, хотя еще этот процессор официально не представлен. Nokia 8.2 приписывают основную камеру с несколькими модулями и главным сенсором на 64 Мп, а также выезжающую фронтальную. Смартфон...

Обзор процессора AMD Ryzen 5 3600X Компания AMD недавно начала продажи десктопных процессоров Ryzen 3-го поколения. Новые CPU имеют целый ряд структурных и функциональных улучшений. Это и архитектура Zen 2, и 7-нанометровый техпроцесс изготовления кристаллов, и радикально увеличенный объем кеш-памяти, и улучш...

Инновационный прорыв 2019. Наш директор участвует в конкурсе для крутых предпринимателей! Друзья, у нас отличные новости! Наш генеральный директор, идейный вдохновитель и гуру 3D печати Артем Соломников вошел в ТОП-20 в конкурсе для крутых предпринимателей - Немалый бизнес. Номинация - «Инновационный прорыв».Мы много работали, изучали, открывали, придумывали и от...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

Полный план новой лунной программы NASA «Artemis» (Артемида) Амбициозный лунный проект на 10 лет, согласно которому будут выполнены: 37 запусков с Земли, 5 посадок на поверхность с экипажем, создание первой лунной базы. Луна снова в моде и там скоро будет тесно, на ее орбите уж точно. Читать дальше →

Xiaomi Mi Play — уже в Украине Mi Play работает на базе процессора MediaTek P35, который построен по 12 нм техпроцессу и имеет 8 ядер Cortex A53 с максимальной тактовой частотой 2,3 ГГц.

Графический процессор AMD Navi оказался почти на 60% компактнее конкурирующего NVIDIA Turing 7-нм техпроцесс помогает AMD не только в сегменте центральных процессоров.

Intel – самая честная IT-компания - Bloomberg Рассказ о кристально чистой компании Интел

Intel Cascade Lake-X предложит лучшую производительность за доллар, чем AMD Ryzen Threadripper 2-го поколения Интел интригует низкими ценами и высокой производительностью

По темпам прироста быстродействия процессоров AMD планирует быть впереди планеты всей Показатель IPC будет расти быстрее, чем у конкурентов.

Компания Intel готова к ценовой войне. У AMD нет никаких шансов - wccftech Дело пахнет керосинном, вдруг Интел действительно решится на ценовую войну

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

Samsung выпустит 3-нм процессоры в 2021 году С каждым годом флагманские SoC, составляющие основу современных смартфонов, становятся всё меньше. На данный момент доступны чипы, изготовленные по 7-нм техпроцессу, а в следующем году выйдут и 5-нм чипы. Тем не менее, Samsung объявила о прорыве в производстве микросхем, кот...

В процессорах Intel снова найдены бэкдоры. AMD в полной безопасности Советы от экспертов, как избежать замедления процессора

У новых процессоров AMD интегрированные GPU будут работать на сумасшедших частотах В начале следующего года компания AMD представит мобильные гибридные процессоры Ryzen 4000. Это поколение будет называться Renoir и оно наконец-то перейдёт на семинанометровый техпроцесс и архитектуру Zen 2. Сегодня известный инсайдер поделился подробностями о графичес...

Графические процессоры Navi 22 и Navi 23 упоминаются в драйверах для Linux Графические драйверы AMD для операционных систем на базе Linux в очередной раз стали источником информации о ещё не вышедших графических процессорах «красной» компании. На этот раз один из пользователей форума ресурса 3DCenter обнаружил в драйверах упоминания GPU Navi 22 и N...

Японский технологический гигант выпустил дебетовую карту со встроенным блокчейн-кошельком Японский холдинг SoftBank выпустил дебетовую карту со встроенным блокчейн-кошельком. Пользователи могут в реальном времени проверять балансы как в фиате, так и цифровой валюте в приложении. Функция подключения к WiFi позволяет карте выступать как горячим, так и холодным коше...

Лиза Су: будущее Zen лежит в развитии архитектуры, а не только техпроцесса На квартальном отчете генеральный директор AMD Лиза Су (Lisa Su) заявила, что успех процессорной микроархитектуры Zen будущих поколений зависит не сколько от внедрения передовых технологических процессов, сколько от развития самой архитектуры вычислительных ядер....

TSMC ускоряется — наймёт 8 тыс. новых сотрудников для внедрения 3-нм техпроцесса Компания TSMC намерена нанять дополнительные 8 тыс. сотрудников в новый научно-исследовательский центр, который будет создан в 2020 году. Он будет ориентирован на исследования и разработки технологий для 3-нанометрового и более тонких технологических процессов. По словам исп...

4-ядерный процессор Intel Core i3-10100 с поддержкой HyperThreading в мультимедийных тестах SANDRA обошёл Core i3-9100 на 31% Линейка настольных процессоров Intel 10-го поколения (семейство Comet Lake) будет иметь базовый чип Core i3-10100. Он придёт на смену моделям Core i3-9100 i3-8100. Отметим, настольные процессоры Intel Comet Lake всё ещё выпускаются по нормам 14-нанометрового технологического...

ExoMars-2020: выполнена стыковка перелётного и десантного модулей В аэрокосмической корпорации Thales Alenia Space Italia (г. Турин, Италия) произведена механическая стыковка перелётного и десантного модулей космического аппарата ExoMars-2020. Проект «ЭкзоМарс», напомним, предусматривает исследование Красной планеты с орбиты и поверхности....

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

Смартфон Xiaomi Mi 9 с супер камерой Старший медиа-инженер Xiaomi Цзоу Лунцзюнь (Zou Longjun) сделал на Weibo огромный намек на то, что Xiaomi Mi 9 будет поставляться с тройной камерой. Он сказал: «Mi 9 очень хорош и стоит его купить». Он добавил: «Знаете, вы должны сделать три фотографии, что...

Зрелая исполняемая среда для контейнеров: containerd стал «выпускником» CNCF За проектом containerd мы следим с самого его начала. Посему не можем обойти вниманием значимое событие: минувшей ночью организация CNCF, стоящая за Kubernetes и другими выдающимися Open Source-решениями для мира cloud native, объявила containerd своим «выпускником». Этот пр...

MediaTek анонсировала чипсет Helio M70 с поддержкой сетей 5G Компания MediaTek работает на новым SoC Helio M70, который получит встроенный модем 5G и новый процессор AI. Новинка составит конкуренцию топовым предложениям от Qualcomm, Samsung и Huawei. MediaTek Helio M70 будет изготавливаться по 7-нм техпроцессу FinFET, и использовать…

От двухъядерного Celeron G5900 до 10-ядерного Core i9-10900. Стали известны параметры настольных CPU Intel Comet Lake Как известно, в ближайшее время нас ожидают новые настольные процессоры Intel. И если относительно 10-нананометровых пока мы можем лишь надеяться на лучшее, основываясь на сенсационном заявлении представителя компании, с 14-нанометровыми всё проще. CPU Comet Lake-S вый...

Расходы на освоение 10-нм техпроцесса сильнее всего влияют на прибыль Intel в серверном сегменте Потому что в мобильном сегменте 10-нм процессоры уже приносят компании прибыль.

AR-версия Земли от Minecraft: посадить дерево, восстановить Нотр-Дам и даже изменить планету скоро станет под силу каждому На своём телефоне можно будет создать из кубиков всё, что угодно, а затем разместить это в любом месте, позвав в свой мир друзей.

Разгон Matisse или в поисках предела. Обзор архитектуры Zen 2 Прошло довольно много времени с первого анонса на «анонс анонса». Нас дразнили процессорами AMD следующего поколения уже более года. Новый чиплетный дизайн был провозглашен не побоюсь этого слова — прорывом в производительности и...

Процессоры Comet Lake с Hyper-Threading В связи с конкуренцией со стороны AMD, просочилась информация что Intel будут выпускать линейку процессоров Comet Lake с Hyper-Threading, а именно i3 и i5-ого поколения для настольных ПК. Процессор Intel Core i3 должен получить 4 ядра 8 потоков, а i5 6 ядер 12 потоков....

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Мозги на Марсе: как космические перелеты влияют на когнитивные функции Кто хочет на Марс? На удивление, не так и мало людей. Идея колонизировать красную планету циркулирует в обществе уже не первый год, но пока что картошку на Марсе никто не выращивает. Причин тому много. Одной из основных является необходимость просчитать все аспекты столь д...

Составит конкуренцию Snapdragon 855 Plus. MediaTek готовит общественность к анонсу флагманской SoC Helio G90 Ранее самым большим недостатком даже флагманских однокристальных систем MediaTek были слабый графический процессор и средняя производительность в играх. Однако скоро производитель собирается выпустить новую мобильную платформу для игровых смартфонов, которая получит наз...

Появилась информация о новом процессоре Samsung Exynos 9710 В интернете появилась информация о новом процессоре от Samsung - Exynos 9710. Ожидается, что в нем будет 4 ядра Cortex-A76 с тактовой частотой 2,1 ГГц и 4 ядра Cortex-A55 с тактовой частотой 1,7 ГГц. Графическим процессором послужит Mali-G76 MP8 работающий на частоте 650...

Предположительные характеристики видеокарт Radeon RX 5600 (XT) и RX 5800 (XT) Из различных слухов и утечек известно, что компания AMD сейчас готовит графические процессоры Navi 12 и Navi 14, которые лягут в основу новых видеокарт Radeon RX 5000-й серии. И ресурс 3DCenter поделился с общественностью новыми подробностями о будущих новинках, а также и ви...

Промышленное производство биткоинов в России - открывается гигантская майнинг ферма на бывшем заводе. В планах достичь 20% от мирового производства Биткоинов И снова Россия очутилась впереди планеты всей!

По мнению AMD, в ближайшие десять лет основным материалом для процессоров останется кремний Старший вице-президент AMD Форрест Норрод (Forrest Norrod), курирующий направление, связанное с вычислительными центрами, недавно прокомментировал перспективы перехода в процессорах от кремния к графену. По словам Форреста, хотя графен представляется очень перспективны...

Очередная революция AMD. Следующее поколение CPU Epyc может получить способность выполнять до четырёх потоков на ядро Как известно, в следующем году нас ждут процессоры AMD с архитектурой Zen 3. Компания уже завершила её разработку, новый техпроцесс там использоваться не будет, так что никаких задержек не ожидается. Однако новые CPU, которые будут использовать Zen 3, могут оказаться ку...

Google представил BERT Update – крупнейший прорыв в работе поиска за последние 5 лет Google официально представил BERT Update – обновление, которое компания назвала «крупнейшим прорывом за последние 5 лет и одним из самых крупных качественных скачков в истории поиска». Новый алгоритм помогает Google лучше понимать запросы на естественном языке. Он охватывает...

Intel не откажется от 14 нанометров Длительное время пользователи надеялись, что вот-вот компания Intel покажет новый технопроцесс и появятся процессоры на 7 нанометрах. Однако, сейчас появилась информация от самой компании Intel, что процессоры на 14 нанометрах, которые уже считаются устаревшими, пробудут в с...

Samsung Galaxy A71 с процессором Exynos 980 Как оказывается первым смартфоном Samsung с процессором Exynos 980 может стать Galaxy A71 с номером SM-A7160 для китайского рынка и SM-A715F для международного. Смартфон Samsung Galaxy A71 получит поддержку 5G, это будет доступная модель с 5G и процессором который построен ...

DDR4-5511: пятое место в разгоне оперативной памяти Не так уж плохо для зрелой платформы.

15 признаков того, что вы зрелая личность Эти качества говорят: с годами вы не только взрослеете, но и набираетесь мудрости.

91% всего лития добывается в трех странах мира: Австралии (44%), Чили (34%) и Аргентине (13%), он нужен в том числе для батарей электромобилей Высокая стоимость батарей для электромобилей объясняется не только отсутствием технологического прорыва и сравнительно небольшими объемами производства, но и особенностями добычи материалов, которые необходимы для производства аккумуляторов для электромобилей. Так, по данным...

30 мая выйдет первый смартфон на базе нового чипа Snapdragon 665 Популярный индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) рассказал, что на этой неделе представят первый смартфон c новым процессором Qualcomm Snapdragon 665. По словам источника, мероприятие пройдёт 30 мая. К сожалению, о каком конкретно устройстве идёт речь, п...

Intel обеспечит трассировку лучей в графике Xe Компания Intel рассказала, что готовит мобильный интегрированный вариант графического процессора Xe в процессорах Tiger Lake. Эта графика будет в два раза быстрее, чем Iris Plus, которая весьма неплохо справляется с нагрузками в 10-м поколении процессоров. Однако дискре...

Для выпуска GPU следующего поколения Nvidia может использовать 7-нм техпроцесс Samsung Как сообщает японское издание My Navi News со ссылкой на источники в корпорации Samsung, руководство Nvidia всерьез рассматривает южнокорейского гиганта в качестве производителя графических процессоров следующего поколения. По предварительной информации, для этого будет...

Новые APU AMD Ryzen 5 3400G и 3 3200G получат заметно более высокие тактовые частоты В отличие от десктопных 7-нм процессоров Ryzen 3000 на архитектуре Zen 2, новые APU AMD будут использовать микроархитектуру Zen+ и 12-нм техпроцесс FinFET. И недавно источникам удалось узнать некоторые характеристики двух пока не анонсированных гибридных процессоров AMD. Под...

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

В России появятся игровые ноутбуки и компьютеры ASUS с процессором AMD Ryzen Компания ASUS представила новые модели игровых ноутбуков и компьютеров серий ROG и TUF. Они работают с процессорами AMD Ryzen и скоро будут продаваться в России.

5 упражнений, которые помогут реализовать себя в зрелом возрасте Никогда не поздно мечтать, творить и быть счастливым — главный посыл книги «Лучшее время начать» Джулии Кэмерон и Эммы Лайвли.

Улучшенная версия 7-нм техпроцесса TSMC поднимет быстродействие на 5% Шанс для AMD поднять производительность процессоров малой кровью.

Найдена новая планета для переселения человечества Благодаря новейшему транзитному спутнику наблюдения NASA, который занимается исследованием различных планет для поиска на них жизни, была найдена новая планета, на поверхности которой может содержаться жидкость.

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

Обнаружены три новые каменистые планеты в 12 световых годах от нас ESO/M. Kornmesser Новооткрытые планеты вращаются вокруг звезды Gliese 1061, находящейся от нас приблизительно в 12 световых годах и являющейся 20-й ближайшей звездой к нашей Солнечной системе; они получили названия Gliese 1016 b, Gliese 1016 c и Gliese 1016 d. Gliese 10...

AMD Radeon Pro W5700 — видеокарта для 3D-дизайнеров, архитекторов и инженеров AMD анонсировала видеокарту AMD Radeon Pro W5700 с первым в мире графическим процессором на базе 7-нм техпроцесса для профессиональных рабочих станций.

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

NTT DATA внедряет процессоры AMD EPYC Компания AMD и NTT DATA, признанный лидер в области глобальных технологических сервисов, сообщили об установке процессоров AMD EPYCTM в центры обработки данных NTT DATA.

Meizu Note 9 c 48-мегапиксельной камерой появится в феврале Meizu Note 9 c 48-мегапиксельной камерой может появиться в следующем месяце. Китайский производитель Meizu готовится к пресс-конференции в Пекине, где выпустит ожидаемую Meizu Note 9. Стало известно, что смартфон будет оснащен процессором Snapdragon 675, 48MP Samsung GM 1 ка...

Новый процессор от MediaTek со встроенным 5G модем MediaTek выходит на рынок модемов для смартфонов 5G со своим новейшим чипом, который является первым в мире с интегрированным модемом 5G. MediaTek 5G SoC будет значительно дешевле аналогичных чипов от американской компании Qualcomm. Поэтому установка процессоров MediaTek 5G ...

Стали известны характеристики процессоров AMD Ryzen 5 3400G и Ryzen 3 3200G Совсем скоро нас ждут 7-нанометровые настольные процессоры AMD Ryzen, но сегодня в сети появилась информация о решениях Zen+. Если точнее, то речь идет о гибридных процессорах Ryzen 5 3400G и Ryzen 3 3200G. Это 4-ядерные APU, младший из которых не сможет похвастаться поддерж...

Утечка дает представление о процессорах Intel Ice Lake-SP и Cooper Lake-SP, включая сроки выхода В сети появились сведения о новейших серверных процессорах Intel. Выход процессоров Cooper Lake-SP, которые станут первыми представителями новой платформы Whitley, планируется во втором квартале 2020 года. Для Cooper Lake-SP будет характерно значение TDP 300 Вт. Ядер бу...

Схватка двух ёкодзун Осталось менее суток до начала продаж новых процессоров AMD EPYC™ Rome. В этой статье мы решили вспомнить, с чего начиналась история соперничества двух крупнейших производителей CPU. Первым 8-битным коммерчески доступным процессором в мире стал Intel® i8008, выпущенный в ...

Процессоры AMD Ryzen получат экорежим Компания AMD сообщила, что в скором времени планирует обеспечить поддержку экорежима в программном обеспечении Ryzen Master. Эта функция будет доступна для всех процессоров на основе архитектуры Zen 2. Она позволит включить троттлинг TDP.

Первое масштабное тестирование Intel Core i9-10980XE: новый король синтетики Итоги тестирования нового флагмана от Интел

Intel представила новые HEDT-процессоры Core i9-10000 (Cascade Lake-X). Они не сильно быстрее старых, но зато вдвое дешевле! Intel сегодня представила новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000. Новинки семейства Cascade Lake-X являются лишь немного ускоренными вариантами прошлогодних CPU Skylake-X Refresh. То есть, техпроцесс — по-прежнему 14 нм, а архите...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

AMD анонсировала новое поколение передовых решений Компания AMD анонсировала продукты на базе нового 7-нм техпроцесса и графические решения, которые обеспечат новый уровень производительности, функциональности и новые возможности для геймеров, энтузиастов и создателей контента ...

Intel нашла уязвимость в своих процессорах Компания Intel заявляет об уязвимости, которую обнаружили почти во всех фирменных процессорах, выпущенных с 2011 года. Проблему обнаружили ученые из Технологического университета Граца. Это новый класс уязвимостей Microarchitectural Data Sampling (MDS), основанный на техн...

Выход Mortal Kombat 11 уже скоро Студия NetherRealm снова обновляет серию файтинговых игр, дополнив ее выпуском новой частью Mortal Kombat 11. Она переняла дух Mortal Kombat X 2015 года. В игре появятся два новых персонажа – Ди’Вора и Кабал. Читать полную статью

Презентация графической архитектуры Nvidia Ampere может состояться весной 2020-го Давно не секрет, что специалисты Nvidia в настоящее время трудятся над графическими процессорами с архитектурой Ampere. Эти GPU будут изготавливаться на заводах Samsung по 7-нанометровому техпроцессу на базе ультрафиолетовой литографии и, по предварительной...

AIDA64 получила поддержку процессоров Ryzen 4000-й серии В новую версию популярной утилиты AIDA64, предназначенной для диагностики, тестирования и получения информации о системе, была добавлена поддержка ряда готовящихся процессоров AMD. Это будущие гибридные процессоры AMD Renoir и настольные процессоры Ryzen четвёртого поколения...

TSMC анонсирует начало разработки 2 нм техпроцесса Большие и мощные процессоры наконец-то начали выпускаться по 7 нм технологии, однако TSMC смотрит в будущее и уже готовится к разработкам 2 нм технологии.

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Samsung представила 7-нм SoC Exynos 990 для Galaxy S11 Samsung Electronics анонсировала мобильный процессор премиум-класса Exynos 990 и сверхбыстрый 5G-модем Exynos Modem 5123. Exynos 990Новый чипсет использует самый передовой 7-нм техпроцесс с использованием ультрафиолетового излучения (EUV). Чип включает в себя два…

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

[Перевод] Союз Arduino и классического процессора Ретрокомпьютерщики бывают различной степени привередливости. Одни довольствуются эмуляцией. Другие предпочитают ПЛИС, потому что тогда получается не эмуляция, а воссоздание. Наконец, третьим подавай настоящий процессор. Но процессору для работы нужно столько всего! Снова ...

Маск показал многоразовый космический корабль с дозаправкой на земной орбите Глава компании SpaceX Илон Маск представил прототип многоразового космического корабля Starship, который будет курсировать с земной орбиты на Луну или Марс, и снова возвращаться к нашей планете для орбитальной дозаправки и загрузки.

Intel на CES 2019: новая серия Ice Lake и обновления в Coffee Lake-S Refresh Как один из крупнейших производителей процессоров в мире, корпорация Intel попросту не могла пропустить всемирную выставку потребительской электроники Consumer Electronics Show (CES) 2019. В рамках этого мероприятия американская компания презентовала новые процессоры серии C...

Российские продавцы пытаются привлечь внимание к 7-нм процессорам AMD Ryzen семейства Matisse Дескать, их скоро уже можно будет заказать.

"Инфосистемы Джет" обеспечила технологическую платформу для WorldSkills Russia 24 января 2019 г., Москва – Компания "Инфосистемы Джет" предоставила Союзу "Молодые профессионалы (Ворлдскиллс Россия)" облачный портал собственной разработки для проведения тестирования школьников на цифровую грамотность в рамках чемпионата DigitalSkills...

Samsung Galaxy S11 появился в Geekbench Компания Samsung вот вот скоро должна представить новую линейку смартфонов Galaxy S11. Но неанонсированный смартфон уже засветился в базе данных Geekbench. По слухам смартфон проходит под номером SM-G986B. Результаты в бенчмарке составили: 427 баллов в однопоточном режиме и...

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Gears POP! в стиле Clash Royale выйдет в этом году, предрегистрация уже скоро Прошел год с момента анонса мобильной Gears Pop! На этой E3 на презентации Microsoft игру снова показали, и теперь ее релиз уже действительно близко. На фоне крупных консольных и ПК-релизов этот анонс, конечно, смотрелся забавно, но мы знали, что без этого не обойдется. ...

Попробуйте угадать ближайшую к Земле планету Солнечной системы Какая из планет Солнечной системы находится ближе всего к Земле? Каждый, кто мало-мальски знаком с астрономией однозначно ответит: Марс или Венера. Если более точно, то орбита Венеры пролегает ближе всего к земной. Однако, авторы статьи, опубликованной в журнале Physics Toda...

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

Будущие серверные процессоры Intel получат конструктивное исполнение LGA4677 Несмотря на затяжной переход к 10-нм технологическим нормам, планы Intel в корпоративном сегменте расписаны на годы вперёд. К примеру, в 2020-м на рынок поступит платформа LGA4189 вместе с 14-нм процессорами Cooper Lake-SP, а...

Microsoft работает над обеспечением 64-битной эмуляции приложений для Windows 10 on ARM С запуском Microsoft Surface Pro X на прошлой неделе снова возникли вопросы о приложениях, которые могут на нем работать. Ответ заключается в том, что, как и любая Windows 10 на ARM, она может запускать собственные приложения ARM (ARM и ARM64) и запускать эмулированные 32-ра...

6 ядер, 12 потоков и частота почти 5 ГГц: в Сети засветился мобильный процессор Intel Core i7-10710U (Comet Lake-U) Линейка процессоров Intel Core 10-го поколения будет состоять из двух семейств процессоров: 10-нанометровых Ice Lake-U (они уже массово производятся и поставляются изготовителям ноутбуков) и 14-нанометровых Comet Lake-U — идеологических преемниках Whiskey Lake-U и...

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

«Инфосистемы Джет» обеспечила технологическую платформу для WorldSkills Russia Компания «Инфосистемы Джет» предоставила Союзу «Молодые профессионалы (Ворлдскиллс Россия)» облачный портал собственной разработки для проведения …

Исправление уязвимости Intel ZombieLoad снижает производительность процессоров Новая обнаруженная уязвимость в процессорах Intel позволяет злоумышленникам получать доступ к конфиденциальной информации. Атака получила название ZombieLoad. Суть уязвимостиНовая уязвимость была обнаружена в процессорах Intel учеными из Технологического университета…

В планах Redmi обеспечить своими продуктами 70% населения планеты В рамках мероприятия, на котором были представлены смартфоны линейки Redmi Note 8, 70-дюймовый телевизор и 14-дюймовый ноутбук, глава бренда Redmi поделился планами компании. ***

ASUS объявил российские цены новых ноутбуков и компьютеров на процессорах AMD Компания ASUS представила в России линейку устройств на базе новых процессоров AMD Ryzen. Скоро начнутся продажи компьютера ROG Strix GL10DH, ноутбуков TUF Gaming FX505DV и ROG Zephyrus G GA502.

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

Процессор AMD Sharkstooth замечен в базе Geekbench По сообщениям сетевых источников, компания AMD в настоящее время осуществляет тестирование процессоров Ryzen Threadripper HEDT 3-го поколения. Полученные по результатам тестирования данные недавно появились в базе бенчмарка Geekbench. В сообщении говорится о 32-ядерном проце...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Все открытые людьми планеты собрали в одном видео Специалисты NASA создали видео, в котором наглядно показали, сколько планет у других звёзд обнаружили астрономы почти за 30 лет наблюдений.

Встречаем новые процессоры Intel Вчера, 02.04.2019, корпорация Intel объявила о долгожданном обновлении процессоров семейства Intel® Xeon® Scalable Processors, представленного в середине 2017 года. Новые процессоры базируются на микроархитектуре, получившей кодовое имя Cascade Lake и построены по улучшенн...

Генеральный директор Intel пообещал, что дефицита процессоров больше не случится Корпорация наращивает мощности по 14-нанометровому техпроцессу и планирует к новогоднему сезону выпустить больше 10-нанометровых чипов, чем предполагалось раньше

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

12 нм технология GlobalFoundries обещает не уступать 10 нм процессам конкурентов Компания GlobalFoundries объявила о доступности нового технологического процесса 12 Leading Performance (12LP), который был назван 12LP+. Этот процесс должен обеспечить заметный прирост производительности и снижение энергопотребления.

Представлена 7-нанометровая SoC Kirin 810 Сегодня Huawei анонсировала не только смартфоны серии Nova 5, но и новую однокристальную систему Kirin 810. Как и Qualcomm Snapdragon 855, Apple A12 и Kirin 980, новая SoC Kirin 810 производится по 7-нанометровому технологическому процессу. Поэтому Huawei стала единств...

Скорый доступ: для поездов и самолетов готовят быстрый интернет Поезда и самолеты планируют обеспечить высокоскоростным интернетом, рассказали «Известиям» в пресс-службе Национальной технологической инициативы (НТИ). Первый экспериментальный участок новой системы связи, совместимой с мобильной и спутниковой, могут развернуть к 2022 году....

Найден первый «ультрагорячий нептун» JPL-CALTECH/AMES/NASA Планета получила название LTT 9779b, передает ScienceNews. Она вращается вокруг звезды, похожей на Солнце, которая находится от нас примерно в 260 световых годах. Как сообщается, один оборот вокруг звезды LTT 9779b совершает приблизительно за 19 ча...

Tesla сократит 3000 человек и сосредоточится на производстве Model 3 Tesla сокращает 7% своей рабочей силы. Компания сообщила об уменьшении численности персонала в письме всем сотрудникам, а также разместила информацию на своем веб-сайте. В электронном послании генеральный директор компании Илон Маск говорит, что основное внимание должно быт...

8-кратный оптический зум в одинарной камере смартфона скоро станет реальностью В последние года производители наращивают количество модулей в камерах смартфонах, добавляют времяпролетные модули ToF, а также наделяют их оптическим зумом. Например, камерофон Huawei P30 Pro получил 5-кратный оптический зум, а Nokia 9 PureView оснащен пятью модулями. ...

AMD готовит анонс следующего поколения на игровой выставке Е3 Компания AMD объявила, что на игровой выставке E3 2019 будет вести прямую трансляцию анонса продуктов следующего поколения. Что именно это будет, сказано не было. По словам разработчиков, данная технология будет задействована в играх от компьютерных до консольных и в облаке ...

Кристалл контроллера ввода-вывода AMD Ryzen 3000 построен на 12-нм техпроцессе Как мы знаем, процессоры AMD Ryzen 3000 (Matisse) используют многокристальную компоновку с одним или двумя 7-нм чиплетами CPU Zen 2 и кристаллом контроллера ввода-вывода. И, хотя предполагалось, что он построен на 14-нм технологиях, последние данные говорят о другом. Подробн...

Настольные процессоры AMD Ryzen 4000-й серии выйдут только к концу 2020 года Компания AMD сейчас работает над настольными процессорами нового поколения, которые будут представлены в серии Ryzen 4000. Согласно свежим данным ресурса MyDrivers, полученным из собственных источников, новые процессоры, а также новая платформа для них, дебютируют лишь к кон...

Intel рассказала про Ice Lake: перспективный 10-нм процессор для ПК Компания Intel рассказала первые подробности о своих перспективных процессорах Ice Lake-U, которые будут выпускаться по 10-нм технологическому процессу и появятся в готовых системах к концу этого года. Нас ждёт новая микроархитектура вычислительных ядер, новое графи...

Использование графена позволило создать самый маленький в мире датчик-акселерометр Буквально каждый день исследования в области нанотехнологий и наноматериалов приносят нам нечто новое и интересное. Ярким примером тому является новый крошечный датчик-акселерометр, изготовленный из графена усилиями международной группы, куда входят исследователи из институт...

Процессоры Intel Comet Lake выйдут только через год Процессоры AMD Ryzen выходят летом текущего года, и Intel неплохо бы на это чем-то ответить, особенно учитывая ситуацию затягивающегося дефицита CPU. Но, увы, отвечать, похоже, будет совсем нечем: свежие процессоры Comet Lake, согласно только-только появившейся в Сети д...

Мобильные процессоры Intel Core 10-го поколения (Ice Lake) представлены официально Сегодня корпорация Intel придала официальный статус первым процессорам Core 10-го поколения. Ими стали мобильные чипы семейств Ice Lake-Y и Ice Lake-U, изготавливаемые по 10-нанометровым технологическим нормам. Новые CPU сочетают перспективную микроархитектуру Sunny Cove,...

AMD: 7-нм EPYC Milan превзойдут 10-нм Intel Ice Lake-SP по соотношению производительности на ватт Серверные процессоры AMD EPYC 2-го поколения обладают внушительным уровнем вычислительной мощности, что подтверждают недавние рекорды. Не в последнюю очередь это заслуга 7-нм техпроцесса TSMC, благодаря которому «красные» добились высокого соотношения производите...

Обзор программы Joker 2019: парад планет, или Что нас ожидает Любое событие, особенно если оно завершилось, можно сравнить с чем-то необычным. Например, был на митапе, и он оказался слетом ведьм для обмена премудростями, или, допустим, забежал на вечеринку — как будто попал под ливень с грозой. И вот уже в конце месяца 25-26 октября в ...

СМИ: блокчейн-компания ICONLOOP проведет IPO на Корейской фондовой бирже Южнокорейская блокчейн-компания ICONLOOP намерена провести первичное публичное размещение (IPO) на фондовой бирже KOSDAQ, ориентированной на акции технологических компаний. Об этом пишет Finance Magnates со ссылкой на местные СМИ. Сообщается, что ICONLOOP воспользуется упрощ...

Intel представил самый мощный процессор Core На мероприятии Kickoff в воскресенье, 26 мая, перед одной из главных выставок для производителей микроэлектроники потребительского сегмента — Computex, — компания Intel приоткрыла завесу тайны и рассказала, какой процессор будет флагманом линейки Core для настольных компьюте...

Новые мобильные APU Ryzen получат очень мощные iGPU Уже в начале следующего года компания AMD представит новые мобильные процессоры Ryzen 4000. Они перейдут на семинанометровый техпроцесс и будут основаны на архитектуре Zen 2. Также ожидается удвоение количества ядер. При этом, если изначально слухи говорили о графически...

Дорожная карта видеокарт Intel Xe и новые подробности: до 40 раз быстрее, новый стандарт PCIe 5.0 и DDR5 Невероятная информация о новых видеокартах Интел

Параметры совершенно новых процессоров Intel Несколько дней назад мы говорили о том, что 24 октября Intel должна представить новую процессорную архитектуру Tremont, которая станет основой новых «атомных» процессоров. Ранее считалось, что новое поколение таких процессоров будут называться Jasper Lake, ...

Будущие Zen ориентируются на изменения архитектуры Будущее процессоров Zen связано с изменениями архитектуры, а не только техпроцесса производства. Об этом сообщила исполнительный директор AMD Лиза Су.

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

Samsung снова открыла для россиян регистрацию на предзаказы Galaxy Fold На официальном сайте Samsung появилась возможность зарегистрироваться для получения информации о старте предзаказов на гибкий смартфон Galaxy Fold. Похоже, многострадальное устройство, и правда, скоро появится в продаже.

AMD раскрыла характеристики новых процессоров Ryzen 3000 Вчера на выставке Computex глава AMD Lisa Su раскрыла характеристики нового поколения настольных процессоров Ryzen. Благодаря переходу на новый 7-нм техпроцесс удалось увеличить частоты в режиме boost до 4.6Ghz. Читать дальше →

Кольца Сатурна могут быть гораздо моложе, чем предполагалось NASA/JPL-Caltech Не так давно ученые выяснили, что Сатурн может уже относительно скоро — через 100 миллионов лет — потерять свои кольца. При этом, как установили специалисты теперь, кольца газового гиганта существуют, возможно, совсем не так долго, как полагалось ранее....

Инсайдер сообщил о готовности Samsung выпустить ... Технологии постоянно совершенствуются, но в области аккумуляторов каких-то революций или серьезных прорывов не наблюдается. Похоже, что в данной области технологические компании зашли в своеобразный тупик, а в попытке перейти на новый уровень экспериментируют с новыми матери...

Wi-Fi Alliance официально запустил стандарт сети нового поколения Релиз нового сетевого стандарта Wi-Fi 6 означает, что совсем скоро рынок зародится устройствами, способными обеспечить сверхскоростную передачу данных.

Астрономы предположили, что загадочная девятая планета может быть малой черной дырой Напомним нашим читателям, что несколько лет назад группа ученых астрономов выдвинула теорию о существовании пока неоткрытой планеты Солнечной системы, "Планеты 9" или "Планеты X". Эта холодная планета находится в глубинах космоса далеко за орбитой Нептуна, а на ее существова...

Unilever избавится от брендов, приносящих ущерб планете Группа Unilever намерена продать все бренды, противоречащие ее ценностям. В частности, на торги могут быть выставлены бренды Marmite и Magnum.

Как майнить, не привлекая внимания. Сборка для обеспеченного и скрытного майнера Эксперты сходятся во мнении, что очередной скачок криптовалют скоро случится. В этом блоге вы найдете ответы на вопрос - что делать, если вы хотите майнить скрытно, не привлекая внимания босса на работе или домочадцев?

Huawei отдаёт некоторые девайсы со скидками до 13000 и подарками. До 22 октября Huawei и Honor зачастили в последнее время со снижением цен и скидками на свои устройства. Эдак скоро подобные акции можно будет воспринимать, как обычную цену на гаджеты, а цифры в другие дни, как дополнительную накрутку. Переждал её, бац, через пару недели, снова можно ...

В Geekbench засветилась неанонсированная платформа MediaTek MT6785 с ядрами ARM Cortex-A76 Как пишет китайский источник, в базе данных бенчмарка Geekbench замечена новая однокристальная платформа MediaTek – она получит обозначение MT6785 и станет на ступень выше модели Helio P90 (MT6779). Судя по результатам теста, новая SoC обеспечит чуть большую произ...

MediaTek готовит опасную атаку на Qualcomm Qualcomm в настоящее время является ведущим производителем однокристальных систем в мире. Тем не менее, MediaTek имеет шансы скоро улучшить свои позиции, так как компания планирует выпуск недорогой однокристальной системы с модемом 5G. Источники сообщают, что круп...

В чём процессоры Apple превосходят Intel В обозримом будущем все компьютеры Mac перейдут на процессоры собственного производства, полагает аналитик Минг Чи-Куо. Грандиозный переход должен состояться уже в 2020 году. По слухам, на чипы ARM сначала перейдут портативные решения — MacBook, MacBook Air и MacBook ...

Samsung Electronics обеспечила 20% экспорта своей страны по итогам полугодия За первые 6 месяцев 2019 года южнокорейский технологический гигант выручил 75,2 трлн вон (62 млрд долларов США), 86% из которых было заработано за пределами родины.

Мнение: в будущем у каждого человека будет своя криптовалюта В будущем у каждого жителя планеты будет собственная криптовалюта. Такое мнение в ходе пресс-конференции НСН в понедельник, 21 мая, высказал российский интернет-омбудсмен Дмитрий Мариничев. «Альткоинов будет неограниченное количество на планете Земля. У каждого человека...

Уже скоро в iPhone появится мощный 5-нанометровый процессор По данным сайта DigiTimes, основной эксклюзивный производитель чипов Apple A-серии, компания TSMC, к 2020 году будет готова перейти на 5-нанометровый техпроцесс. Это значит, что и процессор A14 может быть создан по самой современной технологии.

Intel Tremont — совершенно новая микроархитектура для энергоэффективных процессоров Компания Intel только что представила новую процессорную микроархитектуру Tremont. Она ляжет в основу грядущих «атомных» процессоров компании, а также ядра Tremont будут частью гибридных процессоров Lakefield. Intel называет Tremont самой передовой процессо...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

MediaTek скоро представит 5G процессор MediaTek готовит среднебюджетную платформу со встроенным модемом 5G, и первым ее обладателем похоже станет смартфон Xiaomi Redmi K30. По предварительным данным процессор должен начать поставляться производителям в первом квартале 2020 года. Процессор MediaTek MT6885 и сма...

Самая горячая из открытых планет имеет температуру около 2338º С Для сравнения: на нашем Меркурии, ближайшей планете к Солнцу, дневная температура составляет "всего" 430º С

Улучшенный процессор от Huawei P30 Pro представят вместе с флагманским Kirin В сети появилось подтверждение выпуска Huawei процессора Kirin 985. Это улучшенная версия платформы Kirin 980, на которой работает главный флагман компании — P30 Pro. Похоже, что Huawei представит Kirin 985 уже совсем скоро вместе с другой новинкой.

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Архитектура AMD Zen — это надолго. Компания уже работает над Zen 5 Как известно, в следующем году компания AMD выпустит процессоры Ryzen и Epyc, основанные на архитектуре Zen 3. Такие CPU будут производиться по улучшенному семинанометровому техпроцессу и сохранят имеющееся сейчас количество ядер. За Zen 3 выйдет Zen 4, а затем и Zen 5....

Intel отрицает привлечение Samsung для выпуска 14-нм процессоров Впервые информация о готовности Intel привлечь Samsung для контрактного выпуска продукции была озвучена ещё этим летом, и официальных комментариев по этому поводу мы так и не услышали. Единственное, о чём удалось узнать зарубежным коллегам — это убедиться в том, что с конвей...

Новые подробности о Comet Lake: 10-ядерный флагман за $499 и процессорный разъём LGA 1159 В Сети появились данные об основных технических характеристиках и ценах настольных процессоров Intel Core десятого поколения, которые также известны под названием Comet Lake. Напомним, что данные чипы будут выполнены по улучшенному (в очередной раз) 14-нм техпроцессу и стан...

Intel представила десятое поколение процессоров Ice Lake с шагом 10 нм После не самого впечатляющего анонса нового i9-9900KS на Computex от Intel, многие могли подумать, что компания окончательно сдала позиции и не привезла ничего впечатляющего в отличие от своего прямого конкурента — компании AMD, которая показала линейку процессоров Ryzen тре...

5G, искусственный интеллект, 20 часов автономности и моментальное пробуждение. Intel рассказала, какими будут ноутбуки в 2020 году Компания Intel сегодня провела специальное мероприятие, на котором рассказала о новинках, припасенных к выставке Computex 2019. Одной из таких является Project Athena. По сути это платформа ноутбуков будущего, которые, по словам производителя, окажутся гораздо лучше нын...

Qualcomm представила 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665 Однокристальную платформу Qualcomm со странным обозначением SM7150 приписывали самым разным смартфонам еще с прошлого года. И вот эта однокристальная платформа сегодня представлена официально – под названием Snapdragon 730 и… сразу в двух версиях. Если суди...

Кластер системы видеоконференцсвязи на базе Yealink Meeting Server Эта статья является продолжением цикла публикаций, посвященного комплексному решению ВКС Yealink Meeting Server (YMS). В прошлой статье Yealink Meeting Server 2.0 — новые возможности видеоконференцсвязи мы описали существенный прорыв в функционале решения: добавился собст...

Настольные гибридные процессоры AMD Ryzen 3000 (Picasso) близки к релизу Настольные гибридные процессоры AMD Ryzen нового поколения, которое называется Picasso, похоже, довольно близки к релизу. На это косвенно указывает то, что один из пользователей форума китайского ресурса Chiphell опубликовал фотографии имеющегося у него образца гибридного пр...

10-нанометровый процессор Intel совершенно нового поколения впервые засветился в тесте Процессоры Intel Tiger Lake придут на смену поколению Ice Lake в следующем году. Первые CPU Tiger Lake появятся в мобильном сегменте — о настольном пока можно даже не упоминать. Несмотря на то, что поколение Ice Lake только начало появляться на рынке в готовых про...

Note 11 или Galaxy S12 могут быть первыми смартфонами на графене Samsung объявила, что ее передовой технологический институт (SAIT) разработал батарею с использованием графена. В сопутствующем пресс-релизе обещалось, что технология «графеновый шарик» обеспечит увеличение емкости на 45% по сравнению с обычными литий-ионными батареями, при ...

Технологические тренды 2019 года: какие технологии помогут обеспечить преимущество и повысить эффективность деятельности компаний? В современном цифровом мире, где люди и технологические системы взаимодействуют гораздо более интеллектуальными и взаимосвязанными способами …

Первый в мире чипсет для ПК от QUALCOMM с поддержкой 5G QUALCOMM выпускает первый в мире чипсет для ПК с поддержкой 5G, SNAPDRAGON 8CX 5G. На технологическом саммите Snapdragon, состоявшемся в декабре прошлого года, Qualcomm выпустила процессорную платформу 8cx для ПК, основанную на 7-нм технологическом процессе, которая претенду...

«Байкал Электроникс» представила новый российский процессор Baikal-M Новинка представляет собой выпускаемую по 28-нм техпроцессу систему на чипе. В её основе лежат восемь 64-битных ядер ARM Cortex-A57 (ARMv8-A) с поддержкой векторных расширений NEON и восьмиядерный графический процессор Mali-T628 (MP8) с аппаратным ускорением воспроизведения ...

AMD уже рассылает процессоры Ryzen 3000 для обзоров Скоро всё тайное станет явным.

Microsoft представляет новый Surface Pro X с процессором Microsoft SQ1 Как и ожидалось, Microsoft представила новый Surface Pro X, устройство с ARM-процессором Microsoft SQ1, работающее под управлением полноценной версии Windows 10. Новый Surface X поставляется с 13-дюймовым дисплеем, упакованным в ультратонком корпусе, который также имеет подс...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

На что способна рука марсохода «Марс-2020»? В июле следующего года на поиски марсиан отправится аппарат под названием «Марс-2020», оборудованный двухметровой роботизированной рукой. Новый марсоход создан на основе аппарата «Кьюриосити», который изучает поверхность планеты по сей день с 2012 года. Он тоже оборудован ...

Серверные процессоры AMD Milan на архитектуре Zen 2 не получат поддержку DDR5 Уже скоро AMD начнёт продажи новых процессоров Zen 2, которые принесут с собой увеличение числа ядер, повышение эффективности и заметный прирост IPC. А дальнейшим этапом развития процессоров американской компании станут новые Milan на архитектуре AMD Zen 3. Подробнее об этом...

AMD выплатит $12 млн штраф за обман о "восьмиядерности" процессоров Три года назад Тони Дикки и Пол Пармер подали в суд на компанию AMD. В своем иске они заявили, что компания грубо их обманула, рассказывая о количестве ядер в собственных процессорах Bulldozer. Истцы настаивали на том, что архитектура чипов не способна обеспечить независимую...

Спецификации Snapdragon 865 накануне официального анонса В начале декабря компания Qualcomm проведет мероприятие Snapdragon Tech Summit 2019, в рамках которого с большой долей вероятности представит новый флагманский чип Snapdragon 865, который станет прямым наследником Snapdragon 855 Plus. В сеть уже утекли спецификации новой од...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

Intel догнала AMD двухлетней давности. Появились первые игровые тесты нового GPU Intel G7 Дебютировавшие недавно долгожданные процессоры Intel Ice Lake принесут на рынок не только новый техпроцесс и новую архитектуру, но и новые GPU. Старшим графическим процессором является Iris Plus (G7) с 64 исполнительными блоками. И сегодня мы имеем возможность полноценн...

AMD анонсировала процессоры Ryzen 3000 PRO, APU Ryzen 3000 PRO с GPU Vega и Athlon PRO Компания AMD анонсировала линейку процессоров Ryzen PRO нового поколения. Эта линейка включает чипы Ryzen 3000, гибридные процессоры APU Ryzen 3000 с графикой Radeon Vega и AMD Athlon PRO. Линейка Ryzen PRO состоит из настольных процессоров, изготовленных по нормам 7-наномет...

Рынок дата-центров в Казахстане становится зрелым Объемы продаж в центрах обработки данных в Казахстане растут двузначными темпами.

Новые сведения о быстродействии 10-ядерного процессора Intel Comet Lake-S И снова Geekbench4, и снова низкие частоты.

Intel Core i9-9900KS гарантирует 5 ГГц на всех ядрах Скоро мы получим невероятный процессор для игр

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

Сказки о безопасности: Банковский опрос Вот и заканчивается осень, скоро морозы. Потапыч не любил ноябрь. В это время на улице практически нет солнца. Только туман, плавно переходящий в плотную облачность и снова в туман. Сыро, холодно, противно. В такую ...

Или интернет, или звезды: спутники Starlink могут стать проблемой для астрономов Спутники Starlink, запускаемые компанией SpaceX, чтобы обеспечить глобальный доступ в интернет, могут стать причиной головной боли астрономов. Некоторые исследователи уже заметили, что интернет-спутники достаточно яркие, чтобы помешать наблюдениями за звездным небом, ос...

Лазер класса 4: Как выглядит кинозал и проектор IMAX with Laser в «Планете Кино» «Планета Кино» уже 1 августа запустит в Киеве новый кинотеатр, в котором будет работать первый в этой сети зал с лазерным проектором IMAX. Он будет находиться в торгово-развлекательном центре River Mall на Днепровской набережной 10-14, и пока откроется отдельно от остальных ...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

Рендер гибкого смартфона Xiaomi В последнее время производители смартфонов стали активнее обсуждать возможность выпуска гибкого смартфона. Это какая-то нереальная технология, как многим кажется, но есть уже некоторые наработки и даже рабочие прототипы, которые говорят об обратном. Например, компания Xiaomi...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

Спутниковый интернет установил рекорд скорости Британская компания OneWeb, занимающаяся коммуникациями, опубликовала отчет о первом испытании собственного космического интернета. Соединение было установлено за счет использования уже выведенных ранее на низкую орбиту спутников, которые в теории должны будут обеспечить шир...

Следующая ступень: материнская плата ASUS ROG Maximus XI Hero (Wi-Fi) Казалось бы, совсем недавно был анонс чипсета Z370, восторженные отзывы о новом процессоре 8700K и поиск оптимальной платы для разгона, как уже пролетел год, перед нами Z390 и процессоры i9 на Coffee Lake Refresh. Снова нужно выбрать «правильную» материнку для освоения ...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Huawei представила однокристальную систему HiSilicon Kirin 990 5G На IFA 2019 компания Huawei представила новую систему-на-кристалле, которая получила название Kirin 990. Она дебютирует в Huawei Mate 30. Будет две версии: 4G и 5G. Главная особенность этой системы — встроенный чип для поддержки связи нового поколения. Напомним, что недавно...

Ученые рассказали о новом типе планет, похожих на глазное яблоко Вселенная — это поистине удивительное место. Астрономы открыли уже такое количество уникальных небесных тел, которое не снилось ни одному фантасту. Экзопланеты, суперземли, горячие Юпитеры, мини-Нептуны и так далее. Но, кажется, что может существовать и гораздо более ...

Китай завершит строительство «искусственного солнца» уже в этом году В китайском городе Хэфэй с 2006 года идет разработка «искусственного солнца» для имитации процесса ядерного синтеза, при помощи которого настоящее Солнце генерирует энергию. Чтобы получить альтернативный и безграничный источник энергии, ученые разогревают плазму до рекордны...

Раскрыты секретные планы радиосвязи СССР с Марсом и Венерой В 1961 году освоение Солнечной системы казалось делом более-менее близкого будущего — порядка пары-тройки десятилетий. Поэтому нет ничего удивительного в том, что документ пропитан оптимизмом той эпохи. Его авторы полагали необходимым обеспечить устойчивую связь с аппаратами...

AMD представила третье поколение процессоров семейства Ryzen Threadripper Компания AMD объявила о скором поступлении в продажу новых мощных новинок в семействе премиальных процессоров для настольных ПК, которые обеспечат потрясающую производительность для создателей контента, разработчиков и энтузиастов. 24-ядерные процессоры ...

Учёные показали, как выглядела бы Земля для инопланетных исследователей Земные учёные активно ищут планеты у других звёзд, надеясь обнаружить среди них похожие на нашу. А что, если на какой-нибудь планете местные исследователи занимаются тем же самым? Американские астрономы решили показать, как выглядела бы Земля для таких инопланетных учёных.

Найдено новое объяснение жидкому океану под поверхностью Плутона Когда в 2015 году межпланетная станция «Новые горизонты» пролетела над Плутоном, представление ученых о карликовой планете резко изменилось. Самым главным открытием для них было то, что под «ледяным сердцем» космического объекта вполне может существовать жидкий океан. Это к...

Процессор Intel Core i7-1065G7 (Ice Lake) впечатляет производительностью в ряде тестов Несколько дней назад мы уже имели возможность убедиться, что грядущие процессоры Intel Ice Lake должны обеспечить отличный прирост производительности относительно текущего поколения. Тогда мы говорили о CPU Core i7-1065G7. Сегодня мы можем ещё раз взглянуть на этот про...

Процессоры Ryzen 3700X и 3900X все еще находятся в дефиците. Ryzen 5 3600 недоступен Поклонникам AMD снова приходится ждать

Появились новые результаты производительности видеокарт и процессоров в GRID 2019 Неужели Intel и Nvidia снова впереди?

Представлен ноутбук RedmiBook 14 на процессоре Intel Core i3 Сегодня был представлен новый вариант ноутбука RedmiBook 14 на базе процессора Intel Core i3. Как и более ранние модели, новинка относится к устройствам премиум-класса и обладает цельнометаллическим корпусом и дисплеем с тонкими рамками. RedmiBook 14 также оснащен эффективно...

Уникальный ЗОЖ-браслет с функцией накопления базы данных о своем здоровье Технологический прорыв совершила команда московских школьников в МДЦ «Артек», предложив рынку фитнес-браслет с функцией нескольких медицинских измерительных приборов. Как отметил автор и идеолог проекта 13-летний школьник из Москвы Вадим Бахматов, уникальность идеи заключала...

Инженерные образцы 7-нм процессоров AMD Ryzen 3000 уже поступают в оборот Волна за волной, скоро совсем накроет.

Intel против AMD: ценовая война уже началась Скоро процессоры подешевеют, а значит, самое время обновлять ПК

Официально анонсирована RPG MARVEL Realm of Champions от авторов файтинга Contest of Champions Студия Kabam, создавшая файтинг MARVEL Contest of Champions, снова сотрудничает с корпорацией. Она готовит проект под названием MARVEL Realm of Champions. Это будет RPG в режиме реального времени, в которой пользователи смогут объединяться и совместно сражаться против други...

Системные платы MSI на чипсетах AMD 300-й серии могут не получить поддержку чипов Zen 2 На момент анонса центральных процессоров Ryzen первого поколения и соответствующих материнских плат с наборами логики X370, B350 и A320 компания AMD обещала обеспечить долгую жизнь платформе AM4. Речь идет об обратной совместимости всех...

Микробы и водоросли обеспечат планету безопасным разлагаемым биопластиком Научить человечество сортировать мусор, отправлять его на переработку или хотя бы меньше мусорить – задача чрезвычайно сложная. Но что, если просто поменять сам исходный материал, из-за которого столько проблем? Заменить полимеры из углеводородов на их аналоги из биологическ...

Подбираем лучшие геймерские процессоры зимы 2020 года Зима пришла как-то уж очень быстро. Intel погрязла в дефиците процессоров и оказалась неспособно обеспечить производителей готовых сборок и ноутбуков достаточным количеством своих чипов. AMD всячески пользуется возможностью, но не

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Кому процессор Intel за $15 500? Компания Intel сегодня без лишнего шума добавила в свой ассортимент новый процессор Intel Xeon Platinum 8284, который является самым производительным в линейке Xeon Platinum 8200 (Cascade Lake-SP). Новинка предназначена для серверов, включая многопроцессорные конфигурации. К...

Ученые научились снова делать уголь из углекислого газа Учёные из Мельбурнского королевского технологического университета открыли новый процесс, который превращает углекислый газ обратно в уголь. Теоретически, это даёт возможность собирать вредный парниковый газ и устранять его из атмосферы.

MediaTek представит свой чипсет с поддержкой 5G в конце этого месяца Компании Huawei, Samsung и Qualcomm уже представили чипсеты с поддержкой 5G-модемов. Сетевые источники говорят о том, что скоро их примеру последует MediaTek. Тайваньская компания объявила о том, что новая однокристальная система с поддержкой 5G будет представлена в мае 2019...

Три способа починить марсоход «Оппортьюнити»: удастся ли? С момента запуска марсохода «Оппортьюнити» прошло 15 лет. К сожалению, эту знаменательную дату аппарат встретил в полном молчании — после мощной бури ее солнечные батареи покрылись пылью и не смогли пополниться энергией. Он был переведен в кризисный режим, и на протяжении 7...

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Клон Земли: ученые впервые нашли планету с водой и инопланетянами Сегодняшний день, 12 сентября 2019 года, совершенно точно войдет в историю, потому что считанные часы назад случилось то, на что многие надеялись уже более 30 лет. Так, в частности, при исследовании очередной планеты учетные обнаружили, Сообщение Клон Земли: ученые впервые ...

Xiaomi готовит, возможно, самый дешевый смартфон с мощной камерой Модель Xiaomi Mi A3 получила 48-мегапиксельный модуль основной камеры - точно такой же, как используется во многих флагманских аппаратах. В основе смартфона лежит новый процессор среднего уровня, созданный на базе 8-нанометрого техпроцесса - Snapdragon 730.

Появились первые упоминания о настольных процессорах Intel Ice Lake Ходило много слухов о 10-нм процессорах Intel, и большинство из них указывали на то, что компания не планирует выпускать настольные потребительские процессоры, поскольку 10-нм техпроцесс находится не на нужной стадии. Впоследствии эти слухи были опровергнуты Intel. Подробнее...

Пользователи «Сбербанка» снова страдают. Не проходят платежи и тупят банкоматы Клиенты «Сбербанка» уже второй раз за последние несколько дней ругают структуру в социальных сетях. У компании случился очередной технологический сбой, который затронул несколько регионов. Пострадали снова те, кто пытался проводить какие-то операции через фирменное приложе...

AMD Ryzen 5 3600X в очередной раз признан лучшим бюджетным процессором, Intel снова в отстающих Неоспоримая победа процессора AMD

SpaceX снова не смог запустить спутники Starlink Standing down to update satellite software and triple-check everything again. Always want to do everything we can on the ground to maximize mission success, next launch opportunity in about a week. — SpaceX (@SpaceX) 17 мая 2019 г. «Остаемся на месте, чтобы обновить програ...

Kirin 990 в Huawei Mate X подтвержден Несколько дней назад пришла информация, что компания модернизировала складной Huawei Mate X, сменив Kirin 980 на Kirin 990. Сегодня руководитель подразделения Huawei в Канаде Янминг Ван подтвердил изданию Techradar, что коммерческий вариант гибкого мобильника Huawei действит...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

TSMC скоро начнет массовое производство 7-нм чипсета Snapdragon 855 Поскольку тайваньский производитель микросхем MediaTek уже вышел из рынка высокопроизводительных чипсетов, ожидается, что в этом сегменте будут доминировать флагманские телефоны, работающие на новейшем процессоре Snapdragon 855 компании Qualcomm. ***

Intel показала новый процессор i9-9900KS с Turbo-частотой 5 Ghz на ядро Вчера компания Intel представила публике свой новый восьмиядерный процессор (16 потоков) i9-9900KS с тактовой частотой в 5,0 Ghz на каждое ядро в режиме Turbo. Режим Turbo в процессорах Intel — это система, которая автоматически поднимает тактовую частоту ядер при увеличении...

Мини-исследование: теория плоской Земли своей популярностью во многом обязана YouTube Теория плоской Земли не нашла бы такого огромного числа последователей без помощи YouTube. Согласно небольшому исследованию преподавателя по теории коммуникации Техасского технологического университета Эшли Ландрум, именно видеоплатформа Google поспособствовала стремительном...

Робот Федор, вернувшись с МКС, призвал поселить населяющих Землю роботов-аватаров в колониях В Twitter Федора появилась запись о необходимости создания "колоний роботов-аватаров" для изучения планет Солнечной системы. Роботы "готовы дать предложения по техническому облику будущей антропоморфной системы", которая сможет "обеспечить развертывание и обслуживание научны...

Новый тип аккумуляторной батареи позволит заряжать электрический автомобиль за 10 минут Последние версии зарядных станций Tesla позволяют владельцам электрических автомобилей быстрей вернуться на дорогу, но мы еще очень далеки от момента, когда полная перезарядка аккумуляторных батарей автомобиля хотя бы приблизится к времени, требующемуся для заливки бака жидк...

Появились предварительные спецификации процессора AMD Ryzen 5 3500X Китайский онлайн-магазин JD.com опубликовал данные о процессоре AMD Ryzen 5 3500X. Напомним, в первой волне процессоров AMD Ryzen 3000 этой модели не было — список начинался с 6-ядерного процессора Ryzen 5 3600 стоимостью 200 долларов. По предварительным данным, A...

Ученые утверждают, что некоторые планеты могут иметь больше видов живности, чем на Земле Астрономы со всего мира бьются в поисках планет, которые могли заменить бы человечеству родную планету. И вот, новые исследования ученых показывают, что некоторые экзопланеты могут иметь еще более лучшие условия для жизни, чем сама Земля.

Прощай, Opportunity: учёные NASA больше не будут пытаться восстановить связь с марсоходом После девяти месяцев попыток восстановить связь с марсоходом Opportunity учёные прекратили попытки. Как сообщается, прошлой ночью NASA отравило последнюю команду аппарату, но снова не получило ответа. Напомним, Opportunity замолчал в июне прошлого года из-за сильной пы...

Intel выпустила 56-ядерный процессор Xeon Cascade Lake накануне появления 64-ядерного EPYC Компания Intel представила несколько продуктов для корпоративного сегмента, включая новый 56-ядерный процессор серии Xeon Scalable на базе архитектуры Cascade Lake. Предполагается, что этот чип станет первым ответом Intel на грядущее появление на рынке конкурирующих решений ...

AMD продолжает доминировать в продажах процессоров крупного немецкого магазина В Сеть попала статистка продаж процессоров немецкой сети магазинов Mindfactory по итогам мая. Напомним, это тот редкий случай, когда мы можем подробно оценить продажи в рознице. Итак, в мае доля AMD снова немного выросла и достигла 66%, тогда как у Intel — 34%. На...

Новая статья: Tom Clancy's The Division 2 — и снова мы плечом к плечу. Из первых рук Нам выпал шанс ознакомиться с текущей версией The Division 2, в которой совсем скоро агенты снова станут последним рубежом между порядком и хаосом на просторах разрушенных Штатов. По сравнению с первой частью изменений довольно много. Но хватит ли новшеств, чтобы на...

Представлен Samsung Exynos 980 - первый чипсет компании со встроенным 5G-модемом Samsung Electronics представила свой первый чипсет со встроенный модемом 5G - Samsung Exynos 980. Новинка создана с применением 8-нм техпроцесса и включает в себя 8-ядерный процессор с двумя ядрами Cortex-A77 и шестью Cortex-A55 в паре с графикой Mali G76. Подробнее об этом ...

AMD показала на CES 2019 процессоры Ryzen 3-го поколения Компания AMD провела презентацию нового чипа на базе техпроцесса 7нм, основанного на архитектуре Zen 2. Новинка под названием Ryzen предназначена для оснащения ПК и ноутбуков. В процессе презентации компания представила возможности своей новинки вместе с созданной новейшей в...

Нужно сказать спасибо AMD. Новые CPU Intel Core i5 получат поддержку Hyper-Threading Недавно мы узнали, что процессор Core i3-10100 получит четыре ядра и будет поддерживать Hyper-Threading, что сделает его существенно интереснее предшественников. Судя по новым данным, подобное преображение ожидает и новые настольные CPU Core i5. В базу SiSoft Sandra по...

Helio P90 оказался производительнее Snapdragon 670 Две недели назад чипмейкер MediaTek представил очередное свое творение — Helio P90. В связи с отказом развивать линейку флагманских процессоров Helio X, этот чипсет стал самым мощным решением в модельном ряду тайваньской компании. Во время анонса MediaTek не стала гово...

Новый смартфон Realme получит процессор Snapdragon 855 Как известно, в ассортименте компании Realme все еще нет флагманского смартфона на топовой платформе Snapdragon 855, но скоро этот недочет будет исправлен. Сегодня в базе Bluetooth SIG обнаружился смартфон RMX1931 на процессоре Qualcomm с частотой 2,84 ГГц. Это и есть Snapdr...

Intel представила Lakefield: пятиядерный гибрид Core и Atom с трёхмерной компоновкой Intel представила свой первый гибридный процессор Lakefield, в котором объединено пять вычислительных ядер с различной архитектурой, работающих по принципу big.Little. Несмотря на то, что для изготовления Lakefield применяется 10-нм техпроцесс и технология 3D-компон...

Искусственный интеллект к 2030 году может обеспечить прирост ВВП РФ на 2% К 2030 году ежегодный прирост ВВП России может составить от 1,1% до 2% благодаря использованию технологий искусственного интеллекта (ИИ). Об этом в ходе панельной дискуссии на Петербургском международном экономическом форуме (ПМЭФ) заявил заместитель председателя правительст...

В борьбе с дефицитом собственных процессоров Intel снова расписалась в беспомощности От лица компании это сделала исполнительный вице-президент.

Новая планета для жизни Третья обнаруженная планета GJ357 c находится между GJ357 b и GJ357 d и пролетает вокруг своей звезды всего за 9 дней.Наибольший интерес ученых вызвала планета с кодовым названием GJ357 d, которая размещена на достаточном расстоянии от GJ357, чтобы на ее поверхности могла на...

Представлены смарт часы Misfit Vapor X Продукт на операционной системе Google Wear OS скоро поступит в продажу на последнем процессоре Snapdragon 3100.

Silicon Lottery признаёт, что бизнес по сортировке процессоров скоро себя изживёт AMD и Intel слишком хорошо знают возможности собственных процессоров.

Илон Маск пояснил, что автопилот на собственных процессорах ещё не скоро обгонит решения NVIDIA По крайней мере, не в ближайшие два-три месяца.

Гибридные чипы AMD Renoir протестировали в 3DMark 11 В ближайшем времени AMD планирует представить гибридные процессоры Renoir, которые будут совмещать процессорные x86-ядра на микроархитектуре Zen 2 и графический модуль Radeon Vega. Новые APU будут производится по 7-нм технологическим нормам на линиях...

Будет ли компьютер на Pentium 4 тянуть новые игры, если добавить в него мощную видеокарту? 13 августа 2002 года Intel официально представила 90-нанометровый технологический процесс. На его базе вышли самые популярные вариации процессоров Pentium 4.

CES 2019: AMD анонсировала третье поколение процессоров Ryzen На выставке CES 2019 компания AMD также подтвердила, что новое поколение настольных процессоров Ryzen будет основано на архитектуре Zen 2 и поступит в продажу в середине этого года. Новинки будут по-прежнему совместимы с сокетом AM4, но вместе с этим на рынок будут выпущены...

Первые тесты Ice Lake: впечатляет лишь производительность встроенной графики Компания Intel, как и многие рядовые пользователи, возлагает большие надежды на новые мобильные процессоры Ice Lake, которые за счёт 10-нм техпроцесса и новой архитектуры Sunny Cove должны быть намного производительнее, нежели их предшественники. Однако первые тесты новинок ...

В Госдуму снова внесли законопроекты о предустановке на устройства российских приложений и штрафах за нарушение этого требования Депутаты полагают, что закон, который может вступить в силу с 1 января 2020 года, обеспечит защиту интересов российских интернет-компаний и позволит уменьшить количество "злоупотреблений" со стороны иностранного бизнеса в сфере информационных технологий.

Потрясающее изображение зрелой галактики ESA/Hubble & NASA, J. Walsh NGC 7773 является хорошим примером спиральной галактики с перемычкой, отмечают специалисты миссии «Хаббла». Светящаяся структура, называемая перемычкой («баром»), «пересекает» яркое ядро галактики, простираясь до внутренней границы спирал...

Импортозамещающий альянс. МойОфис интегрирован в СЭД «ДЕЛО» Российские разработчики программного обеспечения, компании «Электронные Офисные Системы» и «Новые Облачные Технологии», договорились о технологическом партнерстве и объявили о завершении технологической интеграции решений МойОфис в систему электронного документооборота «ДЕЛО...

AMD FX-8350 на частоте 8794 МГц: история забытого рекорда В силу своих архитектурных особенностей процессоры AMD FX поколения Vishera были способны покорять рекордные частоты при использовании криогенных методов охлаждения, хотя и производились по зрелой 32-нм технологии. Формально, если опираться на статистику ресурса HWBot, абсол...

Жизненный цикл 14-нм техпроцесса Intel продлила до 2021 года Он будет существовать бок о бок с 7-нм техпроцессом.

Japan Display будет поставлять OLED-экраны для Apple Watch Контракт оценивают как настоящий прорыв для переживающего финансовые трудности японского вендора.

Смартфон Vivo NEX 2 с революционным дизайном представят совсем скоро На данный момент Vivo выпустила два смартфона в своей экспериментальной линейкой NEX, которые получили названия Vivo NEX и Vivo NEX Dual Screen Edition. Теперь компания готова запустить третий смартфон, который должен называться Vivo NEX 2. В китайской социальной сети W...

[Перевод] Очередной шаг к концу закона Мура Samsung и TSMC переходят на технологический процесс 5 нм Два крупнейших производителя — Taiwan Semiconductor Manufacturing Co. (TSMC) и Samsung в апреле анонсировали о восходе на следующую ступеньку лестницы закона Мура. Сначала выступила TSMC, объявив о переходе техпроцесса...

GameClub обновит и выпустит на iOS классическую гонку Cubed Rally World Cubed Rally Racer – достаточно старая гонка для iOS, вышедшая в 2010 году. Разумеется, она не пережила все изменения App Store и давно пропала из магазина. Та же участь постигла и сиквелы: Redline и World. Но именно последний проект скоро снова вернется стараниями GameClub. ...

Раскрыты подробные данные о двух планетах для переселения человечества Последние несколько веков астрономы всего мира заняты поиском альтернативных вариантов для колонизации. Недавно ученым как раз удалось обнаружить сразу две экзопланеты (планеты, максимально похожие на нашу планету по своему составу — *прим. редакции*), имеющие рекордно высок...

Nvidia обеспечит поддержку CUDA для суперкомпьютеров с ARM-процессорами Сегодня на мероприятии International Supercomputing Conference во Франкфурте Nvidia объявила о грядущей поддержке CUDA-вычислений на процессорах с архитектурой ARM, что позволит создавать энергоэффективные суперкомпьютеры с поддержкой алгоритмов искусственного интеллекта. К ...

В магазинах скоро появится еда из электричества, воды и воздуха Забавный факт: три четверти всех продуктов для населения Земли поставляют всего 12 видов растений и 5 видов животных. Чтобы разнообразить наш рацион и при этом не наносить вред окружающей среде, ученые придумают новые виды еды. О производстве искусственного мяса мы уже слыш...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Из Неаполя в Рим: новые CPU AMD EPYC Седьмого августа был объявлен мировой старт продаж второго поколения линейки AMD EPYC™. Новые процессоры базируются на микроархитектуре Zen 2 и построены по 7-нм технологическому процессу. Читать дальше →

Глава ФСБ снова пожаловался на нежелание IT-компаний сотрудничать со спецслужбами Александр Бортников также призвал партнеров из иностранных спецслужб присоединиться к российской инициативе о раскрытии ключей шифрования переписки в интернете. Это должно обеспечить правоохранительным органам контроль за информационным обменом между преступниками.

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Обзор и тест процессора AMD Ryzen 7 3700X: вот оно, реальное возрождение? Ядер становится больше, производительность возрастает, технологический процесс уменьшается, гонка продолжается… Удастся ли компании AMD вырваться в лидеры, насколько удачны новые CPU, чем удивит платформа? Мы изучим наиболее интересный процессор нового поколения и постараемс...

Видеокарты семейства “Ampere” от NVIDIA могут появиться на рынке в первой половине 2020 года По сети уже гуляют слухи о том, что следующее поколение видеокарт от NVIDIA может быть анонсировано в первой половине 2020 года. Ожидается переход на более современный техпроцесс (с 12 нм на 7 нм), а значит и увеличение кол-ва транзисторов, а также показателя энергоэффектив...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

Отставить панику: настольные процессоры Intel c десятью ядрами выйдут в начале следующего года Презентация Dell, которой известный голландский сайт руководствовался при описании ближайших планов Intel по анонсу новых процессоров, первоначально концентрировала внимание на сегменте мобильных и коммерческих продуктов. Как справедливо отметили независимые эксперты, в потр...

Телескоп TESS поможет в поиске внеземной разумной жизни NASA Проект Breakthrough Listen (BL) был запущен в 2015 году. Он использует мощные обсерватории для поиска радиосигналов, приходящих от ближайших к нам звезд, которые бы могли свидетельствовать о наличии внеземной разумной жизни. На днях было объявлено о сотрудничество ...

Технологические сети обойдутся без «суверенного рунета» Это следует из справки для топ-менеджмента "Газпрома", с которой ознакомился “КоммерсантЪ”. Подключение к технологической сети "посторонних" технических средств контроля, систем оперативно-разыскных мероприятий (СОРМ) и централизованного монит...

AMD анонсировала второе поколение мобильных процессоров Ryzen Pro Mobile Компания AMD объявила о выходе второго поколения мобильных процессоров Ryzen Pro с графикой Radeon Vega, которые предлагают улучшенную энергоэффективность, безопасность и управляемость. По словам старшего вице-президента AMD, пользователи бизнес-ноутбуков хотят использовать...

Представлена линейка процессоров Intel Xeon W 3000 (Cascade Lake): до 28 ядер, до 64 линий PCIe и цена до $7453 Линейка серверных процессоров Intel разом пополнилась девятью новыми моделями — все они являются представителями серии Xeon W 3000 (семейство Cascade Lake). Ну а самый главный представитель линейки, Xeon W-3275M, вошел в перечень опционального оснащения новейшего ...

64-ядерный CPU Epyc 7742 оценён менее чем в 8000 долларов Процессоры AMD Epyc второго поколения должный выйти в третьем квартале. Напомним, эти серверные CPU перешли на семинанометровый техпроцесс и архитектуру Zen 2. Кроме того, количество ядер выросло вдвое, так что флагманы теперь 64-ядерные. И вот теперь в Сети появились ...

Huawei анонсировала 7-нм восьмиядерный процессор Kirin 810 с особым акцентом на ИИ Как вы уже знаете, китайский технологический гигант по имени Huawei сегодня провел презентацию, на которой представил несколько своих новинок. Мы уже рассказали про продолжение одного из лучших планшетов на Android, а теперь хотим рассказать про совершенно новый мобильной п...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

В третьем квартале выручка TSMC на 27% зависела от 7-нм техпроцесса Чем дальше, тем этот показатель будет выше.

10-нм процессоры Intel позволяют играть в 1080p Процессоры Ice Lake обеспечат комфортную игру в 1080p на ноутбуках

Как наша Земля выглядит для инопланетян из далекого космоса Мы привыкли видеть фото нашей планету из близкого космоса, где она почти всегда напоминает голубой шар, а при должном удалении – маленькую голубую точку. Но что, если попробовать взглянуть на Землю из другой галактики и понять — как нас видят обитатели других планет? У...

ASRock анонсировала видеокарты линейки Phantom Gaming Alliance ASRock Phantom Gaming Alliance - это линейка продуктов компании, направленных на предоставление высококлассного игрового оборудования для геймеров и энтузиастов. Последним дополнением к линейке Phantom Gaming Alliance является пара новых видеокарт на базе графического пр...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

Раскрыты характеристики процессора Intel Comet Lake-U 10-го поколения: 14-нм техпроцесс, 6 ядер, 12 потоков, частота до 4,67 ГГц Многие поклонники Intel с нетерпением ожидают появления на рынке процессоров 10- поколения, выход таких устройств должен состояться уже в скором времени. При этом нужно отметить, первоначально пользователям станут доступны мобильные чипы Ice Lake-U,  изготовленных по нормам ...

Intel может снова столкнуться с дефицитом 14 нм процессоров Все помнят дефицит процессоров Intel, возникший прошлой зимой. Он был вызван переходом производства всех микросхем компании на 14 нм нормы, в результате ей просто не хватало мощностей, для обеспечения спроса. И теперь эта ситуация может повториться.

Телескоп Hubble нашел странную раскаленную планету в форме яйца Космический телескоп «Хаббл» изучил особенности экзопланеты WASP-121b, которая уже четвертый год интригует ученых. На момент открытия в 2015-ом о планете было известна только ее принадлежность к классу «горячих Юпитеров» – газовых гигантов с раскаленной атмосферой. Но теперь...

Lenovo S330 Chromebook скоро в продаже Компания Lenovo уже в этом месяце готовит в продажу новый хромбук Lenovo S330 по цене $250. Аппарат будет работать с процессором Intel Celeron N4000, объем оперативной памяти стандарта LPDDR4 достигает 8 ГБ, встроенной памяти – 64 ГБ. Сенсорный дисплей с...

Intel номинально анонсировала 10-нм процессоры Ice Lake-U, первые ноутбуки на них выйдут до конца этого года На сегодняшней пресс-конференции в рамках выставки CES 2019 компания Intel не только представила шесть новых процессоров Coffee Lake-S Refresh, о которых мы уже успели рассказать в предыдущей заметке, но и поведала первые подробности о долгожданных 10-нм процессорах Intel Ic...

Ученые поняли, как на замерзших планетах может существовать жизнь При поиске планет, на которых могла бы существовать жизнь за пределами нашей Солнечной системы, ученые, как правило, большое внимание уделяют планетам, похожим на Землю. В этом есть определенная логика, ведь если на нашей планете смогла зародиться жизнь, то есть вероятность...

Производительность Windows 10 версии 1909 будет повышаться благодаря предпочтительным ядрам процессора Процессоры Intel имеют ядра с различными частотными и напряженными характеристиками, а ядра, которые могут предложить лучшую производительность, чем другие, теоретически называются «Favored Core».Текущая реализация Microsoft Windows 10 и Intel Turbo Boost Max 3.0 переносят о...

В SiSoftware «засветился» маломощный 10-нм процессор Tiger Lake База данных теста производительности SiSoftware регулярно становится источником информации о тех или иных процессорах, которые ещё не были представлены официально. На этот раз здесь обнаружилась запись о тестировании нового чипа Intel поколения Tiger Lake, для производства к...

Intel обещает, что CPU Cascade Lake-X по соотношению цены и производительности будут вдвое лучше предшественников Новые процессоры Intel HEDT поколения Cascade Lake-X выйдут уже в следующем месяце. Это та самая линейка, которая, судя по имеющимся данным, будет называться Core i9-10000. Также есть данные, что прирост производительности новых CPU составит всего 3-7%, что будет обусло...

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

Представлен процессор Intel NNP-T: 24 тензорных ядра, 32 ГБ памяти HBM2 и 27 млрд транзисторов Пару месяцев назад компания Intel представила новый продукт семейства Nervana — процессор NNP-I. Это решение основано на обычном процессоре Ice Lake, из которого «убрали всё лишнее» и добавили блоки DSP. Сегодня на мероприятии Hot Chips 31 компания Int...

Процессор iPhone 11 оказался самым мощным чипом, установленном в смартфоне Процессор A13 Bionic устанавливается в iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max Эксперты часто говорят, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности...

Учёные намерены вырастить искусственные планеты внутри ракеты Планеты формируются из облак газа и пыли, частицы которых притягиваются друг к другу под силой гравитации. Учёные хорошо знают этот механизм в теории, но на практике он происходит, конечно, только в космосе. Впрочем способ «вырастить» планету искусственно существует. Именно ...

OnePlus TV будет работать на собственном процессоре Gamma Color Magic OnePlus TV уже готовится к запуску в Индии в этом месяце. Генеральный директор Пит Лау подтвердил, что телевизор OnePlus будет оснащаться процессором Gamma Color Magic - специальным чипом, созданным компанией для телевидения и то,что OnePlus TV работает в модифицированной ве...

И снова Крым в миниатюре (Еще больше, еще детальнее) Недавно делился миниатюрами Крыма в масштабе 1:500, теперь они печатаются в масштабе 1:250. Это не просто увеличенные модели, а модели с совершенно другой детализацией. Все те же принтеры и параметры как в предыдущих постах. Отличие лишь в цвете фотополимера.На данный момент...

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

TrustToken выпустил стейблкоин, обеспеченный канадским долларом Криптовалютный стартап TrustToken объявил о выпуске своего четвертого стейблкоина — TrueCAD, который будет обеспечен канадским долларом. We are excited to announce that TrueCAD is now live! Sign up to purchase and redeem our Canadian dollar-backed stablecoin in the TrustToke...

Lenovo IdeaPad Slim 1 обеспечит бесшумную работу Ноутбук, оснащенный процессорами AMD и пассивным охлаждением, уже доступен на российском рынке.

AMD X570 обеспечит поддержку PCI Express 4.0, процессоры Ryzen 3000 станут 12-ядерными Эхо CES 2019 продолжает кормить информационную индустрию.

Обновление Windows 10 до версии 1909 обеспечит повышение производительности для процессоров Intel Возможно, CPU от AMD также получат некоторый прирост.

Процессоры AMD Ryzen 3000 обеспечат прирост быстродействия до 12,5% по сравнению с предшественниками По крайней мере, в тестах, использующих многопоточность.

Intel официально представила 10-нм процессоры Новинки обеспечат прирост производительности ИИ и графики

Snapdragon 855 обеспечит появление смартфонов с Multi-eSIM Топовый процессор Qualcomm получил сертификат безопасности EAL-4

В Сингапуре представлен первый полноразмерный самоуправляемый электробус Скоро в университетском городке Наньянского технологического университета — ведущего технологического университета Сингапура — начнется тестирование самоуправляемого электробуса, созданного совместно со специалистами Volvo. Транспортное средство длиной 12 м...

Apple вернется к Qualcomm, чтобы в iPhone 2020 была поддержка 5G Хотите так же на айфоне? Мы тоже В этом году Apple и Qualcomm завершили многолетнее противостояние, заключив мировое соглашение. Apple пришлось уступить оппоненту и выплатить ему в качестве компенсации несколько миллиардов долларов. В Купертино восприняли это довольно болезн...

Слухи: Intel полностью отказывается от планов по выпуску 10-нм процессоров в настольном сегменте 10-нм технологические нормы не несут никаких преимуществ.

ЦП AMD Ryzen 4000-ой серии и чипсет X670 появятся в конце 2020 года Следующее поколение процессоров AMD Ryzen будет создано на базе 7 нм+ техпроцесса (архитектура Zen 3). Ожидается, что эти решения появятся на рынке вместе с новым чипсетом Х670 в конце следующего года. Специалисты предполагают, что свежие ЦП будут еще мощнее (больше ядер, в...

Нехватка процессоров снова привела к падению мирового рынка ПК Из-за дефицита сохраняется неопределенность в отношении заказов на вторую четверть 2019 года.

Для производства 16-ядерного процессора Ryzen 9 3950X используются отборные кристаллы Недавно компания AMD представила 16-ядерный флагманский процессор Ryzen 9 3950X. Он возглавил линейку Ryzen 3000, правда, в продаже появится позже — в сентябре. За новинку AMD просит 750 долларов, что существенно больше, до анонса новой линейки стоил любой «...

Xiaomi снова опустила цену на Xiaomi Mi 9 Пару недель назад компания Xiaomi объявила о временной скидке на флагманский смартфона Xiaomi Mi 9, оснащенный 6 ГБ оперативной и 128 ГБ флэш-памяти. Распродажа по сниженной цене 404 доллара продолжалась всего два дня, но похоже скоро эта цена станет постоянной, так как...

Высокий уровень содержания углекислого газа в атмосфере может лишить планету облаков Согласно новому исследованию ученых из Калифорнийского технологического института, пресс-релиз которого был опубликован на сайте учреждения, а детальный анализ — в журнале Nature Geoscience, наша планета может лишиться слоисто-кучевых морских облаков, если уровень сод...

В сети появился трейлер китайского научно-фантастического фильма «Блуждающая Земля» (流浪地球; The Wandering Earth) В сети появился трейлер к китайскому научно-фантастическому фильму «Блуждающая Земля» (流浪地球; The Wandering Earth), поставленному по одноименному рассказу писателя-фантаста Лю Цысиня. Действие фильма разворачивается в ближайшем будущем. Когда становится известно, чт...

Идет работа над первым российским промышленным компьютером Разработчики уверены, что эти изделия найдут широкое применение на отечественных предприятиях, предъявляющих высокие требования к надежности и безопасности компонентов ИТ-инфраструктуры и заинтересованных в реализации программ импортозамещения. Начальный объем продаж планиру...

Nokia выпустит смартфон на Qualcomm 765 Совсем скоро компания Nokia официально представит свой новый смартфон на процессоре Qualcomm 765, который выдает достаточно высокую производительность и оборудован модулем сотовой сети пятого поколения. Это довольно интересное решение, которое за свои деньги будет предоставл...

Мощный смартфон Redmi Pro 2 Компания м выпустила компактный смартфон. Xiaomi Redmi Pro 2 получит новый восьмиядерный процессор Snapdragon 675. Этот чипсет выполнен по 11-нм техпроцессу и оснащён двумя мощными ядрами с тактовой частотой 2 ГГц и шестью ядрами на 1,7 ГГц. За графику отвечает Adreno 612, к...

ТОП лучших процессоров осени 2019 года на все случаи жизни Осень обещает быть горячей. Intel снова сошелся с AMD в непримиримой битве. Как найти оптимальный вариант между ценой, фанатской любовью и здравым смыслом? Изучайте ТОП наиболее актуальных процессоров на все случаи жизни. Это сэкономит не только деньги, но и кучу свободного ...

Компания Intel продемонстрировала новые серверные решения Поток данных, генерируемых человечеством, растет в геометрической прогрессии: более половины всего объема существующей информации было создано всего за 2 последних года. Уже в ближайшее время, каждый человек на планете будет генерировать в среднем 1,7 МБ данных в секунду, а ...

Kirin 990 не получил самое мощное ядро и вот почему Уже стало традицией, что свой очередной флагманский чип Huawei анонсирует на выставке IFA. В этом году широкой аудитории был представлен процессор Kirin 990, ключевой фишкой которого стал встроенный 5G‑модем.   Сам он производится по улучшенному 7-нанометровому техпро...

Утечка от OUKITEL: смартфон Y4800 с 48 Мпикс. камерой и процессором Helio P60 Уже скоро Oukitel собирается представить новую серию смартфонов, которая получит название Young, прямо указывающая на модные, стильные и энергичные черты. Обратите внимание, какие смартфоны этой серии будут в наличии.

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Ядер — больше, частота — ниже: базовая частота 64-ядерного процессора AMD EPYC нового поколения составила всего 1,4 ГГц Компания AMD собирается представить серверные процессоры EPYC нового поколения Rome в середине текущего года. Одной из топовых моделей серии станет 64-ядерная, и она уже засветилась в базе данных SiSoftware Sandra, благодаря чему стали известны и характеристики CPU, и п...

В рамках 5-нм техпроцесса EUV-литография будет использоваться гораздо активнее Популярность технологии растёт.

К 2021 году TSMC уже освоит второе поколение 5-нм техпроцесса Intel же будет всё ещё барахтаться в ранней стадии освоения 7-нм технологии.

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Настольные CPU Intel Comet Lake с разъёмом LGA 1200 и 10-ядерными флагманами появятся в начале 2020 года Несколько дней назад Intel представила мобильные процессоры Comet Lake. Они относятся к 10 поколению процессоров Core, но при этом, в отличие от Ice Lake, производятся по старому 14-нанометровому техпроцессу. Согласно новым данным, уже в первом квартале следующего года ...

Планы AMD на ближайший год: настольные процессоры Ryzen 4000 выйдут в августе 2020 года, а мобильные — в начале того же года Вчера мы говорили о слухах, которые утверждали, что новые настольные APU AMD могут выйти уже в ноябре или декабре. Но также источник говорил, что есть вероятность анонса уже на CES 2020 в январе. Если верить неофициальной дорожной карте, которую опубликовал другой источ...

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Сатурн отобрал у Юпитера лидерство по количеству лун в Солнечной системе Астрономы из Университета Карнеги, Калифорнийского и Гавайского университетов открыли 20 новых лун Сатурна. Теперь официально считается, что у планеты 82 естественных спутника, что делает ее рекордсменом в Солнечной системе по количеству известных спутников. Об этом говоритс...

Nvidia расширяет список мониторов совместимых с G-Sync Nvidia объявила, что будет обновлять драйверы графического процессора для поддержки дисплеев FreeSync в рамках новой инициативы «G-Sync Compatible». Это означает, что пользователи графического процессора Nvidia могут включить G-Sync с помощью любого адаптивного дисплея синхр...

Как бесплатно скачать программу Google Планета Земля Pro для Mac и Windows (раньше стоила 25 000 руб) Google Earth (Google Планета Земля) – один из самых значимых проектов «корпорации добра». Трёхмерная модель земного шара прямо на вашем компьютере, фотографии реальных объектов из множества источников, 3D-здания… отличный способ узнать о своей планете больше!  С 2015 года пл...

[Перевод] Была ла MongoDB вообще правильным выбором? Недавно я узнал, что Red Hat удаляет поддержку MongoDB из Satellite (говорят, из-за изменений лицензии). Это заставило меня задуматься, что в последние несколько лет я видел кучу статей, как ужасна MongoDB и что никто никогда не должен её использовать. Но за это время MongoD...

Будущий флагман Redmi удешевят отказом от процессора Snapdragon Модель Redmi K30 должна стать следующим доступным флагманом Xiaomi. Уже известно, что смартфон будет поддерживать 5G. Но, судя по всему, обеспечит ему это не чипсет Snapdragon, как ожидалось.

Найдена «невозможная» экзопланета University of Warwick / Mark Garlick Экзопланета получила название NGTS-4b. Она меньше Нептуна, однако в три раза больше, чем наша Земля: радиус тела на 20 процентов меньше, чем у Нептуна, а масса составляет 20 земных; температура же NGTS-4b составляет примерно 1 000 гр...

Предварительный заказ Pixel 4 на Best Buy Canada подтверждает ключевые характеристики Хотите верьте, хотите нет, утечки Pixel 4 все еще не прекратились до события Google 15 октября. Best Buy Canada кратко опубликовала страницу предварительного заказа для новых телефонов Google, которая подтвердила многочисленные детали. Как обычный Pixel 4, так и его XL-анало...

Выпуск 15-дюймового Apple MacBook Pro скоро завершится Компания Apple осенью 2019 года готовит к выпуску 16-дюймовые ноутбуки MacBook Pro, которые будут работать с процессорами Intel Core девятого поколения и достаточно высоким TDP на 45 Вт. И, как прогнозируют аналитики, после выхода более перспективной моде...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

Intel анонсировала 10-нм мобильные процессоры Ice Lake На ежегодной выставке Computex 2019 компания Intel представила процессоры Core 10-го поколения под кодовым названием Ice Lake, созданные с использованием 10-нм техпроцесса и архитектуры Sunny Cove. На текущий момент речь идёт только о моделях для ноутбуков и ультрабуков. Ож...

Планеты могут вращаться вокруг черных дыр Сверхмассивные черные дыры тоже могут иметь собственные планетные системы Многие из нас прекрасно знают, что планеты обращаются вокруг звезд, звезды вращаются вокруг галактического центра, а галактики, в свою очередь, вращаются вокруг гипотетического “Великого аттрактора”. В...

Планы AMD: CPU с архитектурой Zen 4 — до 2022 года, GPU с архитектурой RDNA2 — до 2021 года Компания AMD опубликовала документ для инвесторов, в котором можно найти данные о ближайших планах производителя. Они в целом совпадают с тем, что мы уже знали, но есть немного новой информации. Итак, до 2022 года года мы увидим процессоры Ryzen не то...

Новая прошивка позволила разогнать процессор Raspberry Pi 4 до 2147 МГц, обеспечив прирост производительности до 50% по сравнению с номиналом Микрокомпьютер Raspberry Pi 4 является наиболее производительным решением, чем все предыдущие модели Raspberry Pi. Но журналистам ресурса Tom’s Hardware стало интересно, насколько ещё можно повысить производительность процессора Broadcom BCM2711B0 и до какого уровня можно по...

Ожидается дебют OPPO A1K с аккумулятором 4000 мАч и процессором Helio P22 Как и ее конкуренты из Китая и за его пределами, компания OPPO готовится к выпуску своего первого смартфона на базе процессора Snapdragon 855, получившего название OPPO Reno. Тем не менее, похоже, что Reno — вовсе не единственный телефон данного производителя, находящийся в ...

В Германии доля продукции AMD среди новых процессоров стремится к 78% Скачок спроса обеспечили «сорвавшиеся ждуны».

Xiaomi представит ноутбуки RedmiBook 14 Enhanced Edition на CPU Ryzen 5 и Ryzen 7 по цене от 300 долларов Уже на следующей неделе технологический гигант из Поднебесной представит версии RedmiBook 14 Enhanced Edition на базе процессоров Ryzen 3000 (Matisse).

Самый дорогой CPU для LGA 1151. Стала известна ориентировочная цена процессора Core i9-9900KS Процессор Core i9-9900KS был представлен ещё в мае, но пока так и не появился в продаже. Мы знаем, что это разогнанный вариант Core i9-9900K, работающий на частотах 4,0-5,0 ГГц, причём пиковой частоты якобы могут достигать все восемь ядер. TDP заявлен на уровне 127 Вт, ...

Почему у Венеры и Меркурия нет естественных спутников? Несмотря на всю свою схожесть с Землей, Венера не имеет собственных спутников Абсолютно все планеты Солнечной системы, за исключением Венеры и Меркурия, могут похвастать наличием хотя бы одного стабильного спутника, вращающегося на орбите той или иной планеты. Так, даже разж...

Intel считает, что в утрате позиций на процессорном рынке виноват дефицит, а не конкурент Представлявшему интересы Intel на технологической конференции Citi для инвесторов Джейсону Грибу (Jason Grebe) пришлось ответить на ряд вопросов, имевших отношение как к натиску конкурента, так и к проблемам с доступностью собственных 14-нм процессоров. Дефицит последних, на...

[Из песочницы] Прагматическое функциональное программирование Привет, Хабр! Предлагаю вашему вниманию перевод статьи «Pragmatic Functional Programming» автора Robert C. Martin (Uncle Bob). Переход к функциональному программированию всерьез развился только около десяти лет назад. Мы видим, что такие языки, как Scala, Clojure и F# прив...

Новый процессор Snapdragon 712 Qualcomm анонсировала новое дополнение к своему ассортименту чипсетов - Snapdragon 712. Он построен на 10-нм техпроцессе и представляет собой скромное обновление популярного Snapdragon 710. Он имеет более быстрый восьмиядерный процессор, который повышает производительнос...

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

Свыше 22000 человек могут посетить первый день Московской недели предпринимательства «ВТБ-Арена» и ряд других столичных площадок в период 27-31 мая выступят местом проведения второй по счету Московской недели предпринимательства. Как рассказала вице-мэр мегаполиса Наталья Сергунина, в рамках этой серии деловых событий для сектора МСБ запланированы выступлени...

Новый Dell XPS 13 работает на чипе Intel 10-го поколения и Windows 10 Home Ultra Во вторник на выставке Computex компания Dell анонсировала очередное гибридное устройство 2 в 1 серии XPS 13 на представленных там же процессорах Intel Ice Lake 10-го поколения. Это особенно интересно с учётом того, что раньше устройства XPS 13 работали на процессорах серии ...

Intel представила 25 новых процессоров для настольных ПК Вместе с шестью мобильными процессорами для высокопроизводительных ноутбуков Intel представила 25 моделей CPU для настольных ПК. Все они относятся к девятому поколению процессоров Core (семейство Coffee Lake Refresh), но фундаментально базируются на архитектуре Skylake ...

Обнаружили уникальную планету в форме мяча Полученные данные в перспективе помогут ученым понять, каким образом планеты теряют атмосферы по мере постепенного приближения к звёздам, на орбитах которых они находятся.При этом планета настолько «рыхлая», что, несмотря на размер, имеет довольно низкую гравитацию — поэтому...

Процессоры Ryzen 3000 смогут работать с памятью DDR4-3200 без разгона Перспективные 7-нм процессоры AMD Ryzen 3000-й серии на базе архитектуры Zen 2 смогут работать с модулями оперативной памяти DDR4-3200 прямо из коробки, без дополнительного разгона. Об этом изначально сообщил ресурс VideoCardz, получивший информацию от одного из производител...

Найдена одна из наименее плотных экзопланет NASA, ESA and G. Bacon (STScI) Речь идет о горячем юпитере вокруг звезды WASP-174 — желто-белом карлике с массой примерно в 1,25 массы Солнца и диаметром в 1,35 солнечного (звезде около 2,2 миллиарда лет). Ранее у данной звезды заметили гигантскую планету — на расстояни...

Chrome OS начнёт блокировать USB-порты при блокировке хромбуков В операционной системе Chrome OS, по сообщениям сетевых источников, появится новая функция, призванная обеспечить защиту от атак, которые могут осуществляться через порты USB. Новый инструмент сейчас фигурирует под названием USBGuard. Он обеспечит блокировку разъёмо...

Что делать, если не запускается Epic Games Launcher Если Epic Game Launcher не запускается, дело может быть как в вашей системе, так и непосредственной в самой программе. Мы собрали возможные методы решения проблемы, чтобы вы снова могли беззаботно играть в Fortnite и другие игры сервиса.

Вино и крысы: применение ресвератрола для восстановления мышц в условиях марсианской гравитации Долгие годы фантасты со всех уголков нашей планеты на страницах своих произведений мечтали о космических путешествиях и колонизации далеких планет, первой среди которых на ум приходит Марс. Красная планета манит не только авторов научной фантастики, но и научное сообщество...

Записки IoT-провайдера. Подводные камни опроса счетчиков ЖКХ Здравствуйте, уважаемые любители Интернета Вещей. В этой статье я бы снова хотел поговорить о ЖКХ и опросе приборов учета. Периодически, очередной крупный игрок телекома рассказывает, как скоро он зайдет на этот рынок и всех подомнет под себя. Каждый раз при таких рассказах...

Шестиядерный процессор Ryzen 3000 взял верх над своим предшественником в бенчмарке Geekbench Скоро придётся разбивать свои копилки.

Патч 4.6 для Battlefield V доступен для загрузки С сегодняшнего все поклонники Battlefield V могут обновиться до свежей версии (патч для ПК занимает примерно 12 Гбайт). Апдейт 4.6 предлагает множество нововведений. В игру, например, добавили новое вооружение (Madsen MG и Fliegerfaust), а карта Al Sundan теперь доступна в ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)