Социальные сети Рунета
Четверг, 9 мая 2024

Китайская компания Intellifusion представила 14-нм ИИ-процессор. Что это за чип и для чего он нужен? В КНР, как известно, сейчас есть сложности с закупкой ИИ-чипов Nvidia и AMD. Поэтому китайским организациям, которым нужны GPU для работы с искусственным интеллектом, приходится решать проблемы собственными силами. Есть разные способы, один из них на днях представила компан...

Ноутбук Qingyun L540 от Huawei с китайским процессором: что за девайс? Компания Huawei представила пользователям новый девайс — ноутбук Qingyun L540 с 5-нанометровым процессором Kirin 9006C. Устройство продолжает серию лэптопов L410, в которых ранее использовался восьмиядерный чипсет Kirin 990. Насколько можно судить, Huawei, несмотря на санкц...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Китайские процессоры становятся все лучше: серверный чип 3C6000 от Loongson соревнуется с AMD Epyc на базе Zen 3 Поднебесная продолжает развивать собственную отрасль разработки и производства электроники. Неплохо себя чувствуют многие компании из Китая, но сейчас речь пойдет о Loongson, которая разрабатывает процессоры на основе собственной архитектуры LoongArch. Сейчас представлен се...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Китаю удается закупать литографические машины, несмотря на санкции: современных чипов в стране все больше Несмотря на весьма серьезные санкции со стороны США, Китай продолжает успешно выпускать современные чипы. Точнее, пока это удается отдельным китайским компаниям, включая Huawei. Соответственно, торговые ограничения, установленные США, работают не так эффективно, как планиро...

Китай прорывает блокаду в битве за чипы? Страна планирует удвоить производство в течение пяти лет Масштабная конкуренция между производителями микросхем усиливается из-за весьма активного наращивания производственных мощностей Китаем. Поднебесная прикладывает серьезные усилия для достижения ведущей роли в индустрии разработки и производства электроники. Потребители от т...

SSD больше не будут перегреваться? В Китае разработан новый RISC-V-контроллер, устраняющий недостатки накопителей Китайские компании, работающие в отрасли разработки и производства электроники, активно развиваются. Одна из них — Yingren Technology, её команде удалось создать новый контроллер для современных твердотельных накопителей. Вроде бы ничего важного, но нет, как раз этот компоне...

Китай превращает современные видеокарты GeForce RTX 4090 в ИИ-ускорители. Что происходит? В конце лета 2023 года мы писали о том, что Китай пользуется лазейкой с урезанными версиями ИИ-ускорителей. Дело в том, что Китай не имел возможности покупать (во всяком случае, официально) ускорители А100 и Н100. Но Nvidia ранее выпустила искусственно урезанные версии этих...

Китай ускоряет процесс импортозамещения. Проблемы есть, но давление США пока не смогло остановить КНР Согласно ряду СМИ, Китай не только не останавливает процесс замещения зарубежных технологий собственными, но и ускоряет этот процесс. Насколько можно понять, на активность китайцев сильно влияет давление США — чем сильнее американцы «закручивают гайки», тем активнее КНР и...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Эпоха 2-нм чипов: ASML отгружает EUV-литографы третьего поколения. Что это значит для индустрии Источник: st.overclockers.ru Нидерландская компания ASML продолжает развиваться, разрабатывая новые технологии для производства современных чипов. В конце 2023 года стало известно, что компания разработала литограф, способный работать с 2-нм техпроцессом. И сейчас началась...

Китай нашел свободных от санкций поставщиков оборудования для производства чипов из Южной Кореи. Перспективы отрасли Мы не раз и не два писали о влиянии санкций США на китайские компании из отрасли производства и разработки электроники. Сначала казалось, что санкции действуют, и очень хорошо — у Китая начались сложности почти сразу после введения различных мер со стороны США. Но спустя не...

Китайский процессор Loongson 3A6000: производительность оставляет желать лучшего, но перспективы — отличные Да, звучит немного странно, но дело обстоит именно таким образом. Стоит напомнить, что чип действительно китайский. В 2021 году компания Loongson Technology практически с нуля разработала архитектуру, получившую название Loongson Architecture или LoongArch. Разработчики Loo...

Нейроинтерфейсы от Neuralink: проблемы и перспективы проекта по созданию интерфейса «мозг-компьютер» О Neuralink, компании Илона Маска, которая занимается разработкой нейроинтерфейса, позволяющего при помощи мысли управлять работой компьютера, пишут не так часто. Насколько можно судить, проект постепенно развивается, есть успехи, есть и неудачи. Несколько дней назад стало и...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

В Canon разработали 5-нм литограф, который стоит дешевле, чем система от ASML. Но есть нюанс В современной отрасли разработки и производства электроники правит балом тот, у кого есть литографы. Можно иметь собственную процессорную архитектуру и продвинутые разработки, как у Huawei, но если нет контрактного производителя микросхем, ничего хорошего из этого не выйдет...

Китайская компания SMIC скоро запустит производство 5-нм чипов. На этот раз по-настоящему Примерно месяц назад мы публиковали статью «Чуда не произошло: "китайский" 5-нм процессор Kirin 9006C выпускала фабрика TSMC». В ней говорилось о том, что на самом деле чип Kirin 9006C, который установила Huawei в своём ноутбуке, произведён не в Китае, его поставила в Поднеб...

Китай не принимает платежи из России. Где заказать печатные платы в Китае в 2024 году Полгода назад я писал, как решал проблему с ушедшими JLCPCB. И вот с марта возникла новая проблема ахахах (истерический смех) —  китайские банки начали блокировать оплату от российских компаний за компоненты для сборки электроники. Оплаты не проходят даже у тех компаний...

Orange Pi OS: конкурента Rapsberry Pi OS теперь адаптируют для x86-систем и не только Несмотря на то, что операционная система Windows является самой популярной ОС для десктопов и ноутбуков, другие компании пытаются предлагать альтернативы. Насколько можно судить, как раз сейчас этим занимается компания Shenzhen Xunlong из Китая, которая разрабатывает однопл...

В Подмосковье запустили производство материнских плат, SSD и модулей оперативной памяти В подмосковном Фрязино компания «Инферит» начала производство компонентов для компьютерного и серверного оборудования на новой линии поверхностного монтажа. Новая линия поверхностного монтажа на собственном заводе компании позволяет осуществлять сборку матер...

История технологий отображения: от камеры-обскуры до OLED-дисплея Сотни лет назад методы отображения графики и текста были далеки от современных разработок. Как правило, использовались простые устройства с механическим принципом действия. Впоследствии вывод графики претерпевал изменения и эволюционировал. Механические детали, крутящиеся и ...

Производство российских чипов Baikal сталкивается с проблемами качества В процессе налаживания производства отечественных чипов Baikal компания «Байкал электроникс» столкнулась с высоким уровнем брака из-за недостатка квалификации специалистов и проблем с оборудованием.

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Новый процессор из Китая в 4 раза быстрее предшественника: SW26010 Pro с 13,8 Тфлопс Ранее в этом году в Национальном суперкомпьютерном центре в Чанше (Китай, провинция Хунань) запустили новый суперкомпьютер, который собран на базе процессора Sunway SW26010 Pro с 384 ядрами. Стоит отметить, что этот чип разработан самими китайцами. О том, что это за процесс...

РУСАЛ разработал жаропрочный алюминиевый сплав для 3D-печати Компания «РУСАЛ» завершила разработку порошкового сплава, предназначенного для производства изделий методом 3D-печати по технологии лазерного сплавления. Сплав с эксплуатационными температурами свыше 350°С может найти применение в производстве двигателей, оборудования для не...

В десятки раз слабее Nvidia H100, но зато полностью собственная разработка. Loongson представила ускоритель для ИИ LG200 Китайская компания Loongson выпускает не только одни из самых современных китайских процессоров, но и GPU. И её новая разработка призвана потягаться с ускорителями Nvidia для ИИ, правда, далеко не самыми производительными и современными.  создано DALL-E Ускоритель...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Прогресс с цветными дисплеями E Ink: Bigme представила моноблок с таким экраном. Насколько это удобно? Цветные «электронные чернила» появились не сейчас, но сначала они были весьма несовершенными. С течением времени технология совершенствуется. Одна из компаний, которая стояла у истоков и совершенствует цветные E Ink, — Bigme. Насколько можно понять, бизнес идёт неплохо, и се...

King Price — новый «российский» бренд SSD, блоков питания, корпусов для ПК и других комплектующих. Он принадлежит «Мерлиону» В условиях ухода многих западных брендов электроники с российского рынка, отечественные дистрибуторы ищут новые возможности для развития бизнеса. Одним из перспективных направлений становится выпуск компьютерных компонентов под собственными торговыми марками (СТМ). Так,...

Microsoft DirectSR вскоре появится во множестве игр Месяц назад на конференции разработчиков Game Developers Conference 2024 было представлено новое технологическое решение под названием Microsoft DirectSR. Это своеобразная попытка упростить реализацию методов масштабирования изображения, которая была разработана в сотрудниче...

У Tesla есть процессор размером с iPad, который потребляет 15 кВт мощности. Стали известны подробности нового чипа Dojo У компании Tesla есть собственный суперкомпьютер Dojo, который уникален тем, что основан на чипах собственной разработки Tesla. Но компания уже занимается процессорами Dojo нового поколения, и это решение гигантских размеров.  Фактически новый чип Dojo — это...

Корпоративное хранилище секретов StarVault — первая в России полноценная альтернатива HashiCorp Vault Vault — самое популярное в мире корпоративное хранилище секретов. С 2023 года его практически невозможно использовать в российских компаниях с сохранением лицензионной чистоты из-за новых политик лицензирования его разработчика, британской компании Hashicorp.Мы сами применяе...

Си Цзиньпин заявил, что санкции США лишь ускоряют развитие технологий в самом Китае Поднебесная старается как можно скорее перейти на отечественное оборудование и программное обеспечение

Похоже, Китай без лишнего шума представил самый мощный суперкомпьютер в мире. Tianhe Xingyi основан на китайских CPU Похоже, Китай смог построить собственный суперкомпьютер эксафлопсного класса без чипов Intel, AMD или Nvidia.  создано DALL-E Речь о системе Tianhe Xingyi, которая, похоже, изначально называлась Tianhe-3 и была запланирована к запуску ещё в 2019 году.  В осно...

Марс всё ближе: несмотря на проблемы, запуск Starship можно считать успешным Одно из достоинств SpaceX — способность компании очень быстро адаптировать свои космические аппараты к новым условиям. В процессе испытаний выявляется проблема — и тут же ракета перестраивается для того, чтобы эту проблему решить. Так произошло и в случае первого запуска све...

RISC-V шагает по планете: в Китае появилась еще одна компания по производству чипов. Что о ней известно? В том, что в КНР начнет работу новая компания, разрабатывающая RISC-V процессоры, вроде бы нет ничего удивительного. В стране очень мощная отрасль производства электроники, в ней работают тысячи и тысячи компаний. Но глава этого "новичка" - экс-руководитель "дочки" ARM в Кит...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

AMD купила компанию для работы с ИИ Ни для кого не секрет, что современный рынок высоких технологий активно двигается в сторону искусственного интеллекта, так как решения на базе этой технологии позволяют существенно упростить многие технологические процессы. Например, сейчас мало кого можно удивить системой, ...

Долой лаг: четырехфазный электрооптический модулятор Современный мир тяжело представить без современных коммуникационных технологий, позволяющих людям из разных уголков планеты, к примеру, общаться по видео связи в режиме реального времени. Для нас этот пример кажется вполне обыденным, но еще несколько десятков лет назад под...

Новый iMac очень трудно ремонтировать Недавно Apple обновила свой 24-дюймовый iMac, оснастив его первым 3-нм процессором M3. Вскоре после этого специалисты по ремонту техники из компании iFixit взялись за этот компьютер, чтобы узнать насколько он пригоден к разбору и дальнейшему ремонту. В ходе разборки стало яс...

В России запустят производство собственных подушек, ремней безопасности, рулевых колес и ЭБУ В 2025 году компания «Соллерс» планирует расширить свою деятельность, запустив производство ключевых компонентов для систем безопасности автомобилей на своем заводе в Ульяновске. Средства на этот проект в размере 1,2 миллиарда рублей были выделены из Фонда р...

Глава Nvidia Дженсен Хуанг впервые за четыре года посетил Китай и станцевал на мероприятии компании Череда новых санкций США относительно Китая привела к тому, что Nvidia сейчас фактически не может поставлять в Поднебесную никаких современных ускорителей для ИИ. Само собой, для компании это нельзя назвать хорошим вариантом развития событий, и на этом фоне глава Nvidia...

Nvidia решила сама взяться за популяризацию игровых ПК формата SFF. Компания запустила целую инициативу по этому поводу Компания Nvidia решила, что на рынке мало по-настоящему компактных и по-настоящему игровых ПК, поэтому вместе с партнёрами запустила инициативу SFF Enthusiast GeForce, в рамках которой на рынке появятся новые продукты для создания ПК класса SFF.  фото: Nvidia Речь...

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Как мы в 2 раза ускорили решение MILP-проблем за счет ML Многие задачи, с которыми мы имеем дело при цифровизации производства (неважно какого), – это задачи оптимизации: оптимизация производственного расписания, оптимизация цепочек поставок и размещения объектов, оптимизационное планирование и прочее. Многие из них сводятся к про...

Security Week 2343: новый инцидент в компании Okta На прошлой неделе стало известно о новом серьезном инциденте в компании Okta, которая предоставляет организациям ряд сервисов для аутентификации пользователей. Учетные записи Okta используют для внутренних сервисов множество компаний; подробности об инциденте сообщили две из...

Представлены китайские процессоры Montage Technology Jintide с количеством ядер вплоть до 48, внутри которых на самом деле скрываются CPU Intel Пока одни китайские компании разрабатывают собственные GPU, другие берут продукты глобальных гигантов и переделывают их в продукты для Китая. Компания Montage Technology представила линейку процессоров Jintide пятого поколения. Но это не собственная разработка Montage T...

В Китае разработали собственную материнскую плату Китайская компания Beijing Jite Intelligent Technology утверждает, что создал первую материнскую плату, полностью изготовленную в Китае с использованием китайских технологий. Материнская плата под названием GM7-2602-02 представлена в достаточно популярном форм-факторе Mini-I...

CEO Nvidia: для создания независимой электронной отрасли США понадобится около 20 лет На конференции DealBook New York Times генеральный директор Nvidia Corp. Дженсен Хуанг заявил, что США, вероятно, понадобится пара десятков лет для того, чтобы стать независимыми в поддержании собственной цепочки производства и поставок чипов. Руководитель Nvidia затронул...

Qualcomm уже тестирует процессор Snapdragon 8 Gen 4 Новый мобильный процессор Snapdragon 8 Gen 4, по информации инсайдеров, станет первым процессором от компании Qualcomm, который не будет использовать микроархитектуру процессорных ядер ARM и перейдёт на специальные ядра Oryon, которые внутри компании получили кодовое имя Pho...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Компания KleinVision продала свою технологию летающих автомобилей Китаю Китайская группа Jianxin заявляет, что хочет использовать технологии словацкой компании KleinVision для пересмотра транспортных стандартов в Китае. Поднебесная активно работает над решениями для летающего транспорта.

Китайцы разлюбили Toyota? FAW Toyota существенно сокращает производство в Китае на фоне снижения спроса и затоваривания складов Автомобильный рынок Китая – высококонкурентная среда, в которой иностранным брендам приходится несладко. Так, Mitsubishi уже обанкротилась и ушла из Китая, Skoda может оказаться следующей, так как продажи компании падают в Поднебесной уже не первый год. А теперь к...

Безопасность в Docker: от правильной настройки хоста до демона Привет, Хабр! Меня зовут Эллада, я специалист по информационной безопасности в Selectel. Помогаю клиентам обеспечивать защиту инфраструктуры и участвую в разработке новых решений компании в сфере ИБ. И сейчас я начала больше погружаться в тему разработки и изучать лучшие пр...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Amazon разработала собственную ОС вместо Android для своей экосистемы устройств. Что это за проект? На днях стало известно о том, что корпорация Amazon разработала операционную систему. Она, насколько можно судить по общедоступной информации, предназначена для установки на собственные устройства — сначала небольшой ряд моделей. Если всё пройдёт хорошо, её будут использоват...

Яндекс продаёт свою долю в производстве серверов OpenYard Яндекс и группа компаний «Ланит» выходят из совместного предприятия по производству серверов под торговой маркой OpenYard и продают свои доли группе частных инвесторов. Об этом сообщила пресс-служба Яндекса.  Предприятие сохранит за собой производство ...

Небольшая компания представила процессор с 900 тыс. ядер. Что это за чудо технологий? О компании Cerebras на Хабре писали несколько раз, чаще всего с упоминанием того, что она создала самый большой в мире процессор. И сейчас та же ситуация — она повторила свой рекорд, разработав гигант с 900 тыс. вычислительных ядер. Конечно, это чип не для обычных пользовате...

16 ядер китайского происхождения. Loongson готовится выпустить на рынок серверный процессор линейки 3C6000 Только вчера мы говорили о том, что четырёхъядерный китайский процессор Loongson 3C6000 при определённых условиях можно сравнивать с Ryzen 9 7950X и Core i9-14900K, а сегодня компания заявила, что уже готова вывести на рынок 16-ядерную модель этой же линейки.  Так...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Apple приобретает канадский ИИ-стартап DarwinAI Apple недавно приобрела компанию DarwinAI, которая разработала ИИ-технологию для визуального контроля компонентов в процессе производства

Вода и топливо из отходов: гибридный фотокатализатор Говоря об ископаемых ресурсах, люди часто упоминают негативное влияние на экологию, а также их исчерпаемость. Учитывая эти факторы, поиски альтернативных источников энергии и других важных ресурсов стали одной из центральных задач современной науки. Одним из многообещающих...

А ведь совсем недавно у iPhone были самые широкие рамки. Рамки iPhone 16 будут ещё уже благодаря технологии Border Reduction Structure Флагманские iPhone 15 Pro и 15 Pro Max уже сейчас выделяются весьма узкой рамкой вокруг экрана. У новых iPhone она может стать ещё уже.  фото: Apple Это может стать возможным благодаря технологии Border Reduction Structure (BRS). Она нацелена в первую очередь на у...

Для дома и офиса: 5 моделей мини-ПК, позволяющих решать разные задачи Производители электронных устройств продолжают выпускать все новые и новые модели. Миниатюрные ПК — вовсе не исключение. Кажется, что это разнообразие просто бесконечно. В подборке — модели, которые привлекли мое внимание по какой-либо причине. Например, производительность,...

Как хранить данные в облаке? Краткий экскурс по технологиям Компаниям нужно где-то хранить большое количество данных, но создавать собственные дата-центры — задача не из легких. На помощь приходит облачное хранилище у провайдеров. Он помогает сэкономить на собственной инфраструктуре и надежно хранить данные разных форматов. Достаточ...

Huawei и SMIC разработали технологию SAQP для производства 5-нм чипов Компании Huawei и SMIC разработали технику SAQP, которая позволяет достичь уровня 5 нм в производстве полупроводников

Никогда такого не было, и вот опять: Китай свободно получает мощные ИИ-чипы, несмотря на санкционные заслоны Как известно, многие китайские компании находятся под действием санкций США, которые, по замыслу, должны почти до нуля ограничить использование КНР критически важные для Штатов технологии. Речь идет о разработке и производстве электроники, использовании мощных чипов и т.п. ...

Apple M1 — разбор с точки зрения аппаратной безопасности Давным-давно, в далёкой-далёкой яблочной компании зародилась идея создать собственный процессор для ноутбуков. И вот, спустя годы, Apple презентовала свой десктопный процессор M1. В этой статье мы сосредоточимся на разборе безопасности свежего процессора от Apple. Компания...

Microsoft хочет избавиться от зависимости от Nvidia. Компания разрабатывает собственный сетевой адаптер для серверов Компания Microsoft, как и многие другие сейчас, сильно зависит от ускорителей Nvidia для ИИ. Но в другом направлении Microsoft собирается избавиться от аналогичной зависимости. Как сообщается, компания разрабатывает собственный сетевой адаптер, чтобы не закупать у Nvidi...

Новый программный продукт Компании «Актив» позволяет работать с бесконтактными смарт-картами на планшетах iPad Новое приложение позволяет сделать iPhone полноценным считывателем для работы с устройствами Рутокен, поддерживающими технологию NFC. С помощью Рутокен VCR 3001 можно подписать документы электронной подписью на планшетах iPad или компьютерах iMac и MacBook с процессором Appl...

Китай выдает миллиарды долларов США на освоение производства современных чипов. Поставщиков становится больше Правительство Китая продолжает программу развития отрасли разработки и производства электроники. При этом чиновники не кладут все яйца в одну корзину, а занимаются диверсификацией государственной помощи предприятиям. Сейчас стало известно, что государство выделит инвестици...

Lenovo выпустила в Китае новый графический процессор Radeon RX 6600 LE. Компания Lenovo представила новый графический процессор Radeon RX 6600 LE, разработанный собственными силами. Новинка будет использоваться в настольных ПК Lenovo GeekPro 2023 и будет конкурировать с Xbox Series по цене.

Китайские компании начали продавать гибридные видеокарты: десктопные устройства с ноутбучными чипами. Что происходит? За последние несколько месяцев Китай активизировал производство гибридных видеокарт. Как и указано в заголовке, графические адаптеры десктопные, но чип — ноутбучный. Что это за видеокарты такие и в чем их отличие от обычных? Подробности — под катом. Читать дальше →

Обратная сторона санкций: действия Китая привели к убыткам Micron, крупнейшего производителя памяти в США Привет, Хабр! Мы не раз и не два писали о том, что торговый конфликт США и Китая негативно действует на электронную промышленность последнего. Но, как оказалось, в эту игру можно играть вдвоем. На днях стало известно, что у одного из крупнейших производителей памяти в США ...

Apple не смогла создать собственный 5G-модем Некоторое время назад западные инсайдеры сообщали, что компания Apple работает над собственными чипами сотовой связи 5G, чтобы уменьшить зависимость от компании Qualcomm. Однако новая информация гласит о том, что Apple, вероятно, очень далека от достижения своих целей, поско...

Компания Ozon выпустит собственную ОС для «умных» телевизоров Компания Ozon разработала ОС для телевизоров собственной торговой марки Hartens

Новый «ИИгрок»: что мы знаем о Grok? Среди чат-ботов с генеративным искусственным интеллектом самым известным и раскрученным считается, безусловно, ChatGPT. Примечательно, что сооснователем компании OpenAI, которая разработала эту большую языковую модель, был Илон Маск, инвестировавший в продукт на начальном э...

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

Восстановленные ПК и другое оборудование по доступным ценам. Lenovo запускает новую программу «Сертифицированные восстановленные продукты» Lenovo объявила о новой программе под названием «Сертифицированные восстановленные продукты», целью которой является предоставление потребителям доступных технологий. Эта программа будет сосредоточена на продаже отремонтированных компьютеров и другого оборуд...

Нидерланды сделают все, чтобы оставить ASML в стране: миллиардные инвестиции и всесторонняя помощь Привет, Хабр! Недавно мы опубликовали статью «ASML собирается уйти из Нидерландов. Производитель литографов целится на международный уровень». В ней говорилось о том, что производитель самых современных литографов в мире планирует перенести штаб-квартиру из Нидерландов из-з...

AMD рассказала, какие компоненты заказывает в производство компании Samsung Ранее они предлагались под торговой маркой Xilinx.

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Они не помогают Huawei, а «предлагают услуги по очистке сточных вод». Власти Тайваня проверят компании, обвиняемые в нарушении санкций Власти Тайваня проведут проверку четырех местных корпораций после появления информации о том, что они помогают Huawei Technologies в формировании инфраструктуры производства чипов в Китае. При этом министр экономики Тайваня уже заявил, что никаких нарушений торговых сан...

Новый китайский аналоговый процессор в 3000 раз быстрее GPU A100 от Nvidia. Что это за чип и для чего он нужен? Именно это преимущество нового чипа указывают в статье, описывающей новое изобретение, китайские разработчики. Команда ученых из университета Цинхуа создала аналоговый фотоэлектронный чип. По мнению самих разработчиков, этот чип способен вывести отрасль машинного зрения на ...

Разработан метод 3D-печати кровеносных сосудов с помощью инновационной технологии формования льда Новая технология 3D-печати обещает совершить революцию в трансплантации органов. Этот инновационный метод, более биологически совместимый, чем предыдущие методы, решает проблемы создания функциональных искусственных органов и может сократить количество испытаний на животных.

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Гонка за нанометры: TSMC, Samsung и Intel стремятся как можно быстрее выпустить 2-нм чипы Для электронной промышленности значение имеет каждый нанометр, когда речь заходит о современных производительных чипах. Работа в направлении модернизации техпроцессов, на базе которых выпускаются эти компоненты, — передний край научно-технического прогресса. Заниматься решен...

DiHouse стал владельцем производителя детских умных часов Elari Дочерняя компания «Ланита» — diHouse — зарегистрировала новое юридическое лицо ООО «Элари айти», сообщают «Ведомости» со ссылкой на данные базы «СПАРК-Интерфакс». Основным видом деятельности компании указана разработка компьютерного программного обеспечения, а также производ...

Не время вкладываться в литий? ИИ помог найти альтернативу для производства аккумуляторов В самом начале нового года стало известно, что Microsoft и Pacific Northwest National Laboratory смогли разработать новый материал, который теоретически может снизить объём используемого при создании аккумуляторов лития. Во всяком случае батарея на базе этого соединения уже ...

Китай вложил 40 миллиардов долларов в импорт оборудования для производства чипов в 2023 году После заявлений о скорых санкциях со стороны США, китайские компании начали активно скупать всё новейшее оборудование

Эти китайские процессоры смогут потягаться хотя бы с современными Core i3? Loongson представила CPU 3B6600 и 3B7000 Компания Loongson представила новые потребительские процессоры: 3B6600 и 3B7000. Это новое поколение, которое, к кроме прочего, приносит больше ядер.  Многих подробностей пока нет, так как анонс, видимо, предварительный. Но слайды с презентации позволяют понять, ч...

Qualcomm очень сильно зависит от Samsung Недавно появилась достаточно интересная статистика относительно продаж процессоров для мобильных устройств, в рамках которой стало известно, что MediaTek продаёт наибольшее количество чипов в мире. Но изучая эти графики многие журналисты не обратили на потенциальную проблему...

Samsung Exynos 2600 получит новую графическую подсистему Если верить информации достаточно надёжного инсайдера, компания Samsung планирует полностью заменить графический процессор Xclipse, разработанный совместно с компанией AMD, на собственное графическое решение. Но, естественно, это произойдёт не сразу, потому что своё решение ...

ASML собирается уйти из Нидерландов. Производителю литографов надоел постоянный контроль со стороны государства Нидерландская компания ASML — одна из наиболее важных для отрасли разработки и производства электроники. Она, как многократно писали на Хабре, производит наиболее совершенные литографы в мире. Но сейчас у ASML есть немалые сложности — не денежные, но конъюнктурные. Дело в т...

Почти монополия: Китай ограничивает экспорт не только редкоземельных металлов, но и технологий по их переработке Китай принял решение существенно ограничить экспорт технологий, связанных с добычей, разделением и производством редкоземельных металлов. С 1 августа 2023 года КНР запретили вывоз за рубеж галлия и германия (а чуть позже и графита), а теперь последовало новое правило с запре...

В России появилась новая платформа для умных телевизоров – для телевизоров Ozon и со встроенными сервисами VK Ozon при сотрудничестве с VK разработал собственную операционную систему для умных телевизоров Hartens. Об этом рассказала пресс-служба  VK (бывшая Mail.ru Group).  Голосовой помощник Маруся, магазин приложений RuStore и другие сервисы VK, а также собственное...

Революция или косметические изменения? Новые поколения серверных процессоров Intel Источник: Intel 14 декабря компания Intel анонсировала два семейства процессоров для серверов: Intel Xeon Scalable 5-го поколения Emerald Rapids и Intel Xeon E-2400. Рассмотрим их подробнее, сравним с предшественниками и продуктами AMD. Насколько процессоры отличаются от ...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

HoloTile от Disney и проблема свободного движения в VR В январе компания Disney представила пол HoloTile, который может стать следующим шагом в развитии юзабилити VR. Разработчики описывают его как «пол, состоящий из множества клеток, который сделает все, чтобы удержать человека и предметы в центре себя». Под катом разбираемся,...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

Технология «Дятел»: новаторское решение проблемы галлюцинаций ИИ Исследователи искусственного интеллекта из Университета науки и технологий Китая (USTC) и лаборатории Tencent YouTu Lab разработали инновационную структуру, получившую название «Дятел» (Woodpecker). Она предназначена для коррекции «галлюцинаций» в мультимодальных языковых мо...

MyDrivers: Xiaomi создает замену Android Планы компании Xiaomi по разработке собственной операционной системы вызвали вопросы о ее взаимоотношениях с Android. Однако новые подробности позволяют предположить, что самостоятельно разработанная Xiaomi система MIOS будет напоминать HarmonyOS компании Huawei, пишет MyDri...

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

Российский смартфон «Р-Фон» выйдет в продажу в следующем году Как мы уже писали, компания «Рутек» официально анонсировала российский смартфон «Р-Фон» на собственной операционной системе «Роса Мобайл». По заявлению производителя, новое мобильное устройство полностью закрывает потребности бизнеса,...

Китай запустил крупный завод с уникальной технологией производства этанола China Daily: компания Shaanxi Yanchang Petroleum Group в сентябре запустит на северо-западе Китая самое масштабное в мире производство этанола из каменного угля.

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

Нужно больше нейросетей: корпорация Google открыла общий доступ к старшей модели. Как это работает? Сейчас крупные IT-компании спешат разработать собственный продукт на базе ИИ-моделей, и многим это удается. Например, корпорация Google не так давно представила сразу несколько моделей, а сейчас открыла доступ к наиболее мощной из них. Кроме того, компания анонсировала и при...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

AMD допустила серьёзную ошибку, но теперь готова её исправить. Функция Anti-Lag+ вскоре вернётся Компания AMD готовится вернуть функцию Anti-Lag+, которую отключила несколько месяцев назад из-за проблем с рядом игр.  Пока нет никаких подробностей о сроках, но о возвращении написал топ-менеджер компании Фрэнк Азор (Frank Azor), отвечающий в AMD за игровое напр...

Книга «Продвинутые алгоритмы и структуры данных» Привет, Хаброжители! Познакомьтесь с самыми необходимыми алгоритмами решения сложных задач программирования в области анализа данных, машинного обучения и графов. Вы постоянно сталкиваетесь с бесчисленными проблемами программирования, которые поначалу кажутся запутанными...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Российские ученые создали метод хранения искусственных органов Ученые Российского университета дружбы народов (РУДН) разработали метод криоконсервации искусственных органов, который позволяет сохранять их долгое время при низких температурах. Эта технология открывает новые перспективы для сохранения искусственных тканей и органов.

Японцы разработали способ продления срока службы аккумуляторов в 10 раз. Что это за технология? Японская компания Koike разработала монокристалл, который можно использовать в качестве электролита в батареях. Его сопротивление примерно на 90% меньше, чем у материалов на основе поликристаллов, используемыми в стандартных твердотельных аккумуляторах. По словам авторов ид...

Российские физики создали новую технологию для получения нанопленок Российские физики из МФТИ разработали новый метод получения нанопленок из дисульфида молибдена, что открывает перспективы для создания эффективных солнечных батарей и других устройств. Используя инновационную технологию, ученые смогли допировать материал рением, что значител...

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

В сети появились данные про торговый знак Grand Theft Auto VI Вчера в интернете появились новости о том, что на сайте Австралийского государственного регулятора была зарегистрирована торговая марка Grand Theft Auto VI. Многие геймеры предположили, что компания готовится к анонсу долгожданной видеоигры, так как иначе нет смысла регистри...

Microsoft хочет отключить аутентификацию NTLM в Windows 11 Различные версии Windows используют Kerberos в качестве основного протокола аутентификации уже более 20 лет. Однако в определенных обстоятельствах ОС приходится использовать другой метод — NTLM (NT LAN Manager). Сегодня Microsoft объявила, что расширяет использование Kerbero...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Как использовать html-элемент <dialog>? Привет, Хабр! Меня зовут Александр Григоренко, я фронтенд-разработчик. В основном, занимаюсь разработкой приложений на React, но также постоянно экспериментирую с различными технологиями.В своей работе я часто создаю собственные или использую уже готовые UI-компоненты. Пробл...

Пока Qualcomm отказывается от Snapdragon Satellite, Honor уже разработала собственную технологию для спутниковой связи в смартфонах Компания Honor заявила о том, что разработала собственное решение для спутниковой связи в смартфонах.  создано DALL-E Глава компании рассказал, что ей удалось решить три основные технические проблемы в рамках своего проекта. Это размеры антенны, длительность звонк...

Huawei разработала «магнитоэлектрический» диск. Что это за накопитель и как он работает? За последние пару лет многие компании и научные организации представили разные виды новых или модифицированных старых носителей информации. Это и кварцевые стекла, и очень емкие ленточные накопители, и даже носители на базе ДНК. Тем не менее, регулярно появляются другие раз...

Современные процессоры Intel запретили продавать в Германии. Компания проиграла патентный спор, касавшийся некоторых линеек CPU Компания Intel проиграла патентный спор в Германии. Из-за этого теперь там запрещены продажи некоторых процессоров компании.  DALL-E Региональный суд в Дюссельдорфе постановил, что Intel нарушила патент компании R2 Semiconductor, который касается технологии регули...

Qualcomm создаёт мощный чип для шлемов дополненной реальности На текущий момент шлем дополненной реальности Apple Vision Pro оборудован сразу двумя процессорами M2 и R1, которые были произведены на 4-нм процессе компании TSMC и обладают достаточно приличной производительностью. Но сегодня появились новости о том, что компания Qualcomm ...

Производителей ARM-процессоров становится больше: теперь и AMD с Nvidia. А вот у RISC-V проблемы ARM-архитектура год от года становится всё популярнее. Сейчас уже не только Apple, но и другие крупные компании используют её для разработки современных чипов для ноутбуков и ПК. На днях стало известно о том, что AMD и Nvidia независимо друг от друга занялись разработкой име...

«Самокат» учредил юрлицо для производства готовой еды Компания продаёт такую под собственной торговой маркой, но производят её партнёры.

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

[Перевод] Взлёт TSMC Семь-восемь лет назад TSMC производила процессоры, которые отставали от аналогичных процессоров Intel на несколько поколений. Пятнадцать лет назад выпускала дешёвые чипы на заказ, которые не ставили рекордов производительности. А тридцать лет назад едва появилась на свет. &n...

Современное Торговое Оборудование: Оптимизация Процессов с Aisino A90 и Aisino A99 В современном бизнесе эффективное торговое оборудование играет ключевую роль в обеспечении гладкой и удобной работы предприятий. Среди инновационных решений, выделяются смарт-терминал Aisino A90 и Android POS Aisino A99, представляющие собой передовые технологии в области об...

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

Canon представила коммерческую установку, позволяющую «печатать» 5-нанометровые чипы без фотолитографии Canon представила установку под обозначением FPA-1200NZ2C, позволяющую производить 5-нанометровые чипы. Это первое коммерческое решение такого рода, но будут и другие: Canon в будущем доработает систему так, чтобы с ее помощью можно было производить 2-нанометровые чипы....

Минпромторг может запретить госкомпаниям закупать оборудование у иностранных производителей Консорциум «Вычислительная техника» («Аквариус», Yadro, Depo и др.) обсуждает с Минпромторгом РФ возможность изменения правил постановления правительства №878 «О мерах стимулирования производства радиоэлектронной продукции на территории РФ». Цель консорциума заключается в то...

США разрешат Samsung поставлять в Китай оборудование для производства чипов Министерство торговли США продлит разрешение на ввоз в Китай оборудования для производства чипов памяти компаниями Samsung Electronics и SK Hynix. Этим вендорам не понадобится получать какие-либо разрешительные документы.

Конкурента для самых мощных ускорителей Nvidia, но не от AMD или Intel. Microsoft готовит собственный чип под названием Athena Похоже, у компании Microsoft большие планы на собственные чипы в разных сегментах. Ранее мы уже слышали, что компания хочет создать собственные платформы для своих ноутбуков Surface, теперь же сообщается, что уже в ноябре Microsoft представит собственные чипы для работы...

Кейс: использование 3D-Принтера Volgobot A4 PRO компанией 3DREX Volgobot продолжает активно сотрудничать с различными организациями и предприятиями. Мы работаем не только с крупными, но и c молодыми развивающимися компаниями. Сегодня хотим рассказать об одном из таких клиентов – компания 3DREX. Познакомимся с деятельностью организации.К...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

«Москвич» выпустит собственный электромобиль Директор по производству автозавода «Москвич» Олег Масляков подтвердил, что компания активно работает над производством собственного электромобиля, целью остается представить его к 2025 году. Он подчеркнул, что ключевые компоненты, включая электродвигатель и тяговую батарею,...

Настоящая дойная корова AMD. Компания готовит новые-старые процессоры в виде линейки Ryzen 5000XT Компания AMD не собирается забывать о линейке процессоров Ryzen 5000, несмотря на то что в этом году ожидаются Ryzen 8000 (или Ryzen 9000). В Китае компания анонсировала скорый запуск CPU Ryzen 5000XT.  Никаких подробностей компания не раскрыла и не указала конкре...

Компания ASML начала поставки ключевых компонентов новых микрочиповых машин для Intel Оборудование нового поколения обойдётся компании в 250 миллионов евро

Сможет ли високосная минута решить проблему синхронизации часов? На протяжении всей истории мир полагался на астрономическое время. Восходит Солнце — наступает день, заходит — наступает ночь. День и ночь разделяли на часы, часы на минуты, минуты на секунды — и этого было достаточно. Были небольшие отклонения, вызванные замедлением враще...

Стартовало производство отечественных ноутбуков «Аквариус» с высоким разрешением экрана Компания «Аквариус» сообщила о запуске производства улучшенной версии отечественных ноутбуков с высоким разрешением экрана. Ноутбук Aquarius Cmp NS616 разработан в собственном R&D-центре компании, системная плата изготовлена на производстве в Шуе, а сбор...

Слух: Microsoft представит свой ИИ-чип на конференции Ignite 2023 Microsoft может представить первый собственный чип для искусственного интеллекта на грядущей конференции Ignite 2023, которая пройдёт с 14 по 17 ноября в Сиэтле. Об этом сообщает издание The Information. Отметим, что в расписании действительно присутствует сессия, посвящённ...

В России представили первый сервер отечественного производства на базе процессоров Intel Xeon нового поколения Российская компания разработчик и производитель IT-оборудования Delta Computers представила Delta Serval, первый в России сервер на базе процессоров Intel Xeon Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids. Как подчеркнули в пресс-службе, он по...

Ученые создали наночастицы для точной доставки антибиотиков внутрь бактерий Биохимики из Китая разработали новый метод лечения инфекций, используя жировые наночастицы с гибридным покрытием. Этот метод позволяет наночастицам избирательно проникать внутрь бактерий и доставлять в них молекулы антибиотиков. Ученые успешно проверили работу этих част...

Китайская компания CXMT пока не умеет делать память типа HBM, но уже закупает оборудование для её выпуска Пока не ввели новые санкции.

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Электронная отрасль в Китае развивается, но есть и проблемы: важные компании банкротятся. Остальные продолжают работать На днях стало известно о том, что в Китае уходит с рынка один из крупнейших разработчиков чипов. За годы своей работы компания получила сотни патентов и стала одним из стимулов развития отрасли. Речь идёт об Huaxia Chip, у которой возникли непреодолимые сложности, приведшие ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Security Week 2413: аппаратная уязвимость в процессорах Apple Большой новостью прошлой недели стало объявление о научной работе исследователей из ряда университетов США, демонстрирующей аппаратную уязвимость GoFetch в процессорах Apple M1 и M2 (сайт проекта, сама научная работа, подробное описание в статье издания Ars Technica). Уязвим...

Дилерам Hyundai предлагают самостоятельно решать потенциальные проблемы новых российских Solaris Интересный факт о взаимоотношениях компании «АГР» и дилеров Hyundai в отношении продаж машин бренда Solaris озвучил Сергей Цыганов, известный автоэксперт и ведущий телеграм-канала «Русский автомобиль». АГР предлагает дилерам взять на себя исполне...

Жесткие диски не уступят долю рынка SSD. Перспективы отрасли HDD и ее ближайшее будущее Относительно недавно мы опубликовали статью «SSD побеждают и выигрывают у HDD: пользовательские накопители дешевеют, а корпоративные — еще и увеличивают объем». В ней говорилось о том, что объемы продаж HDD постоянно снижаются. Причин тому несколько, включая падение спроса...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

Специальное термопокрытие Adata позволяет заметно снизить температуру быстрой памяти DDR5 Компания Adata придумала, как дополнительно охладить современную довольно горячую память DDR5. Производитель создал некое термопокрытие, которое позволяет заметно снизить температуру. Технических подробностей нет, но, судя по всему, речь о каком-то веществе, которым по...

AMD создала слишком мощный чип для Китая. Так посчитали власти США, запретив поставки Advanced Micro Devices (AMD) не получила одобрение правительства США, стараясь предложить новый чип, предназначенный для китайского рынка, о чем сообщает Bloomberg. AMD попыталась получить добро от Министерства торговли США на продажу процессора с технологиями искусстве...

США никак не успокоятся. Власти ограничили продажи чипов Nvidia, произведенных специально для Китая, с учётом предыдущих ограничений Акции Nvidia пережили худшее падение акций за более чем два месяца после того, как администрация президента США Джо Байдена ограничили продажи компанией процессоров, разработанных специально для китайского рынка. Компания Nvidia предупредила, что новые правила могут зат...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

Intel выиграла в суде и не будет платить 2 млрд долларов Компания Intel, ведущий производитель процессоров в мире, добилась значительной победы в суде — сегодня суд апелляционной инстанции отменил штраф в размере 2,18 миллиарда долларов, наложенный на неё в 2021 году. Этот штраф был связан с основными компонентами систем управлени...

Использование 3D печати в разных отраслях 3D оборудование постепенно становится все более доступным и завоевывает популярность не только у любителей, но и в производственной среде. 3D печать используется для производства продуктов в разных отраслях - здравоохранении, моде, автомобильной и строительной промышленности...

Total Z: секреты успешного развития производства 3D принтеров в России! Интервью 3Dtool Всем привет, Друзья! С Вами компания 3DTool!В этом видео мы находимся в гостях у производителя промышленных 3D принтеров из России - компании TOTAL Z. Мало кто знает, что огромное производство началось с проверки гипотезы, в небольшой гаражной мастерской. В интервью, Генерал...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Импортозамещение по-американски: США начинают выделять серьезные средства полупроводниковым компаниям внутри страны В 2022 году Штаты начали реализацию программы по развитию индустрии производства чипов внутри страны. Речь идет о законе CHIPS Act. Согласно ему, компаниям, которые развертывают производства внутри государства, выделяются средства в общем размере $52,7 млрд. Речь, конечно...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Китай массово скупает микросхемы на фоне санкций США Смелый шаг, направленный на противодействие торговым ограничениям США на поставку современных полупроводников, - Китай начинает активно закупать микросхемы, вызывая резкий рост доходов ведущих мировых поставщиков.

Пишем сложный Page object для playwright тестов вместе с Dorama Всем привет! Сегодня расскажу о том, как удобно организовать Page Object для большого проекта с использованием Playwright и библиотеки Dorama. Большинство современных веб-проектов имеют сложный интерфейс с переиспользуемыми компонентами. Причем компоненты могут переиспользов...

Китайская электроника: в КНР постепенно переходят на собственное ПО и чипы. Что происходит? КНР уже давно реализует программу развития отрасли разработки и производства электроники в стране. Причём достаточно успешно — настолько, что сейчас в ряде государственных учреждений планируется переход с чипов AMD и Intel на китайские процессоры. Кроме того, собираются в Ки...

Counter-Strike 2 не будут выпускать для macOS Сегодня появилась официальная информация от компании Valve, в которой указано, что игра Counter-Strike 2 не будет выпущена для операционной системы MacOS. Изначально многие решили, что компания не хочет выпускать новый шутер для ноутбуков и компьютеров компании Apple по той ...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Представлены PHOLED-экраны. Что в них особенного? Американская компания Universal Display подготовилась к производству компонентов на основе технологии PHOLED.

Atari 400 возвращается: разработан Atari 400 Mini, инкарнация старого устройства. Что это за девайс? Совсем недавно английская компания Retro Games, Ltd. заявила о скором выпуске новой версии Atari 400 с современным «железом». Его название — THE400 Mini, в памяти будет 25 старых игр. Для вывода на экран предусмотрен HDMI-порт, есть USB-коннекторы для подключения контроллеро...

Нидерланды начали мешать ASML поставлять оборудование в Китай Нидерландская компания ASML, крупнейший производитель литографического оборудования, необходимого в том числе для производства интегральных схем, заявила о приостановке экспорта части оборудования в Китай. Это связано с санкциями США.

Huawei спровоцировала фондовый бум в Китае: после выхода Mate 60 Pro капитализация поставщиков Huawei взлетела на $34 млрд Выход смартфона Huawei Mate 60 Pro привлек большое количество покупателей в Китае. Это вызвало рост акций различных компаний, в результате которого совокупная стоимость 32 поставщиков Huawei, отслеживаемых Bloomberg, увеличилась примерно на 34 миллиарда долларов. «...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

По собственному ядерному реактору для каждого ЦОД с ИИ. Правительство США обсуждает с IT-гигантами подобные идеи Ускорители для ИИ потребляют весьма немало энергии, а современные суперкомпьютеры оснащены тысячами таких адаптеров. Это дополнительная нагрузка на системы энергообеспечения, и в США считают, что вопрос можно решить посредством ядерной энергии.  создано DALL-E Адм...

Honor готовит ноутбук на необычной оперативной памяти Похоже, что небинарная память LPDDR5X уже официально эксплуатируется на рынке, поскольку компания Honor представила свой ноутбук на процессорах Intel Core Ultra с 24 ГБ оперативной памяти. Дело в том, что обычно пользователи получают бинарную память на 8, 16 или 32 ГБ. Но не...

У КамАЗа появится собственный «автомат» Как сообщает Quto.ru со ссылкой на «Авторевю», КамАЗ работает над собственной автоматизированной трансмиссией для грузовиков. Раньше такие коробки передач закупали у немецкой ZF, но эта компания ушла из России, а бывшее совместное предприятие «ZF КАМА&...

Сооснователь Alibaba считает, что китайские разработчики в сфере ИИ отстают от США на пару лет Но в долгосрочной перспективе китайские компании смогут создать собственные ускорители вычислений.

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Электромобили смогут проезжать более 1000 км от одного заряда благодаря новой технологии В Корее разработали батареи, который позволят электромобилям проезжать более 1000 км В последнее время электромобили приобрели невероятную популярность, так как лишены ряда недостатков, характерных для машин с двигателем внутреннего сгорания. Но в то же время они сами имеют ...

АТОЛ представляет новые кассы самообслуживания для магазинов ИТ-компания АТОЛ разработала собственную линейку касс самообслуживания для торговой точки любого формата. В предложение вошли 3 новых устройства: КСО 3210, КСО 4210 и КСО 4271.

Кажется, дождь начинается: похоже, искусственный интеллект превосходит традиционные методы прогнозирования погоды Новая компьютерная ИИ-модель GraphCast для предсказания погоды, созданная Google, во много раз превзошла традиционные способы, которые десятилетиями использовались по всему миру и привлекали многомиллионные инвестиции. Европейская модель прогнозирования погоды считалась «зол...

Это как будто бы Nvidia, AMD и Intel объединили DLSS, FSR и XeSS. Microsoft рассказала, что такое DirectSR, который будет интегрирован в Windows 11 Компания Microsoft рассказала, что такое DirectSR, о котором мы узнали буквально на днях.   Оказалось, что это не какая-то новая технология апскейла от самой Microsoft, а нечто даже более интересное.   Microsoft DirectSR представляет собой API, который позвол...

Китай ввёл запрет на использование процессоров Intel и AMD в государственных учреждениях Китайские органы должны будут закупать оборудование у отечественных технологических гигантов

Apple лишилась своего звания самой дорогой компании в мире «Обскакать» Apple смогла компания Microsoft, акции которой в ходе вчерашних торгов ненадолго выросли в цене на 2%, увеличив рыночную стоимость техногиганта до $2,903 триллиона

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Что Amazon стоит спутниковый интернет построить: Project Kuiper. Текущее состояние и перспективы Project Kuiper — альтернатива глобальной системе спутниковой связи Starlink. О нём часто писали раньше, но потом СМИ стали упоминать этот проект от Amazon всё реже. Тем не менее он не закрыт, а развивается, причём достаточно активно. Вероятно, в ближайшем будущем потенциальн...

Архитектура AMD RDNA 3.5 придёт, чтобы остаться надолго. Компания будет использовать её минимум до 2027 года Уже в ближайшие месяцы компания AMD представит первые мобильные процессоры с iGPU на основе обновлённой архитектуры RDNA 3.5. Согласно свежим данным, эта архитектура будет использоваться компанией ещё несколько лет.  Инсайдер Golden Pig Upgrade утверждает, что RDN...

General Motors увела у Tesla «гигалитейного» партнера Корпорация General Motors выкупила мичиганскую компанию Tooling & Equipment International (TEI), сыгравшую ключевую роль в совершенствовании методов крупноформатного литья под давлением, применяемых компанией Tesla. TEI полагается на аддитивное производство литейной оснастки...

Теперь в Windows 11 это запрещено. Microsoft решила начать войну с приложениями, позволяющими настраивать внешний вид ОС Компания Microsoft решила начать бороться с приложениями, которые позволяют настраивать внешний вид Windows в более широких пределах, чем позволяет сама ОС.  После обновления Windows теперь нельзя запускать такие приложения. Более того, система блокирует обновлени...

Ученые создали новую технологию выращивания кожи для трансплантаций Ученые из Университета Суррея (Великобритания) разработали новый метод электрофибризации губок, который позволил напрямую создавать трехмерные структуры, на которых можно выращивать трансплантаты кожи из собственной кожи пациента.

Samsung и SK Hynix отказались от продажи старого литографического оборудования Южнокорейские компании Samsung Electronics и SK Hynix отказались от любых продаж старого литографического оборудования, используемого для производства чипов. На это повлияли торговые санкции США.

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Смартфон от компании Polestar готов к запуску в Китае Долгожданный смартфон Polestar, о котором на самом деле многие никогда в жизни не слышали, совсем скоро отправится в полноценный релиз. Устройство будет представлено 23 апреля в Китае — производитель заявил, что локальный рынок Поднебесной является его основным рынком сбыта,...

Нидерланды готовы отказывать Китаю в обслуживании оборудования ASML Ранее такого шага от нидерландской компании требовали Соединённые Штаты Америки, чтобы изолировать Китай от передовых технологий

Технологии продления жизни: как развивается 3D-печать органов Данной публикацией мы начинаем серию материалов, посвященных технологиям продления жизни. В этой статье речь пойдет о регенеративной медицине. Это относительно новое направление в сфере здравоохранения. Она позволяет восстанавливать и заменять поврежденные участники живых тк...

Дайджест научпоп-новостей за неделю, о которых мы ничего не писали • Луна оказалась старше, чем считалось ранее• Лазеры с искусственным интеллектом смогут уводить космический мусор с траектории столкновения Исследователи разработали метод сканирования всего тела, демонстрирующий реакцию иммунной системы организма на вирусную инфекцию• Лазер...

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

«В каждой игре должен быть свой CJ»: история инструментов для создания модов В прошлой статье мы рассказали, как появились первые моды, и даже проследили взаимосвязь с современными играми на примере серии ARMA и DayZ Standalone. На этот раз погрузимся в тему глубже: обсудим виды модификаций и историю инструментов, с помощью которых их можно создава...

У электронной промышленности Китая проблемы: большое количество компаний закрывается каждый день Несмотря на то, что Китай на данный момент продолжает развивать отрасль разработки и производства электроники, у страны есть и проблемы. Например, буквально ежедневно закрываются относительно небольшие компании, которые имеют отношение к индустрии чипов. Причин несколько, о ...

Китай наносит ответный удар США, который ощутят Intel и AMD: операторы связи должны полностью отказаться от чипов иностранного производства к 2027 году Китайские операторы связи должны отказаться от использования чипов иностранного производства в сетевом оборудовании к 2027 году, согласно новому требованию властей. Как сообщает сообщает газета The Wall Street Journal (WSJ) со ссылкой на собственные источники, Министерс...

В iPhone остаётся всё меньше сторонних компонентов. В смартфонах iPhone 17 Pro может появиться чип Wi-Fi собственной разработки Apple Apple пока не удаётся разработать собственный модем сотовой связи для iPhone, но уже через полтора года компания может выпустить свой первый смартфон с адаптером Wi-Fi собственной разработки.  создано DALL-E Аналитик Haitong International Securities Джефф Пу (Jeff...

Тестирование сборки: Supermicro X13SAE-F Intel Core i9-14900KF 6.0 GHz В этой статье мы поделимся результатами тестирования компьютерной сборки на базе материнской платы Supermicro X13SAE-F и нового процессора Intel Core i9-14900KF с возможностью разгона до 6.0 GHz.HOSTKEY — хостинговая компания, поэтому нам важно своевременно обновлять оборудо...

Остаться в живых (keepalive) feat. HTTP/2, Go & gRPC-Go Привет, Хабр!) Меня зовут Ильяс. В этой статье мы разберём известную идею — keepalive в межсервисном взаимодействии, которая спасла уже не одну компанию в трудное время :). Но чтобы добавить интереса, мы разберём, какие проблемы в keepalive принесли современные технологии (в...

Хорошо, а могло быть ещё лучше: TSMC продолжает развиваться, но в США у компании проблемы с заводом. Что случилось? TSMC — крупнейший контрактный производитель чипов в мире. От этой единственной корпорации может зависеть благополучие глобальной отрасли производства и разработки электроники. Но и у такого гиганта бывают проблемы — например, сейчас стало известно о задержке строительства за...

Спекулятивная атака GhostRace угрожает процессорам Intel, AMD, Arm и IBM Группа исследователей разработала новую side-channel атаку GhostRace, позволяющую спровоцировать утечку данных на современных процессорах. Проблема затрагивает не только процессоры Intel, AMD, ARM и IBM, поддерживающие спекулятивное выполнение, но и популярное ПО.

Госсекретарь США прокомментировал запуск ноутбука Huawei на новейших процессорах Intel Core Ultra. Блинкен заявил, что США не пытаются сдерживать развитие Китая Недавно Huawei представила свой первый ноутбук на процессоре Intel Core Ultra, что не понравилось законодателям США. Теперь относительно этого вопроса высказался лично госсекретарь США Энтони Блинкен (Antony Blinken).  фото: Huawei Что интересно, он фактически выс...

[Перевод] Становится ли ПО хуже? Недавно я наткнулся на пост Никиты Прокопова Software disenchantment. Он заставил меня вспомнить пост Мацея Цегловски The Website Obesity Crisis и множество других статей подобного типа. Среди людей, пишущих о разработке ПО, возникает всё более широкий консенсус о том, что ...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Intel работает над собственной реализацией технологии генерации кадров для повышения fps в играх Специалисты компании рассекретили некоторые подробности своего нового продукта.

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Процессор и ДНК: микрофлюидный чип выполняет математические вычисления и сохраняет данные в молекулах Дезоксирибонуклеиновая кислота (ДНК) — то, благодаря чему из поколения в поколение самых разных живых существ передаётся наследственная информация, на основе которой «строятся» новые и новые организмы. Оказывается, ДНК можно использовать и в IT. Попытки предпринимаются уже д...

Samsung Galaxy A55 разочаровал своей производительностью На прошлой неделе в сети появились первые результаты теста смартфона Galaxy A55 в Geekbench 6, но это были тесты, запущенные не на самом устройстве Galaxy A55, а на некой тестовой инженерной платформе. Но теперь ситуация изменилась, так как прототип Galaxy A55 тоже протестир...

Цветы в технологиях: инновационные способы использования цветов в производстве и инжиниринге Цветы, помимо своего эстетического значения, находят применение в различных областях, включая технологии и инжиниринг. Инновационные способы использования цветов в производстве и инжиниринге открывают перед нами новые перспективы и возможности. Они помогают не только создава...

Галлий, германий, а теперь и графит: Китай понемногу ограничивает экспорт ценных ресурсов. Зачем это КНР? В конце лета Китай практически остановил экспорт таких необходимых для различных отраслей металлов, как галлий и германий. Они не являются очень уж редкими, месторождения металлов есть и в других странах. Но КНР за прошедшие пару десятилетий смогла замкнуть на себе логистик...

Арктический НОЦ разработал стандарт аддитивных технологий для судостроительной отрасли Новый ГОСТ охватывает принципы сертификации в производстве судовых деталей методами 3D-печати. Согласно предлагаемому регламенту, утверждаться будет та или иная разработанная аддитивная технология для судостроения, а не отдельные материалы — это поможет ускорить внедрение.

Samsung хочет создать датчик изображения с собственным искусственным интеллектом Компания Samsung, как сообщается, предположительно, работает над новым датчиком изображения с интегрированным искусственным интеллектом.   Для этого датчик нужно оснастить собственным микропроцессором и собственной памятью, но такие решения мы уже видели у Sony. &...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

HUAWEI представила новый 5-нм чип Kirin 9006C Компания стремительно набирает обороты в производстве собственных процессоров для мобильных устройств.

Microsoft собирается запретить «неавторизованные» аксессуары для Xbox: благие намерения или протекционизм? Совсем недавно мы писали о том, что «право на ремонт» шагает по миру, а многие корпорации поддерживают эту концепцию. Она даёт возможность покупателям электронных и бытовых устройств полностью владеть своими девайсами, а также ремонтировать их не по цене крыла от «Боинга». К...

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

В Китае представили собственную видеокарту уровня GTX 1050 Если вы следите за новостями, связанными с рынком видеокарт, то вы могли несколько раз слышать о китайских производителях видеокарт, которые активно работают над собственными решениями. Некоторые крупные компании из Китая действительно стараются выпустить модели исключительн...

Huawei продвигает собственную ОС и планирует дистанцироваться от Android, Windows и других операционных систем На Хабре не раз и не два писали про Harmony OS, китайскую операционную систему, которая изначально базировалась на Андроиде, но потом стала постепенно удаляться от своих корней. Китайская компания Huawei, разработавшая Harmony OS, планирует продвигать свою операционную систе...

[Перевод] Разметка данных при помощи GPT-4 Разметка данных — критически важный компонент проектов машинного обучения. К ней применима старая поговорка «мусор на входе — мусор на выходе». В процессе разметки создаются аннотированные датасеты для обучения и проверки. Однако этот процесс может быть длительным и дорогос...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Logitech анонсировала беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED с технологией KEYCONTROL Компания Logitech представила новую беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED, разработанную в сотрудничестве с профессиональными киберспортсменами. Уникальная технология KEYCONTROL позволяет настраивать тактильный отклик клавиш, а сверхбыстрая беспроводная связь о...

Отечественная видеосвязь в отечественном VDI. Что может быть хуже? Сага о Termidesk, FreeIPA, Брест и TrueConf. 1/2 “Я отечественный … вы отечественные, компания отечественная…” © Отечественный Никита.Компаниям приходится тратить немалую сумму, чтобы поддерживать парк оборудования в офисе. Цены на ноутбучную конфигурацию с 4 CPU, 8 Gb RAM и 256 Gb SSD стартуют от 27 тыс. рублей. Для небол...

Китай все активнее развивает индустрию производства чипов. И не всегда явно Относительно недавно мы публиковали статью «Huawei продолжает развиваться, только тайно». В ней говорилось о том, что Huawei сейчас строит новые предприятия по производству полупроводниковых элементов и систем на территории Китая. Причем делает это через посредников, скрыв...

BMW и GM инвестировали в технологию 3D-печати с целью повышения эффективности производства Недавно GM объявила о приобретении компании TEI, одного из основных участников разработки технологии гигалитья, используемой Tesla, благодаря которой крупные структурные компоненты могут быть отлиты одним куском, а не собраны из сотен более мелких. Примерно в то же время ком...

Китайцы разработали «жидкую» оперативную память FlexRAM на основе галлия. Как это работает? Сразу стоит сказать, что проект далёк от коммерческого использования, пока это лишь задел на будущее. Но эксперты считают, что перспективы у него есть, и неплохие. О том, что из себя представляет технология и на базе чего работает, расскажем под катом. Читать далее

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

AMD поможет создать многокристальные чипы для американских военных. Компания заключила контракт с Raytheon Компания Raytheon, которая является главным оборонным подрядчиком США, заключила контракт с AMD на создание многочиповой упаковки.  фото: AMD Контракт на сумму в 20 млн долларов подразумевает разработку многочиповой упаковки нового поколения для использования в на...

Алмазные батареи из ядерных отходов — смогут ли они заменить литий-ионные аккумуляторы Ученые разработали технологию создания батарей из ядерных отходов В настоящее время сразу две компании, Arkenlight и NDB, работают над технологией, позволяющей производить алмазы из ядерных отходов и впоследствии их использовать для создания батарей. Впервые об этих технол...

Это Vivo X100 Pro во всей красе. Новинка уже стала самой популярной среди всех Android-смартфонов на SoC Dimensity в Китае Компания Vivo опубликовала официальные изображения флагманского смартфона Vivo X100 Pro в четырех цветах. Кроме того, Vivo X100 и Vivo X100 Pro уже стали самыми популярными смартфонами на базе однокристальной системы Dimensity, согласно данным по предвари...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Процессоры стали слишком многоядерными. 64-битная основная сборка ядра Linux Arm по умолчанию не справляется с парой 192-ядерных CPU Ampere Пока современные x86-совместимые процессоры благодаря AMD добрались до отметки в 128 ядер на один CPU, процессоры на архитектуре Arm уже давно перешагнули эту отметку, и это стало проблемой. Как оказалось, операционная система Linux к такому пока не готова.  фото:...

Представлен уникальный ПК с экраном на электронных чернилах Последнее время на рынке компьютеров достаточно редко встречаются системы в формате «всё в одном», потому что чаще всего пользователи хотят иметь возможность как-то кастомизировать свой компьютер, либо же просто иметь доступ к его компонентам для очистки от пыли и прочих ман...

В Москве запустили серийное производство материнских плат. Они изначально созданы под отечественные процессоры По сообщению руководителя московского Департамента инвестиционной и промышленной политики Владислава Овчинского, компания — резидент особой экономической зоны (ОЭЗ) «Технополис Москва» разработала и запустила в производство материнскую плату с поддержк...

Huawei протестировала собственный аналог Starlink Китайская компания Huawei заявила, что успешно протестировала спутниковый интернет, аналогичный системе Starlink компании SpaceX. Подробностями поделился главный научный сотрудник лаборатории беспроводных технологий Huawei 6G на мероприятии, посвящённом развитию аэрокос...

Компания «Лазерные системы» займется 3D-печатью деталей двигателей и газовых турбин Санкт-Петербургский разработчик и производитель промышленных 3D-принтеров «Лазерные системы» откроет центр аддитивных технологий на базе собственного производства на площадке «Нойдорф» особой экономической зоны в Стрельне. Предприятие планирует наладить аддитивное производст...

Законодателям США не понравилось, что Huawei выпустила новейший ноутбук MateBook X Pro на основе процессоров Intel Компания Huawei на днях представила новейших ноутбук MateBook X Pro на основе процессоров Intel Core Ultra. И это не понравилось ряду американских чиновников.  фото: Huawei Как сообщается, законодатели-республиканцы в пятницу раскритиковали администрацию президент...

Акции от 3Dtool в Октябре 2023! По традиции представляем вам АКЦИИ МЕСЯЦА! Минус 10% при покупке 3D принтера Snapmaker J1S IDEX по промокоду SL-MQLZH-T3MH15JТолько в октябре 2023!Современное производство требует современных инструментов, и мы готовы предоставить вам доступ к лучшему 3D оборудованию по неве...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Huawei стремится разработать собственную память HBM на фоне санкций США Компания Huawei активизировала усилия по разработке собственной памяти с высокой пропускной способностью (HBM) в Китае

Новые горизонты производства электроники и солнечных батарей: оптимизация мультикристаллических материалов с помощью ML упность и различные преимущества мультикристаллических материалов сделали их широко распространенным сырьем для различных применений в сфере солнечной энергетики и, в целом, полупроводни.ковой индустрии, электроники и медицины, однако работа с ними сопровождается серьезными ...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Data-рынок: как российские ЦОДы справляются с нарастающим спросом Традиционно рынок серверов, систем хранения данных и сетевого оборудования в России был представлен зарубежными компаниями — Dell, HP, Cisco и другими. В 2022-2023 гг data-центры взяли активный курс на импортозамещение при поддержке государства и отечественных поставщиков, п...

Microsoft опубликовала исходники MS-DOS 4.00, первая ОС от компании с графическим интерфейсом и поддержкой мыши Корпорация Microsoft не особо охотно открывает исходники своих продуктов, но для некоторых из них она делает исключение. Например, на днях был опубликован исходный код MS-DOS 4.00. Это первая операционная система редмондской компании с графическим интерфейсом и поддержкой мы...

Samsung разрабатывает процессор для нового ИИ Компания Samsung Electronics стремительно развивается в области производства полупроводников, но даже при этом компании не удалось привлечь внимание лидеров рынка вроде NVIDIA, которые предпочитают TSMC из-за более продвинутых технологических процессов. Но сегодня появилась ...

В России разработали новый метод изменения траектории светового луча Ученые из Томского политехнического университета (ТПУ) совместно с коллегами из Китая разработали новый метод изменения траектории светового луча. Их открытие, опубликованное в журнале Optics Express, представляет перспективу для применения в нанотехнологиях, биофизических и...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Процессоры Intel Meteor Lake всё же выйдут на ПК Некоторое время назад представители компании Intel официально сообщили о том, что они не планируют новое поколение процессоров Meteor Lake выпускать на десктопных компьютерах. Это достаточно странное решение, которое не понравилось многим геймерам, потому что они надеялись п...

Метод Binoculars обещает высокую точность обнаружения текста от больших языковых моделей ChatGPT пишет не хуже человека, но можно ли обнаружить «машинность» в тексте? Хотя некоторым компаниям было бы выгоднее представить всё так, будто результат работы языковых моделей неотличим от человеческого, исследования в этом направлении активно ведутся. Авторы научной ...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Протокол защищенного обмена для индустриальных систем CRISP: поддержка в устройствах Рутокен Приветствую уважаемую публику Хабра от лица условно анонимного представителя компании «Актив», занимающейся производством небезызвестных средств аутентификации и электронной подписи. В этой статье хотелось бы рассказать об одном из менее известных направлений деятельности по...

Китайская компания SMIC в шаге от производства 5-нм чипов, несмотря на торговые ограничения Сообщается, что компания построила два новых завода по производству чипов.

Spotify обвинил Apple в блокировке обновлений приложения в Евросоюзе Европейская комиссия наложила штраф в размере 1,8 миллиарда евро на Apple, считая, что она наносит ущерб конкурентам Apple Music на iOS. Тем не менее, кажется, что у компании все еще остались нерешенные проблемы с Spotify, ее основным конкурентом, который теперь обвиняет ком...

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Китай выпускает первую отечественную материнскую плату со встроенным процессором GM7-2602-02 использует исключительно китайские компоненты и процессор собственной разработки.

Экран 3,2К 165 Гц, 24-ядерный Core i9-14900HX и GeForce RTX 4070 Laptop в белоснежном корпусе. Lenovo показала «красивый игровой ноутбук» Legion Y9000X нового поколения Lenovo продолжает раскрывать подробности об игровых ноутбуках Legion нового поколения. На этот раз компания показала Legion Y9000X в открытом и закрытом состояниях: ноутбук выполнен в белом цвете под названием Ice White. Компания характеризует новинку коротко и ёмко: &l...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

В России началось производство современных европейских кроссоверов Citroen C5 Aircross с 3-летней гарантией. Цена выросла ещё до начала продаж Сегодня, 27 марта, в России на калужском заводе ПСМА Рус запустили серийное производство современных европейских кроссоверов Citroen C5 Aircross. Сборкой занимается компания «Автомобильные технологии» (дилер Citroen), которая «почти получила» ОТТ...

Рентген для самых маленьких Многие современные технологии позволяют нам внимательно рассмотреть то, что скрыто от невооруженного глаза. Мы всматриваемся в звездный космос, изучаем глубины океанов, рассматриваем микроорганизмы и клетки. Но у каждой технологии есть свои ограничения, то же можно сказать...

Гурман: Apple активизирует разработку технологии 6G на фоне проблем с собственным модемом 5G В настоящее время компания Apple набирает инженеров для работы над технологией 6G в рамках команды Wireless Technologies and Ecosystems (WTE).

Bloomberg: ЕС планирует вслед за США оценить риски использования китайских микросхем Евросоюз, следуя примеру США, намерен пересмотреть риски, связанные с использованием китайских микросхем в ключевых отраслях экономики. Согласно проекту рабочего заявления, Брюссель рассматривает возможность проведения расследования в отношении применения полупроводнико...

Security Week 2408: уязвимости в Microsoft Exchange и Outlook 13 февраля компания Microsoft выпустила очередной ежемесячный набор патчей для собственных продуктов. Всего было закрыто более 70 уязвимостей, наиболее опасные относятся к защитным механизмам в Windows, не позволяющим открывать сомнительные файлы из Сети без предупреждения. ...

На бывшем российском заводе Hyundai за 1,5-2 года планируют собрать 70 тыс. автомобилей Solaris. А если не получится, будут делать «полуфабрикаты» Автоэксепрт и ведущий Telegram-канала «Русский автомобиль» Сергей Цыганов поделился подробностями о перезапуске производства на бывшем российском заводе Hyundai. По его словам, есть «план А» (более оптимистичны) и «план Б» (остор...

Гибридные транзисторы с использованием паутины и электронных компонентов: что это такое и как работает Учёные достаточно часто применяют инженерные решения, подсказанные природой, в науке и технике. Используются и вещества/соединения, создаваемые живыми организмами. Ну а сейчас команда учёных решила использовать биологический компонент в микроэлектронике. Речь идёт об использ...

Слишком мощные процессоры Intel надо ослабить, чтобы они работали нормально. Тесты показывают, что новый профиль, решающий проблему с играми, снижает производительность на 8-9% Итак, решение проблемы с самыми мощными процессорами Intel в играх — снижение производительности. Asus уже выпустила обновления BIOS для своих системных плат с поддержкой профиля мощности Intel Baseline Profile. И уже есть тесты Core i9-14900K в таком режиме. Про...

Санкции США против Китая угрожают Nvidia, AMD и ASML Как пишет Seekingalpha, новые правила США об экспорте чипов в Китай вряд ли окажут сильное краткосрочное влияние на такие компании, как Nvidia и AMD, но в долгосрочной перспективе картина может быть совсем иной. Nvidia и ASML заявили, что эти правила окажут минимальное ...

[Перевод] Как организовать систему оплаты в компаниях, занимающихся разработкой Любая программная компания рано или поздно сталкивается с проблемой должностей. Некоторые организации довольствуются «плоской» системой, но в отсутствие системы должностей возникают теневые иерархии, что на самом деле хуже. Должности дают чёткую демаркацию ожиданий от конкр...

Смартфон Xiaomi 13T Pro: новый флагман от Leica и Xiaomi Недавно компания Xiaomi представила свои первые смартфоны, созданные совместно с компанией Leica: Xiaomi 13T и Xiaomi 13T Pro. В этом обзоре мы рассмотрим Xiaomi 13T Pro, который оснащён топовым процессором от MediaTek, отличными камерами и великолепным дисплеем. Подробности...

Симулятор Bellwright в духе Medieval Dynasty получил дату раннего доступа Разработчики из Donkey Crew, которые уже успели отметиться MMORPG Last Oasis, объединились с издателем Snail Games, известному по экшену ARK: Survival Evolved, и анонсировали Bellwright — симулятор средневековья в духе Medieval Dynasty. Ролевой экшен выйдет только на английс...

Экспорт галлия и германия из Китая остановился. Цены на глобальном рынке растут, в Китае — падают С 1 августа КНР остановила экспорт таких металлов, как галлий и германий, за рубеж. Проблема в том, что за прошедшие несколько лет Китай замкнул на себя цепочки поставок. А сейчас эти цепочки перестали работать, поскольку источник сырья, Китай, ограничил экспорт. В августе...

[Перевод] Получаем JTAG на iPhone 15 Месяц назад Apple выпустила iPhone 15 — свой первый смартфон с разъёмом USB-C. Предыдущие полтора года я занимался аппаратным хакингом iPhone, например, мы выпустили опенсорсный последовательный JTAG-адаптер для iPhone под названием Tamarin Cable. Компания Apple наконец-то ...

Компания FxPro объявила о запуске новых торговых счетов для MetaTrader 5 По сообщению входящей в число крупнейших игроков международной торговой индустрии, брокерской компании FxPro, ею добавлен на торговую платформу MetaTrader 5 (MT5) ряд новых типов счетов. Линейка счетов брокера пополнилась рядом новых наименований – Standard, Raw+ и Elite, об...

Как заряжать гаджеты в 2024 году Современные технологии позволяют не ждать по несколько часов, пока зарядится смартфон, и пополнять заряд батареи в самых разных условиях. Да и сам процесс стал гораздо удобнее — этому способствуют аксессуары, которые производители постоянно обновляют. Редакция ZOOM.CNews рас...

Онбординг аналитиков. Опыт Bimeister Я много слышу от новых коллег, что у нас в компании классный онбординг, что это им легко, комфортно входить в процесс, нет стресса. А ещё внутри компании и команды аналитиков он работает классно, поэтому решила поделиться им. Почти каждый а...

Infinix научилась использовать электронные чернила для смены дизайна задней крышки смартфонов Компания Infinix представила новую технологию E-Colour Shift на выставке CES 2024, которая позволяет изменять цвет задней панели смартфона.

Google представила свой новый процессор Axion, и это снова Arm Компания Google представила свой собственный процессор под названием Axion. Название, видимо, давно в честь аксионов — гипотетических элементарных частиц, которые, кроме прочего, могут составлять тёмную материю.  Google Axion создан на основе архитектуры Arm...

Российские учёные улучшили технологию производства чёрного фосфора Учёные ЛЭТИ разработали новую технологию контроля производства перспективного компонента электроники — чёрного фосфора

В мае Microsoft проведёт специальное мероприятие о Windows, Surface и ИИ Microsoft разослала представителям СМИ приглашение на мероприятие, которое состоится 20 мая в преддверии конференции Build 2024. Сообщается, что на этой презентации генеральный директор Microsoft Сатья Наделла расскажет о перспективах внедрении ИИ в аппаратном и программном...

Похоже, Apple не собирается сдаваться. Компания говорит, что привержена идее разработки собственного модема 5G Похоже, компания Apple всё же не собирается отказываться от разработки собственного модема 5G. По крайней мере такой вывод можно сделать из свежего интервью со старшим вице-президентом компании по аппаратным технологиям Джонни Сроуджем (Johny Srouji).  Журналистка...

Дешёвый и минималистичный корпус для сборки ITX-ПК с ручкой. Jonsbo C6-ITX позволяет установить видеокарты длиной до 255 мм Компания Jonsbo представила корпус для ПК C6-ITX, который подойдёт для сборки компактных систем.  Новинка по дизайну копирует модель C6, но только новая модель меньше по размерам. Впрочем, имеются и внутренние изменения, в частности, иное место установки блока пит...

В России решили одну из самых больших проблем с электросамокатчиками Технология называется «Антитандем», она была разработана в России, в ней применяются методы машинного обучения и искусственный интеллект.

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Microsoft удалила WordPad, несмотря на сопротивление пользователей, и активно совершенствует Блокнот. Word не нужен? Корпорация Microsoft в последнее время занимается изменением существующих и добавлением новых возможностей в свой офисный пакет Microsoft Office. Недавно на Хабре сообщалось о том, что корпорация передумала полностью уходить в облака в плане Office, вместо этого предложив о...

ЕС планирует начать расследование закупок медицинского оборудования Китаем В Евросоюзе считают, что Пекин стимулирует государственные учреждения закупать отечественное оборудование, устраняя тем самым справедливую конкуренцию

F2 innovations (часть 2) - Обзор продукции F2 innovations - российская компания, создатель новых технологий и инновационных решений в области оборудования для 3D печати. В этой статье мы познакомим вас с ассортиментом F2 innovations и расскажем о преимуществах 3D принтеров производителя.F2 innovations - российская ко...

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

Amazon анонсировала выпуск своих новых чипов для технологии искусственного интеллекта Однако, вместе с этим продолжит закупать новейшие ускорители у компании Nvidia

Sheffield Forgemasters разработала новую технологию для производства мини-АЭС Британская промышленно-металлургическая компания Sheffield Forgemasters разработала новую технологию изготовления корпусов реакторов для малых атомных электростанций (мини-АЭС).

Росатом представил разработки в сфере 3D-печати на Всемирном фестивале молодежи Компания-интегратор атомной отрасли в области аддитивных технологий «РусАТ» представила новейшее отечественное оборудование для аддитивного производства и 3D-сканирования, а также ряд 3D-печатных изделий, в том числе выполненных по технологии прямого лазерного выращивания.

Из-за торговых ограничений США крупные компании переносят производство чипов из Азии Компании Amazon, Google, Microsoft и другие рассматривают Мексику в качестве нового центра производства серверов для искусственного интеллекта.

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

Московский производитель разработал линейку промышленных мини-компьютеров Компания «АТБ Электроника» презентовала новую линейку компактных компьютеров для промышленных и инфраструктурных объектов. Об этом сообщил Министр Правительства Москвы, руководитель столичного Департамента инвестиционной и промышленной политики Владислав Овч...

«Через 10 лет компьютеры будут делать это в миллион раз быстрее». Глава Nvidia не считает, что нужно вкладывать триллионы долларов в производство чипов для ИИ Несмотря на то, что Nvidia сейчас является чуть ли не основным выгодополучателем от роста интереса к ИИ, глава компании Дженсен Хуанг (Jensen Huang) не считает, что в отрасль нужно вкладывать дополнительные триллионы долларов.  Если вы просто предположите, что ком...

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Чернила дороже золота и блокировки из-за неоригинальных картриджей: у HP теперь проблемы с продажей принтеров Модель продаж принтеров у многих компаний базируется на том, что сами устройства поставляются едва ли не по цене того пластика, из которого они сделаны. А вот чернила — это весьма дорогая жидкость. В принципе, то же самое касается и тонеров для ряда моделей лазерников, особе...

Мощь Технологии в Ваших Руках: Услуги по Разработке Программного Обеспечения В современном мире, где технологии становятся движущей силой для различных сфер бизнеса и жизни, разработка программного обеспечения становится необходимостью, открывая новые горизонты для предприятий и индивидуальных пользователей. Услуги по разработке программного обеспече...

«У нас огромная проблема», — заводы Volkswagen и Audi по всему миру остановились В среду, 27 сентября, IT-сбой затронул большую часть группы Volkswagen. Пострадала значительная часть IT-систем и производства. В некоторых случаях остановились конвейеры, не работала электронная почта. По информации Handelsblatt, проблема не ограничивается одной только...

США потребовали от компании ASML Holding NV отменить отправку своего оборудования в Китай Администрация Байдена стремится изолировать Пекин от передовых технологий

Полный вперёд: SSD дорожают, а их производители собираются получить солидную прибыль Во время пандемии коронавируса значительно повысился спрос на электронные устройства и их компоненты. Основным фактором стал перевод огромного количества сотрудников самых разных компаний на удалённый режим работы. Соответственно, понадобились ноутбуки, камеры, принтеры и пр...

Два в одном: пристройка для дома и трейлер до отдыха на природе. Представлен Kwork Mio Space Японская компания Kwork заключила партнерское соглашение с Lixil, компанией по производству товаров для дома, чтобы создать стильный трейлер Mio Space для любителей активного отдыха на природе. Трейлер Mio Space получился настолько стильныи, что Kwork предлагает исполь...

E-Plus 3D EP-P420: производительность и экономичность в одном 3D-принтере 3D-печать продолжает завоевывать всё больше областей в производстве, и это не удивительно. В отличие от традиционных методов изготовления, она предлагает более быстрый, эффективный и экономически выгодный способ производства. Среди множества технологий можно выделить техноло...

Intel выпустит процессоры Meteor Lake для ПК лишь в 2024 году Стоит напомнить, что в 2023 году компания Intel планирует выпустить 14-е поколение процессоров серии Intel Core, но, по совершенно необъяснимым причинам, только для ноутбуков. Аналитики рынка и журналисты пока что не смогли выяснить, почему компания хочет выпускать процессор...

В Китае разработали систему связи NearLink, которая в шесть раз быстрее Bluetooth Компания Huawei официально представила технологию беспроводной связи ближнего действия NearLink, которая может сменить Wi-Fi и Bluetooth. Это детище китайской промышленности — в разработке NearLink участвовало 300 различных организаций. Технология полностью готова и уже внед...

Это же каким мощным мог бы быть такой процессор AMD в играх. Пользователь из Китая получил странный CPU Ryzen 9 7950X3D со 192 МБ кеш-памяти L3 Процессор Ryzen 9 7950X3D, как и все остальные модели X3D, имеет дополнительную микросхему памяти V-Cache объёмом 64 МБ. Но один счастливчик в Китае раздобыл где-то экземпляр, который, похоже, оснащён 128 МБ такой кеш-памяти!  По крайней мере именно так указывает ...

120 к/с в играх на смартфоне за 250 долларов. Представлен Infinix GT 20 Pro с отдельным чипом для интерполяции кадров Компания Infinix представила смартфон GT 20 Pro, который, как и GT 10 Pro, позиционируется в качестве недорого игрового смартфона.  Цена новинки пока не объявлена, но предположительно, она останется на уровне предшественника, который стоил всего 250 долларов. ...

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

Импортозамещение по-китайски. 10 000 компьютеров на китайских процессорах Longsoon были поставлены в 50 школ города Хэби Китайская компания Longsoon, известная своими достаточно неплохими процессорами собственной разработки, как сообщается, получила достаточно крупный государственный заказ.  Управление города Хэби закупило 10 000 компьютеров для 50 городских школ, и это ПК на основе...

Запрет США на поставки ускорителей Nvidia открывает огромные возможности для Huawei Меры США по ограничению экспорта передовых чипов с технологиями искусственного интеллекта (ИИ) в Китай могут открыть перед Huawei огромные возможности по импортозамещению на внутреннем рынке, о чем пишет Reuters. В то время как Nvidia исторически была ведущим поставщико...

F2 innovations (часть 1) - Обзор компании Инженеры LIDER-3D посетили производство компании F2 innovations в Перми, где ознакомились с оборудованием компании и узнали о тонкостях производства!А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подробности о розыгрыше...

Плавучая платформа с 10 000 укорителями Nvidia H100, которая будет отдельным суверенным государством. Проект BSFCC представила компания Del Complex Компания Del Complex разработала очень необычное решение для рынка искусственного интеллекта, которое удивляет и технически, и концептуально.  Речь о вычислительном кластере, который назвали Blue Sea Frontier Compute Cluster (BSFCC). Технически это плавучая платфо...

Intel идёт по пути Apple. Компания показала процессор Lunar Lake с собственной оперативной памятью на подложке Компания Intel на CES 2024 не только представила остатки линейки процессоров Raptor Lake Refresh, но и показала совершенно новый CPU линейки Lunar Lake.  Эти процессоры появятся в текущем году, вероятно, в самом его конце, и в целом придут на смену Meteor Lake. Но...

Ученые предлагают построить дороги на Луне при помощи лазеров Ученые разработали технологию строительства дорог на Луне Несмотря на то, что нога человека последний раз ступала на поверхность Луны более 50 лет назад, ученые уже во всю занимаются вопросами строительства лунной инфраструктуры. Например, китайцы решают где лучше построить ...

Пентагон назвал военной китайскую компанию YMTC, у которой Apple хотела покупать флеш-память Очередная крупная китайская компания попала под прицел санкционной машины США. Пентагон обновил список компаний из Поднебесной, которые, по данным самого Пентагона, так или иначе связаны с военным сектором Китая.  В данном случае в список так называемых военных ко...

KleiinVision продала Китаю лицензию на производство летающих автомобилей Компания KleiinVision из Словакии продала ограниченную лицензию на производство летающих автомобилей китайской компании Hebei Jianxin Flying Car Technology. Это соглашение позволит китайской компании производить и распространять технологию летающих автомобилей в рамках опред...

MeLE Quieter 4C: бесшумный мини-ПК с процессором Intel N100. Что это за девайс? Существует много сотен, если не тысяч, моделей мини-ПК. Какие-то из них мощные, какие-то не очень, но большинство без проблем решает те задачи, для которых предназначено. Не так давно появилась ещё одна модель — это MeLE Quieter 4C. Девайс достаточно скромный по производител...

Peloton заключит эксклюзивное партнерство с TikTok Peloton Interactive заключает партнерство с TikTok для представления своих тренировок на новой платформе. Компания меняет стратегию с производства оборудования на развитие программного обеспечения из-за снижения спроса на фитнес-оборудование после пандемии.

Техническое обслуживание вместо ремастеринга. Как спасти старые ПК-игры на новой ОС В мире есть более миллиона компьютерных игр. И каждый год выходят тысячи новых игр. Но иногда хочется поностальгировать по ушедшей эпохе и зарубиться в какую-нибудь игру двадцатилетней давности на ПК. Популярные старые игры обновляют, делают ремейки и ремастеры. Но что дела...

Samsung начнет производство OLED-дисплеев для компании Apple Samsung начинает закупать оборудование, чтобы начать производство OLED-дисплеев для компании Apple.

SSD вскоре подорожают? Аналитики считают, что да, и вот почему Помните, насколько сильным был дефицит электронных компонентов и систем во время пандемии? Тогда резко вырос спрос на ноутбуки, смартфоны и другие электронные устройства. Но поскольку производство и логистические цепочки не были рассчитаны на такие объёмы, почти сразу стала ...

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

Что в архитектуре тебе моей: создан гибридный процессор SG2000 с ядрами на базе RISC-V и ARM. Что за чип? Китайские производители чипов в последнее время стали ещё активнее, чем раньше. Например, компания Sophgo разработала процессор SG2000 и его модификацию SG2002. Внутри них — ядра с архитектурами RISC-V и ARM. Компания позиционирует чип как элемент для IoT-систем. Поддерживаю...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Nvidia выпустила три новых видеокарты и заявила о лидерстве в гонке систем AI PC. Что это за адаптеры? Nvidia представила новые графические адаптеры в рамках стратегии развития AI PC. Три новые модели выпущены в рамках линейки GeForce RTX™ 40 SUPER Series, это GeForce RTX 4080 SUPER, GeForce RTX 4070 Ti SUPER и GeForce RTX 4070 SUPER. 8 декабря на выставке CES в Лас-Ве...

Табуляционные машины Германа Холлерита — вольная реконструкция Перфокартные табуляционные автоматы Германа Холлерита решали задачи обработки больших объемов данных (десятки миллионов записей) в 19-м веке, сэкономили несколько лет труда при подсчете результатов переписи США 1890-го года, быстро проникли во всевозможные организации от ста...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Сотни «Бобров» с процессорами «Байкал» и Astra Linux встали на службу. 95% рабочих мест «Росэнергоатом» перевели на отечественное ПО «Росэнергоатом» успешно завершил перевод на отечественное программное обеспечение более 95% рабочих мест до конца 2023 года. Электроэнергетический дивизион Росатома перевел рабочие места на операционную систему Astra Linux. Специалисты компании разработали и...

[Перевод] Как интеллектуальные NPC Ubisoft справляются с динамическим взаимодействием с игроками? Снятся ли NEO NPC электроовцы? На GDC 2024 компания Ubisoft официально представила миру NEO NPC — генеративную технологию на базе ИИ для внутриигровых диалогов и взаимодействия игроков с неигровыми персонажами. Проект NEO NPC возник в результате сотрудничества между разра...

Intel готовит первый в истории процессор с частотой 6,2 ГГц из коробки. Core i9-14900KS уже засветился в Сети Компания Intel уже представила часть своих процессоров Core 14-го поколения, включая флагманский Core i9-14900K. Ранее никаких утечек о Core i9-14900KS не было, поэтому можно было подумать, что такой CPU не выйдет. Однако, похоже, всё же выйдет, так как процессор уже за...

Ядро Cortex-X5 от ARM потребляет слишком много энергии Сегодня появилась интересная информация о том, что производительные ядра Cortex-X5 от ARM испытывают серьёзные проблемы с высоким энергопотреблением при повышенных частотах и демонстрируют незавидную производительность в многоядерном режиме при снижении максимального предела...

На российский гибкий «планшет Чубайса» потрачены миллиарды. Компания обанкротилась, планшета нет Роснано запустила процесс банкротства производителя гибких дисплеев, компании «Пластик Лоджик», о чем сообщает Интерфакс. Инициирование процедуры банкротства ООО "Пластик Лоджик" связано с завершением оценки перспектив восстановления платежеспособн...

Необходимые свойства качественных рекомендаций в e-commerce Компания Retail Rocket более 10-ти лет занимается системами рекомендаций в сфере электронной коммерции. Всё это время мы сталкиваемся с неочевидными свойствами алгоритмов рекомендаций, без которых системы не будут решать свои задачи. Мы выделили и описали в статье такие свой...

Чтобы не везти «свежий воздух» из Китая. В России открылось новое производство пропеллеров для дронов В России начали выпускать отечественные пропеллеры для дронов. Производство наладили в Новосибирске, о чем рассказал исполнительный директор конструкторского бюро «Спектр» Андрей Братеньков. «Мы запустили производство пропеллеров для FPV-дронов в Новос...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Alphabet стала пятой компанией в мире, достигшей капитализации в $2 триллиона Alphabet, материнская компания Google, стала пятой компанией в мире, достигшей рыночной капитализации в 2 триллиона долларов. Это произошло благодаря сильным финансовым результатам, особенно в облачном направлении, а также перспективам развития технологий искусственного инте...

Это видеокарта китайской разработки уровня GTX 1050 и с поддержкой AMD FSR. Анонсирована 30-ваттная Gitstar JH920 Китайская компания Gitstar анонсировала свою собственную видеокарту JH920, которая, по обещаниям производителя, должна быть быстрее, чем GeForce GTX 1050.  Да, это не бог весть какой уровень, но всё-таки речь о полностью китайской разработке. К тому же TDP тут сос...

[Перевод] Учёные разрабатывают устройство, способное вызывать осознанные сны по запросу Приходилось ли вам когда-нибудь испытывать странный опыт, когда вы как бы просыпались внутри своего собственного сна? Вы можете сказать, что находитесь не в полном сознании - ведь вокруг вас находится сказочная картина, - но вы достаточно осведомлены, чтобы контролировать от...

Ученые нашли способ утилизации отходов от ветряных турбин Проблема утилизации отработанных лопастей ветрогенераторов получила инновационное решение благодаря ученым из Литвы. Ученые разработали метод переработки композитных материалов лопастей, используя процесс пиролиза с особым катализатором. Это позволяет извлечь ценные компонен...

Core i9-14900K после решения проблемы со стабильностью может работать не особо быстрее Core i5-14600K. Тесты показали разницу между подходом Asus и Gigabyte Авторы канала Hardware Unboxed решили протестировать процессор Core i9-14900K с включённым профилем Intel Baseline Profile в ряде игр и приложений.   Напомним, профиль Intel Baseline Profile призван решить проблемы с некорректной работой топовых CPU Intel в играх ...

Новая технология экранов для смартфонов не пойдёт в производство ближайшие лет 10 Сейчас многие называют MicroLED — новым большим шагом в эволюции экранов мобильных устройств. Но при этом Официальная отраслевая ассоциация MicroLED считает, что её внедрение в столь востребованные нами смартфоны — дело достаточно далёкого будущего. Читать полную статью

Представлен современный битопливный автомобиль с газовым оборудованием российской сборки. Первая партия уже готова «Автотор» и «Газпром газомоторные системы» представили новую версию BAIC U5 plus. Этот автомобиль оснащен газобаллонным оборудованием и был произведен на заводе в Калининградской области. Для этой модели было использовано современное газовое обо...

Компания FxPro объявила о запуске новых торговых счетов для MetaTrader 5 По сообщению входящей в число крупнейших игроков международной торговой индустрии, брокерской компании FxPro, ею добавлен на торговую платформу MetaTrader 5 (MT5) ряд новых типов счетов. Линейка счетов брокера пополнилась рядом новых наименований – Standard, Raw+ и Elite, об...

И снова конденсаторы: как я ноутбук HP Spectre X360 13 ремонтировал и что из этого вышло Привет, Хабр! Как часто вы сталкивались с ситуацией, когда ваш ноутбук, который еще вчера работал, сегодня отказывается включаться, заряжаться, и вообще пациент скорее мертв, чем жив? Вероятно, частенько, и в некоторых ситуациях проблема в линиях питания. Например, не выде...

Компания HZO продемонстрировала полностью водонепроницаемые компьютерные проекты Технология нанесения покрытий с использованием парилена, позволяет защитить оборудование от воздействия влаги

Google работает над переходом к полностью собственному производству чипов Tensor Google решила полностью перейти на собственное производство чипов Tensor, о чём говорят последние контракты компании с тайваньскими TSMC и King Yuan Electronics Corp. (KYEC).

Латвийской компании предъявлены обвинения в поставках военных компонентов в Россию Латвийская компания находится под подозрением в поставках электронных компонентов военного назначения для России. Пишет Rus.lsm.lv

Сбербанк уже выпускает собственные серверы Сбербанк начал заниматься разработкой и производством собственных серверов, о чем сообщили «Ведомостям» три источника из компаний-производителей вычислительной техники, а также подтвердил представитель банка. Это оборудование используется для внутренних целе...

Китайские компании получат субсидии от правительства для стимулирования производства видеокарт К 2027 году Китай намерен использовать только собственное оборудование.

Плазма: преобразование свалочного газа в топливо Одной из самых острых проблем современности является использование ископаемых ресурсов для производства топлива. С одной стороны это крайне неэкологично, с другой — ископаемые ресурсы имеют свойство заканчиваться. Потому многие ученые по всему миру трудятся в поте лица в п...

Компания R2 Semiconductor выиграла патентный спор у Intel Немецкий суд наложил запрет на продажу некоторых процессоров Intel на территории Германии.

В России создают космические корабли и атомные ледоколы, но не умеют делать подшипники, электрику и гидравлику На заседании Совета Торгово-промышленной палаты России по промышленному развитию и конкурентоспособности российской экономики, которое состоялось в городе Елец Липецкой области, президент ассоциации «Росспецмаш» Константин Бабкин рассказал неприятную правду ...

ASML сообщила о падении прибыли и заказов Голландский технологический гигант ASML, поставляющий оборудование для производства полупроводников, сообщил о снижении чистой прибыли и заказов вследствие торгового спора между Китаем и Западом.

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

BMW внедряет электродуговую 3D-печать металлической проволокой Компания оценивает перспективы аддитивного производства металлических заготовок методом электродугового наплавления проволоки (Wire Arc Additive Manufacturing, WAAM) с 2015 года, а со следующего планирует приступить к дорожным испытаниям автомобилей с 3D-печатными компонента...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

[Перевод] Одна игра, один разработчик, шесть платформ Недавно компания Valve объявила о том, что Counter-Strike 2 не будет поддерживать macOS. Я инди-разработчик, в одиночку выпустивший игру с поддержкой macOS, поэтому поначалу меня удивило это решение Valve. Но вспомнив о своём собственном опыте поддержки шести платформ, я нач...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Архитектура RISC-V продолжает развиваться: сразу пять крупных компаний займутся внедрением технологии по всему миру На днях стало известно о том, что несколько известных компаний, включая Robert Bosch GmbH, Infineon Technologies AG, Nordic Semiconductor ASA, NXP® Semiconductors, Qualcomm Technologies, Inc., основали новую организацию, которая получила название Quintauris GmbH. Она будет з...

Qualcomm выпустит Snapdragon X Elite уже в середине 2024 года Согласно сообщению от главы компании Qualcomm, релиз процессора Snapdragon X Elite состоится в самое ближайшее время. Новый процессор, по словам представителей компании, специально разработан для ноутбуков под управлением Windows и появится на рынке в середине 2024 года. Это...

12-литровый игровой монстр нового поколения. Corsair представит геймерский мини-ПК One i500 на компонентах нового поколения уже в мае Компания Corsair готовится представить свой игровой мини-ПК One нового поколения.  Новинка под названием One i500 будет представлена уже 5 мая. Corsair отмечает, что новый ПК будет использовать компоненты нового поколения. Текущая модель, напомним, опирается на Co...

Компания-владелец AliExpress анонсировала собственный ИИ с «сотней миллионов параметров» Компания Alibaba, владелец популярной торговой платформы AliExpress, объявила о значительном обновлении своей модели искусственного интеллекта Tongyi Qianwen, сравнимой с GPT-4.

В Москве начали устанавливать первые российские банкоматы. Названа степень локализации Мэр Москвы, Сергей Собянин, объявил, что первые отечественные банкоматы уже начали устанавливаться в городе. «Первые устройства уже получили три банка-партнера. Еще несколько организаций проводят тестирование. Серийный выпуск российских банкоматов начался в индуст...

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

ASSA ABLOY ушла из России, чем заменить? Массовый исход западных брендов из России затронул и индустрию технических систем безопасности. Это породило массу вопросов, от вопросов ремонта и обслуживания до целесообразности использования оборудования после ухода компании с российского рынка.ASSA ABLOY пример иллюстрат...

За 10 лет учёные создали первый в мире функциональный полупроводник из графена Ученые из Технологического института Джорджии разработали первый в мире функциональный полупроводник из эпитаксиального графена. Новая технология создания графеновых полупроводников открывает перспективы для электронной индустрии и будет улучшаться для новых технологических ...

Apple хочет наделить каждый iPhone собственной большой языковой моделью. Компания работает над оптимизации таких систем Компания Apple не спешит присоединяться в общей гонке чат-ботов и искусственного интеллекта нового поколения, но работает в этом направлении. В частности, Apple исследует возможности размещения больших языковых моделей непосредственно на мобильных устройствах пользовате...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel, похоже, намекает нам на то, что в будущем процессоры вполне смогут потреблять более 1 кВт мощности. Совместно с Submer процессорный гигант анонсировал революционную, как говорят участники, разработку в области однофазной технологии погружного охлаждения,...

Как решить типичные проблемы Django нестандартным подходом: Fake Injection Давайте признаем, что развитие проектов в мире Django не всегда проходит гладко. Мы часто сталкиваемся с толстыми моделями и сериалайзерами, размытой бизнес-логикой и тестированием, которое больше напоминает головную боль, чем удовольствие. Меня зовут Павел Губарев, я back...

«Искажённые и необъективные». Китай уже раскритиковал будущие выводы Европы по расследованию машин из Поднебесной Китай раскритиковал европейское расследование против своих электромобилей, заявив, что будущие выводы ЕС по этому поводу могут оказаться «искажёнными и необъективными». Вице-президент Китайской торговой палаты по импорту и экспорту машиностроительной и элект...

Надуть всё: компания Sierra Space предлагает составить новую космическую станцию из своих наполняемых воздухом модулей Концепция космической станции, собранной из тонкостенных секций, внутри которых только воздух под давлением, не нова. Она привлекает внимание инженеров относительной дешевизной и не менее относительной простотой создания. Но, конечно, проблем здесь немало. Всё это нужно выве...

Новый «китайский шок» на мировом рынке: Поднебесная может удвоить экспорт собственной техники, по данным The Wall Street Journal Газета The Wall Street Journal пишет о том, что Китай может удвоить экспорт собственной техники, что может вызвать новый «китайский шок» на мировом рынке. Согласно данным издания, Китай в настоящее время усиленно развивает производство автомобилей, машинного...

ViewModel + Kotlin Multiplatform. Пробуем нативное решение Всем привет! На связи Анна Жаркова, руководитель группы мобильной разработки в компании Usetech. Компания Google объявили о своем интересе к Koltin Multiplatform на прошлом Google I/O 2023. Следом был обозначен вектор развития имеющихся решений архитектурных библиотек Jetp...

Sony начала продажи новой долгожданной игровой приставки. О такой PlayStation мечтают все Еще в конце 2020 года компания Sony представила и начала продажи игровой приставки PlayStation 5, предложив всем желающим стать ее владельцами. С тех самых пор прошло уже крайне много времени, поэтому по современным меркам данная Читать полную версию публикации Sony начала ...

HaLow: дальнобойный Wi-Fi, который работает на расстоянии в несколько километров Беспроводные технологии продолжают развиваться, и на днях в сети появилась информация о новом рекорде в отношении Wi-Fi HaLow, также известного как IEEE 802.11ah. Его удалось поставить компании Morse Micro, которая обеспечила стабильную связь на расстоянии примерно 3 км от и...

Huawei представила замену Bluetooth, которую уже поддержали более 300 компаний Китайская компания Huawei разработала новую технологию беспроводного соединения малого радиуса действия — NearLink.

Стартап из Великобритании Space Forge готовит спутник для производства полупроводников в космосе Компания Space Forge потеряла свой первый экспериментальный спутник в январе во время неудачного запуска ракеты LauncherOne от Virgin Orbit. Новый спутник, названный ForgeStar-1, отправится в США для запуска в конце 2023 года или в начале 2024 года. Space Forge подписал...

Метод SMART. Что это и почему про него важно знать руководителю? Привет! Меня зовут Лера, я в управлении проектами уже 7 лет. Реализовывала проекты на стыке AR/VR/ML-технологий в сервисной компании. Сейчас работаю в EdTech и параллельно занимаюсь менторством начинающих проджектов и руководителей.Прежде чем мы перейдем к основной...

Китайский процессор Loongson 3A6000 уже работает в мини-ПК. Возможности и характеристики модели Morefine M700S Китайцы за последние несколько лет сильно продвинулись в разработке чипов, включая современные процессоры. Один из них — Loongson 3A6000, по производительности он примерно равен Intel Core i3-10100F. Но речь сегодня не столько о чипе, сколько об устройстве, в котором он раб...

Apple не смогла сама и пришла к Google и OpenAI. Компания ведёт переговоры об интеграции Gemini и/или GPT в свои iPhone Похоже, у Apple имеются проблемы с разработкой собственного генеративного ИИ для конкуренции с ChatGPT и другими моделями. Сообщается, что купертинский гигант ведёт переговоры с Google и OpenAI касательно использования их моделей.  создано DALL-E Apple общается с ...

Медленное выполнение команды TRUNCATE: анализ проблемы блокировок спинлока в SQL Server Приветствую всех читателей Хабра! Меня зовут Михаил, я администратор DBA в компании «Автомакон». На данный момент работаю на проекте для «ВкусВилл».Решил затронуть одну из насущных проблем, связанную с работой SQL Server, а именно со спинлоками в нем. Да, даже такой зрелый и...

GPD обвиняет AMD в срыве сроков поставки гибридных процессоров Ryzen 7000 Компания GPD, известная своими портативными гибридами ноутбука и игровой приставки, испытывает проблемы с поставками своей новой модели Win Max 2. И виновата в этом, согласно заявлению GPD, компания AMD.  GPD говорит, что AMD не может поставить заранее оговоренное...

Лазейку с ключами Windows 7 и 8 заблокировали и начались сбои: Microsoft расследует проблемы с активацией Windows 10 и 11 Чуть больше месяца назад Microsoft заблокировала возможность активации Windows 10 и 11 ключами Windows 7 и Windows 8. Вслед за решением о блокировке пользователи начали сталкиваться с проблемами - активированная таким образом ОС может «деактивироваться» при ...

Sapphire выпускает не только видеокарты. Компания представила системную плату B650I Nitro+ Mini-ITX. Компания Sapphire известна в первую очередь своими видеокартами, а многим только ими. Это самый крупный партнёр AMD на этом рынке. Но на самом деле Sapphire производит и другую продукцию, и её новая новинка — системная плата B650I Nitro+ Mini-ITX.  Она предн...

Делаем простые отчеты в CI с помощью GitLab Pages для Playwright Привет, Хабр! Я Саша Алексеев, старший инженер по тестированию в Selectel. Некоторое время назад мы столкнулись с необходимостью реорганизовать отчеты end-to-end-тестов. Их прогон стал занимать слишком много времени, мы искали способы распределить их еще больше. Под катом ...

Эксперт рассказал, сможет ли Россия отказаться от облачных сервисов Microsoft Эксперт по облачным технологиям рассказал, насколько сложно будет российским компаниям отказаться от облачных сервисов Microsoft

Самые мощные современные процессоры Intel и AMD, Core i9-14900K и Ryzen 9 7950X3D, сравнили в популярных играх Авторитетный ресурс PC Gamer ответил на вопрос, у какой компании топовый процессор лучше.

Министр торговли США невероятно встревожена достижениями Huawei и хочет больше инструментов давления Министр торговли США Джина Раймондо (Gina Raimondo) выразила озабоченность последними достижениями Huawei. В частности, выходом SoC Kirin 9000s.   создано DALL-E в Bing Раймондо говорит, что сообщение о том, что китайская фирма разработала передовые чипы, является...

Гигантские ИИ-модели и самые производительные чипы: Nvidia выпустила мощный GPU B200 со скромным потреблением энергии Корпорация Nvidia продолжает развивать направление производства чипов для ИИ-отрасли. Сейчас руководитель американской компании заявил о выпуске самого мощного ИИ-чипа в мире. Кроме того, готовы и некоторые модульные системы, базирующиеся на основе GPU B200, так называется н...

MenuetOS, которая умещается на дискете, снова обновилась: что «выросло» в новой версии Некоторые читатели Хабра, вероятно, дискет и в руках не держали, поскольку те вышли из обращения много лет назад. Но ряд современных программ и «железа» всё ещё с ними связан. Например, проект MenuetOS представляет собой операционную систему, которая умещается как раз на дис...

ASML предупреждает: если США продолжат усиливать санкции относительно Китая, это может плохо сказаться на бизнесе компании и её партнёрах Компания ASML, являющаяся крупнейшим в мире, а в некотором смысле и единственным, производителем современных систем для выпуска чипов, заявила, что дальнейшее ужесточение санкций со стороны США может сильно навредить компании.  Геополитическая напряженность может ...

А где же звук, или почему дистрибутив Ubuntu может не звучать «из коробки» Данная статья написана в помощь пользователям Ubuntu (Lu.., Xu.., Ku.. и прочих derivatives) которые столкнулись с отсутствием звука или проблемами с другим оборудованием на достаточно свежем «железе». Это статья не перевод, а попытка обобщить и показать путь. Если оборудова...

Всем санкциям вопреки: Huawei впервые представит настольный компьютер на базе собственного процессора В мае 2024 года Huawei откроет новую для себя нишу — компания представит первый настольный компьютер на базе собственного процессора. Новинка под названием Qingyun W515x будет ориентирована не столько на розничный рынок, сколько на корпоративных пользователей. Qi...

Они могут стать основой для новых Lada. В России официально появятся три новые модели FAW Компания FAW собирается официально начать продавать в России в следующем году три новые модели, подробности о которых раскроют позже. «По нашим прогнозам, доля продукции китайских автопроизводителей на российском рынке продолжит увеличиваться. Автомобили из КНР от...

“Made in China”: легким движением руки процессоры Intel Xeon превращаются в китайские чипы Китайские бренды не раз были замечены за копированием западных и не только разработок, будь то дизайн одежды или технологии. И речь не только о дешевых копиях вещей с Aliexpress, с которыми каждый из нас сталкивался, в отрасли производства и разработки электроники такое тож...

Новые флагманы Xiaomi TV S Pro 65 и TV S Pro 75 стали суперхитом в Китае: их раскупили еще не этапе предзаказа Представленные в Китае пять дней назад флагманские телевизоры Xiaomi TV S Pro 65 и TV S Pro 75 раскупили в Китае еще на этапе предзаказа. Xiaomi заявила, что дефицит возник из-за слишком консервативной оценки спроса, и компания сейчас будет наращивать производство компо...

Apple признала проблему с перегревом iPhone 15 Pro и 15 Pro Max, и уже решает ее Купертиновская компания Apple заявила, что работает над устранением проблемы с перегревом iPhone 15 Pro и 15 Pro Max.

Теперь и на Mac. Microsoft выпустила Windows 11 для новейших компьютеров Apple Начиная с 2020 года компания Apple выпускает новейшие компьютеры Mac, которые построены не на базе процессоров Intel, которые ранее использовались в продукции бренда на протяжении более чем пятнадцати лет, а на процессорах собственной разработки. С Читать полную версию публ...

В борьбе со сложностью, или Как обуздать лог-экспоненциальный алгоритм (со ссылкой на код) В этой статье я расскажу об алгоритме, который помогает нам решить задачу дедупликации данных без идентификатора, дам контекст решаемой проблемы и словесное описание алгоритма с визуализацией. Реализацию алгоритма можно посмотреть по ссылке в заключении. Алгоритм решает прос...

Передача и обработка IAsyncEnumerable в Typescript с ASP.NET Core Web Всем привет, Меня зовут Олег и я .NET разработчик в компании Bimeister. Я хотел поделиться своим интересным опытом по связи проекта на ASP.NET Core Web App с использованием IAsyncEnumerable коллекций и современного фронт-енд SPA приложения на Typescript.и Vue.js 3 версии.Все...

Омская компания импортозаместит катализаторы для бензина и авиатоплива Омская группа компаний «Титан» ведет разработку технологии для производства катализаторов, используемых в бензине и авиатопливе. Основной задачей проекта является создание отечественной замены для импортных аналогов, которые часто сталкиваются с проблемами поставок и им...

Экран 2,5К 165 Гц, Core i7-14700HX и GeForce RTX 4070 Laptop. Новые подробности о Lenovo Legion Y7000P за 5 дней до премьеры Lenovo продолжает раскрывать подробности об игровых ноутбуках Legion нового поколения. На этот раз компания сообщила, что Legion Y7000P в топовой версии получит 20-ядерный процессор Core i7-14700HX с частотой до 5,5 ГГц. В топовой версии будет dGPU Nvidia GeForce RTX 4...

Politico: Китай не дает торговым компаниям из Евросоюза вести бизнес в КНР В Европе пожаловались на нечестную торговлю с Китаем

Dell, IBM и Google заставили сотрудников вернуться в офисы: что в итоге? В последние пару лет различные компании стали активно возвращать сотрудников, работавших во время пандемии на удаленке, в офисы. Зачем? Некоторые организации считают, что офисная работа увеличивает производительность как отдельных людей, так и всего коллектива в целом. Но к...

Старушка GeForce RTX 3060 ещё повоюет. Nvidia собирается снизить цену этой видеокарты и продлить её срок жизни для борьбы с AMD Компания Nvidia продлит жизнь видеокарте GeForce RTX 3060. Как сообщается, этот адаптер продолжит находиться в строю, чтобы лучше бороться с новинками AMD.  создано DALL-E Ресурс IT Home говорит, что RTX 3060 не будет снята с производства в ближайшее время. Ей пре...

Asus, это что, экономия на вентиляторах? Компания представила первые на рынке Radeon RX 7900 XT/XTX всего с двумя вентиляторами в системе охлаждения Компания Asus выпустила первые на рынке видеокарты Radeon RX 7900 XT и XTX с кулером, имеющим всего два вентилятора.  Модели Dual OC Edition на фоне остальных топовых адаптеров выглядят достаточно необычно. Можно вспомнить GeForce RTX 4080 с кулером Noctua, но в д...

В HarmonyOS NEXT не будет поддержки APK. Как теперь устанавливать приложения на Huawei Несмотря на то что Huawei представила HarmonyOS почти три года назад и уже перевела на нее почти все свои устройства, долгое время у людей не было понимания, что из себя представляет новая операционка компании. Во-первых, казалось странным, что новую ОС разработали настольк...

От ноубуков до видеокарт и геймпадов: в России запустили новый отечественный бренд OSiO с собственным производством Группа компаний ICL из Казани объявила о запуске одного из крупнейших в России заводов по производству радиоэлектронной продукции и нового бренда OSiO, под котором электроника будет продаваться в розницу.  Первыми в продаже появятся OSiO FocusLine в магазинах круп...

XMG1930-30HP: AV over IP для ЦОД Мы уже не раз в нашем блоге на Хабре рассказывали о технологии AV over IP, которая позволяет гонять 4K-видеопотоки не с помощью HDMI, а используя для этой цели IP-сети. Можно ошибочно думать, что это актуально лишь для каких-нибудь спортивных баров и концертных площадок. Эт...

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

Распознавание мордочек собак для борьбы с бешенством Одним из отличий человека от других животных является интеллект, благодаря которому мы научились определять, изучать и контролировать многие законы природы. Стремительное развитие технологий разительным образом повлияло не только на наш вид, но и на окружающую нас среду. С...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

«Инферит» запускает производство компонентов на собственном заводе «Инферит Техника» «Инферит» с гордостью заявляет о запуске на заводе в Особой экономической зоне «Исток» в наукограде «Фрязино» новой линии поверхностного монтажа, которая позволит выпускать компоненты для компьютерного и серверного оборудования.

Акционеры засудили Apple на $490 млн за сокрытие торговых проблем в Китае Apple выразила готовность выплатить $490 млн в знак согласия на мировое соглашение с акционерами, которые подали на компанию в суд в 2019 году. Акционеры обвинили Apple в сокрытии реальной информации о продажах в Китае.

Японцы придумали послеобеденную подушку для сна прямо за столом в офисе со встроенным будильником Японская компания разработала гаджет, позволяющий комфортно вздремнуть в офисе. Вдохновленное портативными массажными креслами, изделие устанавливается, поддерживает лицо и грудь, не сдавливая руки, когда вы наклоняетесь вперед во время сна. Gogo no Makura или «По...

QA инженер и дизайн Современные технологии меняют жизнь человечества, предлагая огромное количество различных возможностей. Появляется всё больше и больше разнообразных платформ и устройств, с помощью которых можно легко выполнять различные действия. Разработчики предлагают широкий ассорти...

В России начали поставки оборудования нового поколения для суперкомпьютеров «Росэлектроника», входящая в состав Ростеха, начала поставки оборудования нового поколения для создания суперкомпьютеров. Об этом рассказала пресс-служба госкорпорации.  Сгенерировано нейросетью Dall-E Оборудование стало более компактным по сравнению с...

Huawei укрепляет позиции на рынке ИИ-чипов несмотря на санкции США Несмотря на санкции, Huawei активно наращивает производство собственных ИИ-чипов и укрепляет позиции на рынке. Компания выпустила мощный процессор Ascend 910B для ИИ, сопоставимый с флагманским чипом Nvidia A100.

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

Новые процессоры от Intel, AMD и видеокарты от Nvidia: что еще представили на CES 2024 В начале января 2024 года в Лас-Вегасе прошла выставка Consumer Electronics Show, CES. На ней собрались производители, дистрибьюторы, ритейлеры и потребители со всего мира, чтобы продемонстрировать инновации и достижения электроники, бытовой техники, компьютерных технологий...

Выпущена первая отечественная серийная газовая турбина мощностью 170 МВт Российская энергомашиностроительная компания «Силовые машины» завершила изготовление первой серийной газовой турбины большой мощности ГТЭ-170 в комплекте с генератором и котлом-утилизатором, она была заказана для Нижнекамской ТЭЦ. «Силовые машины»...

Фрезерные станки с ЧПУ: возможности, области применения и рекомендуемое оборудование. Обзор от 3Dtool Всем привет. С вами компания 3Dtool!Технология фрезерования играет важнейшую роль в современной промышленности и зачастую просто незаменима в прецизионном производстве. Рассказываем о возможностях фрезерных станков с ЧПУ и делимся примерами оборудования для малого и среднего...

Проблемы LogoFAIL позволяют внедрять UEFI-буткиты через картинки Эксперты компании Binarly обнаружили ряд уязвимостей в библиотеках для парсинга изображений, включенных в прошивку UEFI. Эти уязвимости, получившие общее название LogoFAIL, эксплуатируют функцию прошивки, которая позволяет компаниям добавлять свои логотипы на загрузочный экр...

ONYX BOOX представила ридеры Tab Ultra C Pro и Note Air 3 С Сегодня, 17 октября, компания МакЦентр, которая выступает эксклюзивным дистрибьютором продукции компании ONYX International Inc, официально сообщила, что линейка электронных читалок расширилась сразу двумя достаточно крупными моделями — ONYX BOOX Tab Ultra C Pro и ONYX BOOX ...

Intel решила похвастаться мощью iGPU в процессорах Meteor Lake У Intel упала выручка и рухнула чистая прибыль Компания Intel решила продемонстрировать, насколько производительным является интегрированный графический процессов в CPU Meteor Lake.   Для этих целей компания использовала достаточно требовательную игру Dying Light ...

Водный мир: плавучие двухуровневые фермы В основе любой пирамиды потребностей человека лежат базовые физиологические нужды: вода, пища, сон и т. д. Учитывая численность населения планеты, неудивительно, что именно эти ресурсы испытывают глобальную нехватку. Если же добавить сюда и нехватку энергоресурсов, то карт...

Книга: «Креативный программист» Привет, Хаброжители! Подобно написанию музыки, созданию нового бизнеса или планированию маркетинговой кампании, программирование является творческой деятельностью. Так же как и техническим навыкам, креативности можно научиться и улучшать ее, постоянно практикуясь! Вооружит...

«Будет просто», — говорили они. Через тернии к ЭДО Вначале кажется, что электронный документооборот — это очень просто. Но с ростом компании и развитием сети контрагентов кратно увеличивается объём ЭДО. Здесь и выясняется, что идеально работавшие методы превращаются в головную боль. Меня зовут Юлия Ворожбицкая, старший анали...

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

Intel представила ускоритель ИИ Gaudi 3 На протяжении достаточно длительного времени в сети ходили слухи о том, что компания Intel планирует создать собственное аппаратное обеспечение для работы с искусственным интеллектом. А сегодня, к счастью, компания наконец представила свой собственный ускоритель для искусств...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

iPhone 15 Pro не справился с Genshin Impact Недавно на своей презентации компания Apple рассказала об игровых возможностях iPhone 15 Pro и iPhone 15 Pro Max. Тогда представители компании заявили, что оба флагмана могут запускать современные AAA-игры с трассировкой лучей, предоставляя геймплей на уровне игровых консоле...

U-Boat Worx разработала самую быструю частную субмарину в мире Субмарина Super Sub, разработанная голландской компанией U-Boat Works, стала самым быстрым в мире подводным судном, адресованным частным лицам. Подлодка выглядит невероятно фантастично и использует самые современные технологии.

Российские учёные создали терминал космической лазерной связи Специалисты Московского физико-технического института (МФТИ) разработали прототип компактного терминала лазерной связи для космических аппаратов. Такую установку можно использовать даже на малых аппаратах класса CubeSat, сообщила пресс-служба МФТИ. Лазерная система позв...

М.Видео: Нам всё равно 3 месяца и 40 обращений. Ровно столько времени и усилий мне потребовалось, чтобы прийти к выводу в заголовке статьи. Но статья – не жалобная книга. Опираясь на полученный опыт, я поделюсь с вами полезными советами, как решать проблемы с компанией М.Видео. Да и с другими ко...

Какую информацию современный автомобиль собирает о водителе и пассажирах Современный автомобиль — это не просто средство передвижения, а скорее компьютер на колёсах. Около половины его стоимости составляет электроника и софт: сенсоры, контроллеры, компьютерные компоненты и управляющее ПО. К сожалению, вслед за производителями телевизоров и друг...

МегаФон начинает продажи шести новых моделей телефонов под собственной торговой маркой МегаФон расширяет линейку кнопочных телефонов под собственным брендом Fontel до семи моделей. В 2024 году компания рассчитывает увеличить свою долю продаж в сегменте кнопочных аппаратов до 35% в розничных сетях российских телеком-операторов.

Apple может внедрить в свои будущие продукты технологии искусственного интеллекта Вместо использования облачных сервисов, гаджеты компании смогут использовать генеративные модели искусственного интеллекта на базе встроенного оборудования

48 лет вместе с Zilog Z80 Один из ранних экземпляров Zilog Z80 с датой выпуска в июне 1976 года. Геннадий Швец Создание Z80 — это усилия нескольких талантливых инженеров, пожелавших с нуля начать собственную компанию. Полвека назад микропроцессоры были новинкой, которую под силу было создать неболь...

Виртуальные соседи: запахи обманки для защиты растений от травоядных Природа планеты Земля уникальна, пока не доказано обратное. Флора и фауна сосуществуют в условиях хрупкого баланса. Существование некоторых видов животных напрямую зависит от тех или иных видов растений, и наоборот. Однако порой этот баланс нарушается, особенно если включи...

Обзор RangeVision Pro 2 быстрее, легче, точнее! В продажу поступил новый 3D сканер российского производства RangeVision Pro 2. В этой статье рассказываем о новинке и ее особенностях! RangeVision - российский производитель профессионального оборудования для 3D измерений. Компания разрабатывает и создает 3D сканеры метроло...

3D-технологии все ближе: осенние акции на оборудование от 3DVision Всем 3D-привет!3D-технологии становятся все более доступными и востребованными в различных отраслях промышленности. Именно поэтому сейчас самое время узнать о горячих осенних акциях 3DVision на оборудование для 3D-печати и сканирования. Начнем с осеннего предложения на промы...

Brilliant Labs Frame: умные очки на базе ИИ, причем всего за $350. Что это за девайс? Видеоочков в мире достаточно много, некоторые компании предпринимали попытки сделать их распространенными носимыми устройствами, но безуспешно. У Google был интересный проект Google Glass, когда умные очки не были похожи на маску лыжника. Они были достаточно удобны, можно бы...

MediaTek Dimensity 8300 Ultra оказался мощнее более дорогих конкурентов Компания MediaTek делает существенные шаги в разработке своих флагманских процессоров и моделей среднего класса для смартфонов, что не может не радовать, ведь отсутствие конкуренции может погубить рынок в целом. Например, процессорв DImensity 9300 выглядит впечатляющим решен...

МТС организовал конструкторское бюро для разработки автоэлектроники Российский оператор связи МТС создал компанию «МТС Айкар» с уставным капиталом в 10 млн рублей. Новая компания станет собственным конструкторским бюро МТС для разработки и внедрения электроники для автопрома, она войдёт в подразделение «МТС авто»...

Ноутбуки с двумя дисплеями становятся все дешевле: выпущена модель за $400. Возможности устройства За последние пару лет производители ноутбуков и смартфонов разработали устройства с гибкими дисплеями, а также двумя (и больше) экранами. Особо массовыми такие девайсы не стали, но своя аудитория у них уже появилась, судя по выходу все новых и новых моделей смартфонов с гиб...

«Билайн» запатентовал новый метод расчета и использования частотного ресурса для сети GSM, позволяющий улучшать сети LTE Оператор «Вымпелком» (торговая марка «Билайн») получил патент на специальный метод расчета и использования частотного ресурса для сети GSM, который получил название «Шахматное ЧТП» (частотно-территориальное планирование). Сгенерирова...

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

Первая китайская SoC автомобильного класса Geely Dragon Eagle-1 установлена на более чем 200 000 автомобилей 25 декабря компания SiEngine объявила, что ее 7-нанометровый чип автомобильного класса Dragon Eagle-1 теперь установлен на более чем 200 000 транспортных средствах с момента первого выпуска чипа в декабре 2021 года. На данный момент Dragon Eagle-1 можно найти в автомоби...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Действующие акции от 3Dtool в Феврале 2024! Всем привет, друзья! С Вами компания 3DTool!По традиции представляем вам АКЦИИ МЕСЯЦА! В честь получения нашей компанией официального статуса Эксклюзивного Дистрибьютера марки Snapmaker в России, мы продлеваем акции выгодных покупок на устройства данного производителя и пред...

Интернационализация от i до n: как мы переводим интерфейсы в Фантехе Яндекса Привет! Меня зовут Александр Поляков, я руководитель команды i18n-разработки в Фантехе Яндекса. Мы помогаем сервисам компании выходить на международные рынки, а именно решаем задачи, связанные с интернационализацией и локализацией интерфейсов.В этом посте расскажу:• какие им...

Урезанные ИИ-ускорители NVIDIA оказались не интересны целевой китайской аудитории Санкции, введенные Соединенными Штатами против Китая в октябре 2022 года, затруднили китайским компаниям покупку передовых чипов искусственного интеллекта у NVIDIA. Новые ограничения затронули даже чипы NVIDIA A800 и H800, которые были разработаны в соответствии с предыдущим...

Fairphone: не только модульный телефон, но и беспроводные наушники со сменным аккумулятором Современные беспроводные наушники-вкладыши хороши всем, кроме одного. Когда выходит из строя батарея, заменить ее зачастую может лишь опытный мастер. Но цена за ремонт, скорее всего, будет такой, что проще купить новый комплект. Это беда подавляющего большинства наушников...

Intel представила видеокарту Arc A580 Сегодня компания Intel официально представила новую видеокарту Intel Arc A580, которая является доступным решением начального уровня и способной конкурировать с видеокартой AMD RX 7600. Представители компании Intel даже заявили, что данная видеокарта на 6% мощнее прямого кон...

MES — вместо зоопарка автоматизаций на производстве В истории каждой производственной компании однажды наступает День Х момент качественного перехода. Сначала на предприятии появляются локальные островки автоматизации отдельных задач, функций, подразделений. Между этими островками продолжают курсировать люди, но уже не только...

Голопалуба: реализация технологии из сериала «Звездный путь» Современный мир сложно представить без технологий, которые его наполняют. Некоторые из них малозаметны, тогда как другие приковывают к себе внимание буквально всех и каждого. Одной из таких технологий является искусственный интеллект. Данное направление объединяет в себе м...

Huawei Pura 70 на 90% состоится из китайских деталей. Huawei движется к 100% Новый флагман Huawei, серия Pura 70, получил более 90% компонентов китайского производства, за исключением процессора и основной камеры самой дорогой модели. Разборка, проведенная японской исследовательской фирмой Fomalhaut Techno Solutions, показывает, что китайские по...

Необычный башенный кулер V8 3DVC с испарительной камерой и жидкостная СО G11. Cooler Master показала две производительных новинки Компания Cooler Master приурочила к выставке CES 2024 анонс парочки новых процессорных охладителей.   Первый называется V8 3DVC и представляет собой воздушную СО башенного типа, но не самую обычную. Его конструкция включает испарительную камеру, что, в совокупност...

Книга «Основы компиляции: инкрементный подход» Привет, Хаброжители! Компиляторы традиционно считаются одной из самых трудных для понимания и изучения тем. Обычно в книгах каждая глава посвящена отдельному проходу компилятора. Но такая структура не позволяет раскрыть, как языковые средства влияют на решения, принимаемые...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

США ввели новый пакет санкций против Китая за поставки в Россию и Иран компонентов для ударных БПЛА Однако, по мнению экспертов, Пекин уже подготовился к новому раунду американских рестрикций и сможет преодолеть любые проблемы

Intel тоже хочет свою технологию создания «лишних» кадров в играх. Компания уже работает над ExtraSS Компания Intel достаточно успешно вышла на рынок дискретных настольных видеокарт. Её модели Arc пока не обрели какой-то заметной популярности, но постоянная доработка драйверов и снижение цен сделали их весьма привлекательными. Сейчас Intel работает над своей технологие...

Snapdragon X Elite позволяет играть в Baldur’s Gate 3 Компания Qualcomm обещала, что их новая платформа на базе ARM сможет без проблем запускать большинство игр для Windows. И по факту, трансляция между архитектурой X86 и ARM эффективно работает не только для приложений Windows, а и для игр, использующих DirectX, или Vulka...

Технологии мобильной связи развиваются. В Китае запустили 5.5G — что это за стандарт? Во всём мире активно развёртывают инфраструктуру мобильной связи 5G. В то же время различные компании ведут разработку 6G-технологий, реализуются и промежуточные проекты. Речь идёт прежде всего о сетях 5.5G, или 5G-Advanced. В Китае уже начали практическое освоение этого тип...

После очередного запрета на поставки полупроводников Huawei Китай обвинил США в экономическом запугивании и нарушении глобальных цепочек США прибегают к экономическому запугиванию, ужесточая меры экспортного контроля за поставками полупроводников в Китай, о чем заявил пресс-секретарь китайского посольства в Вашингтоне Лю Пэнъюй. «"США усиливают контроль за экспортом полупроводников в Китай и з...

Vivo представила уже третий смартфон V30 Lite Сегодня был официально анонсирован новый смартфон Vivo V30 Lite, который интересен не только своими характеристиками, но и подходом производителя к формату названия своих новинок. Дело в том, что данный гаджет был представлен в Камбодже и это уже третий смартфон с одним и те...

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

Распланировать бюджет компании и не поседеть: как мы автоматизировали процесс, который не любит никто  Так выглядит стартовая страница. Цифры и имена на всех скринах выдуманы, даны для наглядности. Детали интерфейса разберем подробнее ниже. Любой бизнес, хоть кофейня, хоть компания по производству космических шаттлов, планирует ресурсы и считает, что во сколько обойдется. А...

Яркость, контрастность и дистанция до 150 метров: Cactus представил новую линейку проекторов Бренд расходных материалов и офисного оборудования Cactus представил новое семейство проекторов, в которое входит пять моделей. От прежних устройств (например, того же Cactus PRM.05B) их отличают проекционная система, яркость, контрастность и акустические...

США требуют от Нидерландов ввести полный запрет на обслуживание литографических систем ASML в Китае Американская администрация оказывает давление на Нидерланды, требуя запретить голландской компании ASML обслуживать в Китае оборудование по производству микросхем.

Компанию Nvidia обвинили в том, что компания превратилась в картель GPU и не терпит, когда её клиенты обращаются к конкурентам Похоже, компания Nvidia действительно ведёт себя достаточно агрессивно со своими партнёрами, если речь заходит о сотрудничестве этих самых партнёров c конкурентами Nvidia.  создано DALL-E Компания Groq, недавно представившая впечатляющий своей производительностью ...

В «ТехноСпарке» собрали самый мощный экзоскелет в России Столичная компания TEN group (входит в контур компаний группы «ТехноСпарк») приступила к производству экзоскелетов с номинальной грузоподъемностью до шестидесяти килограмм, с помощью которых работники предприятий смогут поднимать, переносить и удерживать предметы.

В России создали бионический коленный протез с микропроцессорным управлением Московское производственное объединение «Металлист» госкорпорации Ростех разработало бионический коленный модуль «Комета» со встроенным микропроцессором. Предсерийный образец устройства впервые был представлен на национальном форуме «Надежд...

Закупки ИИ растут в объёмах и конкуренции Электронная площадка Росэлторг провела анализ государственных и корпоративных закупок в сфере искусственного интеллекта (ИИ) за последние два года. Для исследования учитывались процедуры с упоминанием искусственного интеллекта; машинного и глубоког...

Как определить рак за несколько минут — ученые нашли ответ Ученые разработали искусственный интеллект. который определяет рак за 5 минут до появления первых симптомов болезни Одна из причин, по которой онкологические заболевания приводят к летальному исходу, заключается в том, что чаще всего они диагностируются на поздних стадиях. П...

[Перевод] Когда Zig круче Rust – массивы перечислений, позволяющие сэкономить память Перечисления (или размеченные объединения), отличающиеся вариативностью и, следовательно, размером, провоцируют в Rust серьёзную фрагментацию памяти. Дело в том, что нам приходится выделять достаточно данных, чтобы их хватило на самый крупный вариант. Читать далее

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)