Социальные сети Рунета
Вторник, 21 мая 2024

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Samsung хочет возглавить рынок объемом 100 млрд долларов. Чипы 3D DRAM могут иметь ёмкость до 100 ГБ Компания Samsung Electronics нацелилась на то, чтобы стать лидером на рынке памяти 3D DRAM. Это объявление было сделано на конференции Memcon 2024, где компания представила ряд новинок. Поскольку ожидается, что во второй половине этого производство DRAM будет вестись по...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Samsung представит смартфон с собственным генеративным ИИ На днях Samsung Electronics огласила результаты третьего квартала 2023 финансового года. А теперь стало известно, что на конференции, посвящённой квартальному отчёту, компания раскрыла свои планы относительно использования генеративного искусственного интеллекта в смарт...

Сможет ли IPFS полностью заменить HTTP? Меня зовут Виталий Киреев, я руководитель R&D в SpaceWeb. В начале прошлого года мы внедрили IPFS-технологию в работу своего хостинга, и все наши клиенты получили возможность размещать контент в IPFS-сети. Решились на такой шаг не сразу: IPFS — технология пока еще экспер...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Использование 3D печати в разных отраслях 3D оборудование постепенно становится все более доступным и завоевывает популярность не только у любителей, но и в производственной среде. 3D печать используется для производства продуктов в разных отраслях - здравоохранении, моде, автомобильной и строительной промышленности...

Это новый этап для «Москвича»: робот «Винни» помогает при мелкоузловой сборке автомобилей Завода «Москвич» в своем официальном телеграм-канале представил робота, который используется при мелкоузловой сборке автомобилей.  Совсем скоро на заводе будет запущена мелкоузловая сборка автомобилей. Один из ключевых участников нового технологическог...

Ждём Cyberpunk 2077 на смартфонах? Qualcomm и Samsung собираются использовать технологию апскейла AMD FSR Технология суперсемплинга AMD FSR, как известно, является открытой и поддерживается условно любым GPU. Как сообщается, FSR в обозримом будущем может появиться и на смартфонах.  Инсайдер Revegnus говорит, что Samsung и Qualcomm решили сотрудничать с AMD для реализа...

Intel тоже хочет свою технологию создания «лишних» кадров в играх. Компания уже работает над ExtraSS Компания Intel достаточно успешно вышла на рынок дискретных настольных видеокарт. Её модели Arc пока не обрели какой-то заметной популярности, но постоянная доработка драйверов и снижение цен сделали их весьма привлекательными. Сейчас Intel работает над своей технологие...

Собственные чат-бот Apple GPT и большая языковая модель Apple отстают от ChatGPT и Gemini Bloomberg отмечает, что собственная технология генеративного искусственного интеллекта Apple отстает от конкурентов. Сотрудники Apple тестировали собственного чат-бота под названием Apple GPT, кроме того, у компании есть собственная большая языковая модель под кодовым н...

Apple отказалась от новых процессоров в iPhone В настоящее время компания Apple использует технологический процесс в 3 нм от компании TSMC для нескольких своих чипов, и компания вполне может использовать этот производственный процесс в течение нескольких последующих поколения смартфонов iPhone, так как особого смысла рва...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Apple готовит процессор на 2 нанометрах В сентябре текущего года на фирменном мероприятии компания Apple анонсировала новые модели iPhone 15 Pro с флагманским чипом A17 Pro. Это было достаточно интересное решение, так как стандартные модели без индекса Pro всё ещё оснащены чипом A16 Bionic и производятся по старом...

Новые iPhone будут лучше ловить сигнал: Apple подписала соглашение с UMC на поставку новых чипов Компания Apple подписала соглашение с новым производителем чипов, о чем пишет gizmochina со ссылкой на источники из цепочки поставок. Согласно свежим данным, UMC заключила контракт с Apple на производство ключевых чипов для будущих. Эти чипы будут интегрированы в констр...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Это как Nvidia DLSS, только для всей Windows. В операционной системе обнаружили упоминание функции Super Resolution Похоже, в Windows 11 вскоре появится собственная интегрированная технология апскейлинга Super Resolution.  Её существование обнаружили в инсайдерской сборке обновления Windows 11 24H2, которое выйдет позже в этом году.   Соответствующий пункт находится в нас...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

В России впервые создали технологию и установку по производству филамента для ракетно-космической и авиационной отраслей В России впервые разработали опытно-промышленную технологию изготовления филамента — материала, используемого для 3D-печати — из непрерывного углеродного волокна на основе термопластов. Кандидат технических наук, заведующий лабораторией «Полимерные ком...

В Windows появится свой аналог DLSS и FSR. Технологию Microsoft DirectSR представят уже через месяц Технология Microsoft DirectSR (Super Resolution) будет представлена на мероприятии GDC 2024, которое стартует через месяц.  Напомним, о технологии стало известно пару недель назад, а теперь информация появилась непосредственно на сайте GDC. DirectSR представляет с...

12,9-дюймовый iPad Air появится в начале 2024 года, а OLED-модели iPad Pro с чипами M3 выйдут во втором квартале. Прогноз Минг-Чи Куо В своем последнем прогнозе Минг-Чи Куо предсказывает, что Apple компенсирует отсутствие изменений в линейке iPad в этом году, обновив все существующие модели, начиная с обновленного 10,9-дюймового и нового 12,9-дюймового iPad Air с новыми чипами, запланированными к масс...

AMD FSR 3 работает даже на старых видеокартах На прошлой неделе была представлена технология AMD FSR 3, которая считается невероятно важной в сегменте апскейлинга изображения, потому что данная технология способна выполнять поставленные задачи на любом аппаратном обеспечении. Например, в играх Forspoken и Immortals of A...

Представлен жёсткий диск Seagate Exos емкостью 30 ТБ с технологией Mozaic 3+. На подходе модели 50 ТБ+ Seagate представители новый жесткий диск Exos емкостью 30 ТБ, использующий собственную технологию Mozaic 3+. Exos 30 TB — это устройство корпоративного уровня, Ключ к такой высокой плотности лежит в нескольких технологических достижениях. Mozaic 3+ заменяет традиц...

Представлены OLED-мониторы Samsung Odyssey нового поколения Компания Samsung Electronics представила новое поколение OLED-мониторов Odyssey, которые можно будет увидеть вживую на выставке CES 2024 в Лас-Вегасе на следующей неделе. Линейка будет включать модели: Odyssey OLED G9 (модель G95SD); Odyssey OLED G8 (модель G80SD)...

Компания NVIDIA анонсировала чип Blackwell Согласно пресс-релизу NVIDIA, новые чипы Blackwell предлагают значительное улучшение производительности и энергоэффективности. Чипы Blackwell в 7-30 раз быстрее предшественников H100 и потребляют в 25 раз меньше энергии. Это достижение стало возможным благодаря использованию...

Intel показала, чем будет завоёвывать рынок искусственного интеллекта. Компания продемонстрировала ускоритель Gaudi3 Компания Intel не только заявляет, что «вся индустрия заинтересована в ликвидации рынка Nvidia CUDA», но и продолжает разрабатывать собственные ускорители для ИИ. Сегодня Intel продемонстрировала модель Gaudi3, которая должна выйти в следующем году.  ф...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

iPad Pro получит стеклянную панель и OLED-матрицу В последнее время компания Apple испытывает трудности с прибылью, поскольку доход от продаж iPad снизился почти на 10 процентов от года к году. Ожидается, что этот тренд сохранится, так как Apple не смогла показать каких-либо существенных инноваций в этом направлении, а план...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

LG создала дисплей, который скрывает изображение от водителя, и экран во всю переднюю панель, как у Mercedes-Benz EQS Южнокорейский технологический гигант LG посетит Международную выставку потребительской электроники CES в Лас-Вегасе, США, 9 января, представив серию новых информационно-развлекательных систем, в том числе автомобильный экран для переднего пассажира. Его особенностью ста...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Это первые наушники Xiaomi открытого типа. Официальные изображения и старт приёма предзаказов Xiaomi готовится расширить линейку своей продукции выпуском первых наушников открытого типа. Компания подтвердила, что эти наушники дебютируют на предстоящей конференции, назначенной на 10 апреля, где основное внимание будет уделено Redmi Turbo 3. На мероприятии также б...

Экран без вырезов и отверстий, ярче и контрастнее, чем у iPhone 15 Pro. Новинку Nubia Z60 Ultra показали на живых фотографиях Kuai Technology сообщила, что смартфон Nubia Z60 Ultra будет официально представлен 19 декабря этого года. Nubia Z60 Ultra будет использовать технологию подэкранной камеры, которая позволяет отказаться от вырезов и отверстий в дисплее. Также было опубликовано сравнение...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Nintendo Switch 2 получит трассировку лучей от NVIDIA Вокруг игровой приставки Nintendo Switch 2 и её потенциальных возможностей ходят весьма интересные слухи, но есть большая вероятность, что это преувеличения. Например, есть мнение, что новая консоль Nintendo будет опережать по производительности PlayStation 5 и Xbox Series X...

Microsoft представила DirectSR API, упрощающий интеграцию технологий масштабирования в игры Microsoft анонсировала DirectSR — новый API-интерфейс Windows, с помощью которого разработчикам игр будет значительно проще интегрировать технологии масштабирования изображения (Super Resolution) в свои проекты. Компания считает, что DirectSR API является «недостающим звено...

Microsoft представила Surface Laptop с обновлённым дизайном и процессором Snapdragon X Изображение с портала The Verge Как и ожидалось, на сегодняшней конференции Microsoft представила новое поколение ноутбука Surface Laptop, которое впервые в линейке построено на ARM-процессорах. Это один из первых ноутбуков с маркировкой Copilot+. Компания предложит покупа...

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Samsung установит в Galaxy S25 сенсор от Sony Нужно понимать, что компания Samsung активно использует технологии из своих предыдущих смартфонов в новых флагманах, потому что в некоторых областях развитие технологий не настолько заметное, чтобы каждый год демонстрировать что-то невероятное. Например, серия смартфонов Gal...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

BMW представляет новейшие автомобильные технологии: искусственный интеллект, поддержка геймпадов Xbox и PlayStation, автопарковщик следующего поколения Компания BMW во время выставки CES покажет последние достижения компании в области умных кабин, умного вождения и других областях. Согласно официальному сообщению, BMW создает новое поколение персонального помощника, которое будет умнее предыдущего. Оно основано на техн...

Представлена новая технология солнечных батарей, основанная на свете в помещении Разработчиками была представлена новая технология солнечных батарей, предназначенная для подзарядки таких устройств, как пульты дистанционного управления, за счет использования окружающего света в помещении. Технология была продемонстрирована калифорнийской компанией Ambien...

Автомобили, созданные с использованием 3D-печати, набирают популярность Поскольку автомобили состоят из различных материалов, напечатанные детали широко используются там, где требуется пластик или металл. Для компонентов, предназначенных для конечного использования, автопроизводители в основном используют технологию наплавления (FDM) для создани...

be quiet! представляет серию Pure Wings 3: прогрессивные, производительные и тихие вентиляторы Оптимизированная рамка вентилятора обеспечивает еще более высокую производительность при использовании с радиаторами, а новая конструкция лопастей и измененный угол атаки воздуха обеспечивают более высокое давление воздуха. Pure Wings 3 доступен в двух размерах (120мм и 14...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Nvidia и Amazon создадут суперкомпьютер Ceiba с производительностью 65 ExaFLOPS. Но это производительность не FP32 или FP64 Компании Nvidia и Amazon Web Services (AWS) объявили о расширении своего стратегического сотрудничества, в рамках которого в том числе будет построен самый быстрый суперкомпьютер искусственного интеллекта Ceiba.  Ceiba получит 16 384 системы GH200 Superchips и буд...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

A18 Pro от Apple обещает значительное улучшение производительности по сравнению с A17 Pro Предстоящий процессор A18 Pro от Apple, который, по слухам, будет использоваться в iPhone 16 Pro и iPhone 16 Pro Max, обещает значительное улучшение производительности по сравнению с предыдущей версией A17 Pro

Оказывается, новые процессоры Intel поддерживают уникальную функцию APO, ускоряющую игры на 20-30% Процессоры Intel Core 14-го поколения (Raptor Lake Refresh) не могут похвастаться новой архитектурой или техпроцессом, они не поддерживают Thunderbolt 5, но оказалось, что они всё же могут предложить пользователям кое-что, чего нет у предшественников. Речь о функции Int...

Hyundai и Kia представили шины с выдвижными цепями противоскольжения Hyundai Motor Company и Kia Corporation представили новую технологию шин с интегрированными цепями противоскольжения, которая упрощает безопасность вождения в зимних условиях. В технологии шин со встроенной цепью противоскольжения используются модули из сплава с памятью...

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

WD представляет новые SSD SN5000S на базе памяти QLC. Компания Western Digital анонсировала запуск своего нового SSD накопителя SN5000S на базе революционной технологии QLC, который обещает не только высокую скорость, но и улучшенную производительность по сравнению с предшественниками на TLC памяти. Этот шаг может кардинально и...

Windows 12 может быть ОС по подписке Хотя в сообществе энтузиастов Windows это было догадкой, новая утечка, похоже, еще больше подтверждает, что операционная система Microsoft следующего поколения может быть основана на подписке. Ресурс Deskmodder заметил несколько таких записей, связанных с подпиской, в файле ...

Наконец-то беспроводные наушники будут поддерживать Lossless. Представлены SoC Qualcomm S7 и S7 Pro Gen 1 с поддержкой Micro-power Wi-Fi Компания Qualcomm представила однокристальные системы Qualcomm S7 и S7 Pro Gen 1, которые должны существенно изменить рынок беспроводных наушников.  Новинки представляют собой звуковые платформы, главной особенностью которых является поддержка технологии, которую ...

BMW и GM инвестировали в технологию 3D-печати с целью повышения эффективности производства Недавно GM объявила о приобретении компании TEI, одного из основных участников разработки технологии гигалитья, используемой Tesla, благодаря которой крупные структурные компоненты могут быть отлиты одним куском, а не собраны из сотен более мелких. Примерно в то же время ком...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Процессор Intel полувековой давности. 50 лет назад компания представила Intel 8080 Полвека назад компания Intel представила процессор Intel 8080.   12 апреля 1974 года в журнале Electronics была опубликована фактически анонсирующая статья Масатоши Симы и Федерико Фаггина о новом CPU компании.   Новый процессор содержал около 4500 транзистор...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Единственный флагман без вырезов и отверстий, с IP68 и топовой камерой стал еще лучше. Представлен Nubia Z60 Ultra Photographer's Edition Новый смартфон Nubia Z60 Ultra Photographer's Edition поступит в продажу 28 марта, о чем заявила Nubia Mobile. В компании заявили, что специальная версия Nubia Z60 Ultra Photographer's Edition отличается от стандартной дизайном задней панели. Кроме того, эта ве...

3D-монитор с объемным звуком без очков и наушников. Представлен Acer SpatialLabs View Pro 27 Компания Acer представила новый монитор SpatialLabs View Pro 27, который получил поддержку 3D-изображения и объёмного звука. Устройство способно создавать трехмерный эффект без использования специальных очков. Acer SpatialLabs View Pro 27 — это холст для творцов ...

Ядро Cortex-X5 сможет наконец-то догнать ядра в SoC Apple? Прирост производительности у Cortex-X5 будет самым большим за пять лет Следующее процессорное суперъядро Arm Cortex-X может оказаться настолько производительным, что догонит специальные реализации на основе архитектуры Arm.  создано DALL-E Отчёт исследовательской компании Moor Insights and Strategy утверждает, что прирост производите...

Microsoft сегодня, 20 мая, ответит OpenAI и Google. Компания покажет новые устройства и функции искусственного интеллекта Microsoft планирует анонсировать несколько аппаратных и программных решений в понедельник, 20 мая, на мероприятии в своем кампусе в Редмонде, штат Вашингтон. Как пишет Reuters, ожидается, что производитель Windows представит новую версию своего планшета Surface Pro и но...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Cognizant внедрила технологию Just Walk Out от Amazon в Canberra Institute of Technology Student Association Cognizant, одна из ведущих в мире компаний по предоставлению профессиональных услуг, объявила о своем избрании Canberra Institute of Technology Student Association (CITSA) на роль провайдера услуг в области системной интеграции и платежной системы для внедрения технологии ко...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Это новый Range Rover, который потягается с Porsche Macan EV. Первые живые фото новинки Один из грядущих внедорожников Jaguar Land Rover следующего поколения впервые был замечен в ходе тестов. Низкая посадка автомобиля предполагает, что он больше предназначен для использовании на асфальтированных дорогах. Предположительно, что эта модель станет преемником...

В России представлен Xgimi Horizon Ultra – первый в мире длиннофокусный проектор 4К с технологией Dual Light и поддержкой Dolby Vision Xgimi представляет на российском рынке новый длиннофокусный проектор Xgimi Horizon Ultra с разрешением 4К, технологией Dolby Vision и аудиосистемой Harman Kardon. В новом проекторе впервые используется технология Dual Light, которая объединяет в себе два источника света...

Технология AMD FSR 3 уже доступна в игре Starship Troopers Компания AMD внедрила свою технологию FSR 3 в игру Starship Troopers: Extermination, которая представляет собой кооперативный шутер от первого лица.

Графика Intel догонит Nvidia RTX 40 по эффективности генерации кадров в играх Intel намерена укрепить свои позиции на игровом рынке благодаря новой технологии генерации кадров ExtraSS для XeSS, представленной на выставке SIGGRAPH Asia 2023. Позиционируемая как конкурент Nvidia DLSS 3 и AMD FSR 3, технология XeSS представляет собой аппаратно-агностичес...

Apple запатентовала 3D-дисплеи В последнее время индустрия технологий не перестаёт удивлять, и одним из таких удивительных нововведений стало объявление Apple о патентовании технологии 3D-дисплеев. Эта технология представляет собой новый подход к визуализации контента, который может изменить восприятие.

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Компания LG представляет первый в мире беспроводной прозрачный телевизор LG OLED Компания LG Electronics (LG) представляет первый в мире беспроводной прозрачный телевизор LG OLED на выставке CES 2024. LG SIGNATURE OLED T — настоящее технологическое чудо, сочетающее в себе прозрачный 4K OLED экран и беспроводную технологию передачи видео и аудио от LG, п...

Новая технология охлаждения улучшает производительность MacBook Air Компания Frore Systems из Сан-Хосе представила инновационную технологию охлаждения AirJet Mini, которая значительно увеличивает производительность MacBook Air с чипом M2

NVIDIA может использовать одну технологию для производства игровых и серверных GPU Blackwell Ожидаются значительные улучшения в кэш памяти 1-го уровня.

Новые Subaru получат технологии Toyota. Следующий Forester станет «другим гибридным электромобилем с горизонтально-оппозитным двигателем» Subaru официально подтвердила, что производство нового Forester будет вестись в Соединенных Штатах. Модель шестого поколения будет собираться в Индиане на заводе в Лафайете. Выпуск седана Legacy на данном предприятии прекратится после 2025 модельного года. Subaru также ...

Gemini AI теперь доступен в Google Chrome для создания эффективного контента Google Chrome получил новую функцию  — генератор письма с искусственным интеллектом. По своей сути этот инструмент на базе Gemini, он представляет собой функцию «Помоги мне написать», встроенную в Gmail, но расширенную на всю сеть и основанную на ...

Apple сильно модернизирует SIri, чтобы «превратить её в идеального виртуального помощника» Инсайдер, известный в Twitter под ником Revegnus, поделился эксклюзивной информацией о том, что Apple полностью изменит и улучшит голосовой помощник Siri. Изображение Midjourney Хорошие новости: Apple в настоящее время использует LLM, чтобы модернизировать Siri и превр...

Apple может внедрить в свои будущие продукты технологии искусственного интеллекта Вместо использования облачных сервисов, гаджеты компании смогут использовать генеративные модели искусственного интеллекта на базе встроенного оборудования

Самый тонкий продукт Apple в истории. Представлен новый iPad Pro на базе Apple M4, с OLED-дисплеем Ultra Retina XDR и улучшенными камерами Apple представила обновленные модели iPad Pro на базе однокристальной системой Apple M4, которые оснащены OLED-дисплеями Ultra Retina XDR. Новый iPad Pro получил более тонкий корпус и экраны диагональю 11 и 13 дюймов. Толщина 11-дюймовой модели составляет 5,3 мм, а у 13...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Huawei Pura 70 на 90% состоится из китайских деталей. Huawei движется к 100% Новый флагман Huawei, серия Pura 70, получил более 90% компонентов китайского производства, за исключением процессора и основной камеры самой дорогой модели. Разборка, проведенная японской исследовательской фирмой Fomalhaut Techno Solutions, показывает, что китайские по...

LG Display представила панель MLA-OLED нового поколения с рекордной яркостью LG Display, один из двух крупнейших в мире поставщиков дисплеев, на выставке CES 2024 в январе анонсировал на закрытой встрече планы по выпуску новых панелей OLED, а детали стали известны только сейчас. По данным IT Home, LG Display и Samsung Display представили панели ...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

В iPhone 16 появятся функции на базе ИИ Если вы следите за рынком высоких технологий, то заметит, что в последнее время крупные компании делают акцент не на производительности своих смартфонов, а на технологиях, которые основываются на искусственном интеллекте. Например, у Samsung появилось приложение, которое мож...

V8 и V12 останутся еще надолго: Aston Martin будет выпускать машины с ДВС пока это будет разрешено законом Aston Martin отложила свои планы по выпуску своего первого электромобиля с 2025 на 2027 год и соответственно увеличила инвестиции в гибридную технологию. По словам исполнительного председателя Aston Martin Лоуренса Стролла, «всегда будет спрос» на автомобили...

Эта технология сдала тест на получение водительских прав в США. Hyundai вложит около $1 млрд в Motional Hyundai Motor Group объявила об инвестировании почти 1 миллиарда долларов в стартап по автономному вождению Motional для исследований и разработок в области технологий автономного вождения. Hyundai Motor заявила: «Эти инвестиции направлены на получение стабильного...

К сожалению, это память не для игровых видеокарт. Samsung обойдёт Hynix и представит HBM4 раньше Память HBM становится всё более важной для рынка, так как именно её используют ускорители для ИИ. Компании Samsung и Hynix рассказали, когда стоит ожидать новую память HBM4.   Первой должна быть Samsung. Она готова выпустить HBM4 уже в следующем году. Это будут 16...

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Почему складные телефоны такие дорогие и станут ли они дешевле Что вы знаете о складных смартфонах? Наверное, первое, что приходит в голову — их стоимость. Они действительно очень дорогие на фоне остальных устройств, и поэтому до сих пор не стали массовыми. Но, как говорится, еще не всё потеряно, и знаком того, что они скоро подешевеют...

Samsung хочет создать датчик изображения с собственным искусственным интеллектом Компания Samsung, как сообщается, предположительно, работает над новым датчиком изображения с интегрированным искусственным интеллектом.   Для этого датчик нужно оснастить собственным микропроцессором и собственной памятью, но такие решения мы уже видели у Sony. &...

Apple может выпустить новый Vision Pro с RGB OLEDoS в 2027 году Будущая версия Apple Vision Pro будет использовать технологию RGB OLEDOS (OLED на основе кремния) и станет значительным обновлением по сравнению с текущей версией

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Представлен Surface Go 4 на базе процессоров Intel N200 Если вы следили за сегодняшним мероприятием Microsoft, то наверняка обратили внимание, что компания ничего не рассказала о Surface Go 4. Дело в том, что новинка ориентирована исключительно на бизнес-клиентов, да и название у неё соответствующее — «Surface Go 4 for Business»...

Apollo Hospitals впервые в Южной Азии представила ZAP-X, меняя лечение опухоли мозга Apollo Hospitals стала первой больницей, внедрившей платформу гироскопической радиохирургии ZAP-X, став первой в Южной Азии, внедрившей эту новаторскую технологию. ZAP-X открывает новую эру в лечении опухолей головного мозга, предлагая пациентам неинвазивную, безболезненную ...

AMD концентрируется на серверных и мобильных процессорах? Компания пообещала в первую очередь именно их во второй половине года Комментируя результаты прошедшего финансового квартала, глава AMD Лиза Су (Lisa Su) рассказала о планах компании на текущий год.  В частности, нас точно ждут новые процессоры на архитектуре Zen 5, причём сразу в нескольких сегментах. Во втором полугодии AMD выпуст...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Mercedes-Benz первой в мире оснастила свои машины бирюзовыми габаритными огнями. И в этом большой смысл Mercedes-Benz первой в мире внедрила в свои машины бирюзовые габаритные огни. Соответствующее разрешение компания получила от регулятора США, но действует оно пока только в двух штатах (Калифорнии и Неваде), так как новшество пока еще не закреплено на законодательном ур...

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

NVIDIA представила технологию G-SYNC Pulsar Компания NVIDIA представила новое поколение технологии переменной частоты обновления Variable Refresh Rate (VRR), которая значительно увеличивает резкость изображения в динамических сценах благодаря мерцанию с переменной частотой.

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Представлен телевизор Hisense Mural с 10 динамиками мощностью 75 Вт Компания Hisense представила новый телевизор Hisense Mural TV 75R8K, который уже доступен для предварительного заказа на популярной площадке JD.com. Официальные представители заявили, что этот телевизор имеет новую крепёжную конструкцию, которая позволяет повесить его, ...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

ADATA представляет новую технологию охлаждения памяти DDR5 XPG начинает применять новую технологию термического покрытия печатных плат (PCB) для разогнанной памяти, эффективно снижая её температуру более чем на 10%. Эта технология термического покрытия печатных плат будет внедрена во втором квартале в высокопроизводительной разогн...

Xinhua Silk Road: Shaanxi Blower Group на Hannover Messe 2024 представила свои новейшие технологии В Ганновере прошла Международная выставка инноваций и промышленных технологий Hannover Messe 2024. В этом году выставка привлекла около 4.000 участников из около 60 стран и регионов мира, причем 30% от их общего числа составили китайские экспоненты. Компания Shaanxi Blower (...

iPhone 16 Pro Max получит передовой сенсор Sony Текущий флагманский смартфон iPhone 15 Pro Max сохранил тот же 48-мегапиксельный основной сенсор, который использовался в том числе в iPhone 14 Pro Max, но инженеры компании значительно его улучшил, чтобы новый флагман снимал лучше предыдущего. Теперь инсайдеры сообщают о то...

В Россию едет новый бренд Sinomach с внедорожником Paladin Компания Sinomach Automobile собирается выйти на рынок легковых автомобилей в России, как сообщает информационный портал «Газета.Ru», ссылаясь на представителей китайского предприятия в России. Представители Sinomach сообщили, что первой моделью, предназначе...

Intel 10A представят в 2028 году Сегодня компания Intel официально анонсировала передовой технологический процесс под названием 10A (на деле это 1 нм) — производитель планирует его внедрение примерно в 2028 году, но пока что это только предположение. Кроме того, стоит понимать, что значит, что компания Inte...

iPad mini 7 может получить 8,7-дюймовый OLED-дисплей На данный момент большинство аналитиков и инсайдеров уверены в том, что Apple взяла курс на перевод своих устройств на OLED-дисплеи. Первыми подобные экраны должны появиться в iPad Pro уже в следующем году. Однако компания планирует внедрить OLED-дисплеи и в другие свои план...

«Совершенно новые впечатления от экрана», — представлен LG Signature OLED T, который выйдет в этом году LG представила перед началом выставки Consumer Electronics Show в Лас-Вегасе новый телевизор LG Signature OLED T, заявив, что это не очередной концепт, а реальное устройство, которое поступит в продажу во второй половине года. Издание digitaltrends подтвердило, что озн...

Больше не Nokia: HMD Global выпустит собственные смартфоны в апреле по «агрессивной» цене Компания HMD Global владеет лицензией на продажу телефонов под брендом Nokia по всему миру. Хотя изначально у компании был хороший старт, продажи постепенно падали из-за непоследовательной стратегии по запускам и высоких цен. Теперь HMD Global собирается выпускать смарт...

Теперь и видео в YouTube можно будет улучшать посредством апскейла. Технология AMD FSR станет доступна в такой форме в ближайшее время Nvidia DLSS так не умеет. AMD наконец-то выпустит программный генератор кадров AFMF в финальной версии драйвера Компания AMD собирается расширить работу своей технологии суперсемплинга FSR за пределы игр. В ближайшее время FSR сможет повышать разрешение не только в игр...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Samsung дразнит новинками Galaxy на самом большом в мире светодиодном экране MSG Sphere в Лас-Вегасе Компания Samsung совместно с Marvel Studios запустила новую рекламу на гигантском светодиодном дисплее MSG Sphere в Лас-Вегасе. Реклама посвящена второму сезону сериала «Что, если…?», а также презентации новых устройств Galaxy. «Мы от...

Новые iPad и Mac выйдут в марте По словам Марка Гурмана из Bloomberg, Apple, скорее всего, выпустит новые модели iPad Air, iPad Pro и MacBook Air примерно в конце марта. В свежем выпуске Power On он сообщил, что производство новых моделей iPad Pro и нового 13-дюймового MacBook Air уже идет полным ходо...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Toshiba показала жесткие диски емкостью более 30 ТБ с технологиями HAMR и MAMR Toshiba продемонстрировала новое поколение жестких дисков (HDD) с емкостью более 30 ТБ с использованием двух технологий записи: магнитной записи с тепловым усилением (HAMR) и магнитной записи с микроволновым усилением (MAMR).

Яндекс представил бизнесу технологии для разработки собственной навигации API Яндекс Карты выпустили NaviKit SDK — новое дополнение программной библиотеки для мобильных приложений. Оно позволяет создать собственный автомобильный навигатор для Android и iOS на базе картографических и навигационных технологий Яндекса. С NaviKit можно адаптировать по...

Сначала судились, а теперь объединились. Nikon покупает RED — производителя известных кинокамер Компания Nikon сегодня объявила о приобретении компании RED — известного производителя кинокамер. Сумма сделки не названа, Nikon лишь заявила, что RED станет дочерней компанией, но будет находиться в полной собственности. В настоящее время в RED работает около 220...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

Это как будто бы Nvidia, AMD и Intel объединили DLSS, FSR и XeSS. Microsoft рассказала, что такое DirectSR, который будет интегрирован в Windows 11 Компания Microsoft рассказала, что такое DirectSR, о котором мы узнали буквально на днях.   Оказалось, что это не какая-то новая технология апскейла от самой Microsoft, а нечто даже более интересное.   Microsoft DirectSR представляет собой API, который позвол...

Многофункциональный NFC, ИК-излучатель и удобный переключатель Alert Slider – все это получит OnePlus 12 OnePlus продолжает делиться подробностями о флагманском смартфоне OnePlus 12. На этот раз в тизерных изображениях компания акцентировала внимание на NFC, ИК-излучателе и фирменном переключателе Alert Slider. Создатели говорят, что и ИК-излучатель, и чип NFC «разр...

Посадку на поезда по биометрии в России протестируют уже в начале 2024 года Гендиректор Центра биометрических технологий (ЦБТ) Владислав Поволоцкий заявил, что ЦБТ и РЖД планируют в первом полугодии 2024 года в закрытом режиме провести эксперимент по посадке на скоростные поезда дальнего следования при помощи биометрии. «С коллегами из РЖ...

Apple ID — всё? Компания думает над ребрендингом Похоже, Apple готовится переименовать один из своих весьма известных брендов. Как сообщается, Apple ID будет переименован в Apple Account.  Пока Apple лишь «экспериментирует» над ребрендингом, но новое имя может быть представлено позже в этом году. Впо...

Щековая дробилка: Эффективное решение в промышленности Щековая дробилка находит широкое применение в различных отраслях промышленности, включая горнодобывающую, строительную, химическую и металлургическую. Используется для дробления различных материалов, таких как камень, руда и другие твердые породы. Это один из наиболее востре...

Гигант стейблкоинов Tether ставит на будущее нейротехнологий и вкладывает $200 млн в стартап, занимающийся нейроинтерфейсами Tether Holdings Ltd., эмитент крупнейшего стейблкоина USDT, объявила о масштабной инвестиции в размере $200 миллионов в Blackrock Neurotech, компанию из Солт-Лейк-Сити, специализирующуюся на разработке передовой технологии интерфейса «мозг-компьютер». Инвест...

«Некоторые из наших клиентов не поверили данным, пока не проверили их на практике». Память HBM3 Gen2 уже тестируется Nvidia Компания Micron уже начала поставки памяти HBM3 Gen2 для Nvidia, и результаты тестирования, похоже, очень впечатляющи.  Память поставляется пока лишь для тестирования: массовое производство ещё, видимо, не началось. Однако уже сейчас понятно, что новая память може...

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

Microsoft разработала новую технологию с использованием ИИ для более точного прогнозирования погоды Новая технология будет внедрена в сервис Microsoft Start.

Qualcomm представила новые чипы для аудио с ИИ Qualcomm только что официально представила третье поколение своих чипов для аудио — речь идёт о представителе среднего класса Qualcomm S3 Gen 3 и флагманском S5 Gen 3. Они приходят на замену моделям Gen 2, которые были впервые анонсированы в 2022 году. Оба чипа предлагают бо...

Что такое бизнес-чат-боты и зачем они компаниям Бизнес-чат-боты – это программы, которые помогают компаниям общаться со своими клиентами 24/7 через мессенджеры, такие как WhatsApp, Facebook Messenger и другие. Они позволяют автоматизировать процесс общения с клиентами, сокращая время ответа на запросы и повышая качество о...

Huawei нацелилась на следующий скачок в технологиях, ... Технологический гигант Huawei собирается внедрить новую технологию 5.5G в нескольких странах, которая предлагает в десять раз более высокую скорость по сравнению с нынешними сетями, меньшую задержку и эффективное использование энергии.

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Смартфон HTC U24 засветился в сети В базе регулятора Bluetooth SIG появилось упоминание смартфона HTC U24, который еще не был представлен официально. Ведомство подтвердило наличие поддержки Bluetooth 5.3 благодаря чипу Qualcomm FastConnect 6700. Последний используется в 4-нанометровых однокристальных системах...

На радость владельцам процессоров Intel. Функция APO, повышающая производительность в играх, теперь поддерживает 12 новых игр Компания Intel расширила поддержку своей функции Application Optimization (APO), добавив в неё несколько новых игр.  создано DALL-E Функция, напомним, появилась ещё осенью, но всё это время поддерживала лишь две игры: Metro Exodus и Rainbow 6 Siege. Теперь же к сп...

Тут, все что известно о Galaxy Watch 7. Стоит ли ждать, или купить сейчас Galaxy Watch 6 Samsung Galaxy Watch 6 — одни из лучших смарт-часов, которые вы можете купить для любого телефона. Хотя до выхода новых часов еще более полугода, я бы хотел, чтобы в 2024 году Samsung сделала несколько более смелых шагов с новыми Galaxy Watch. В моем списке желаний Samsung ...

Экономия до 6 млрд евро: Mercedes отказалась от создания новой платформы роскошных машин из-за плохих продаж EQE и EQS Mercedes-Benz приостановила разработку платформы для роскошных электромобилей из-за плохих продаж EQE и EQS, о чем пишет IT Home со ссылкой на несколько источников. Первоначально платформу планировалось запустить в 2028 году, и она должна была использоваться для новых м...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Apple может снять ограничения NFC-оплаты только через Apple Pay в Европе Apple пытается избежать штрафа и продолжающегося судебного процесса с Европейским Союзом. Компания якобы предлагает своим конкурентам доступ к технологии ближней радиосвязи, которая используется для платежей tap-and-go.

Китай запустил спутник 6G. Пока технология остаётся на стадии экспериментов, исследования не останавливаются По данным China Global Television Network (CGTN), англоязычной государственной новостной организации, Китай запустил два экспериментальных спутника на низкую околоземную орбиту в начале этого месяца. Первый спутник, China Mobile 01, оснащён первым в мире спутником обраб...

Web Application and API Protection (WAAP): эволюция WAF (Web Application Firewall) WAAP (Web Application and API Protection) является брандмауэром веб-приложений следующего поколения WAF (Web Application Firewall). Термин впервые начал использовать Gartner для описания защиты современных, постоянно меняющихся web-сервисов. Так как в мир...

Qualcomm будет использовать в Snapdragon 8 Gen 4 свои ядра В сети есть данные о том, что компания Qualcomm при разработке процессора Snapdragon 8 Gen 4 может отказаться от дизайна ядер ARM в пользу собственных ядер Oryon или Phoenix. Согласно данным иностранных специалистов, предстоящий чип будет массово производиться на обновлённом...

Миссия Astroscale по удалению космического мусора переходит на следующий уровень Компания Astroscale переходит на следующую стадию своей инспекционной миссии — её космический аппарат приближается к заброшенной верхней ступени на низкой околоземной орбите. 11 апреля Astroscale объявила, что её космический аппарат Active Debris Removal by Astros...

F2 innovations (часть 2) - Обзор продукции F2 innovations - российская компания, создатель новых технологий и инновационных решений в области оборудования для 3D печати. В этой статье мы познакомим вас с ассортиментом F2 innovations и расскажем о преимуществах 3D принтеров производителя.F2 innovations - российская ко...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Китайский гигант по производству аккумуляторов CATL представил революционную литий-железо-фосфатную батарею с запасом хода более 1000 км Китайский гигант по производству аккумуляторов Contemporary Amperex Technology Co (CATL) произвёл фурор на 28 Пекинском автосалоне, представив новую литий-железо-фосфатную батарею (LFP) под названием Shenxing Plus. Эта разработка обещает обеспечить запас хода более 1000...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Firefly Aerospace и Lockheed Martin запустят технологию, позволяющую ускорить запуск космических аппаратов Новая технология, разработанная компанией Lockheed Martin, названная Tantrum, открывает новые возможности для эффективного запуска и настройки спутников. В рамках сотрудничества с компанией Firefly Aerospace, они разработали инженерный образец, который сократит время, н...

Первичный анонс SoC Exynos 2400: CPU в 1,7 раза быстрее, чем у Exynos 2200, и GPU на архитектуре RDNA 3 На мероприятии System LSI Tech Day 2023 компания Samsung рассказала о грядущей однокристальной системе Exynos 2400.   создано DALL-E в Bing К примеру, теперь точно известно, что графическое ядро новой SoC называется Xclipse 940, и основано оно на архитектуре AMD R...

Спутниковая связь появится в машинах Xiaomi, а в смартфонах — 5.5G. К концу года Xiaomi представит люксовый кроссовер размером с популярный в России Li Auto L9 Xiaomi запатентовала технологию улучшения приема спутниковой связи в автомобиле. И этот патент оформлен не просто так: как сообщает известный китайский инсайдер Digital Chat Station, все автомобили Xiaomi получат поддержку спутниковой связи. Пока у Xiaomi только одна м...

Ученые хотят восстанавливать “мертвые” орбитальные спутники Ученые планируют заправлять «мертвые» спутники, чтобы продлить срок их использования Околоземное пространство, как известно, захламлено различным мусором, количество которого постоянно увеличивается. В основном он состоит из старых спутников, так как они перестают ...

Huawei Pura 70 полностью независим от иностранных технологий Компания Huawei совсем недавно представила серию смартфонов Pura 70 в Китае, предоставив пользователям смартфон, который практически полностью не зависит от иностранных компонентов. Согласно отчёту специалистов из данной области, новые смартфоны компании содержат больше комп...

Ferrari представит сразу три новинки в 2024 году. Что это за модели? В 2024 году компания Ferrari планирует представить три новых автомобиля, согласно опубликованному финансовому отчёту за 2023 год и объявленным планам на 2024 год. Итальянский производитель суперкаров достиг рекордных продаж в 13 663 автомобиля (рост на 3,3% по сравнению...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Microsoft анонсирует новый собственный AI-чип Athena уже в следующем месяце Первое поколение проекта Athena может быть произведено с использованием 5-нанометрового процесса TSMC.

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

Достижения Китая в разработке метаноловых двигателей: ракеты Long March 9 и Long March 5 Китай активно развивает программу создания двигателей на основе полного цикла сгорания метана для своего многоразового грузового ракетоносителя Long March 9. Разработка таких двигателей, обеспечивающих тягу в 200 тонн, включает  полный цикл проектирования и создани...

Сначала убрали Exynos, а скоро избавятся и от ISOCELL? Samsung не будет использовать свой сенсор ISOCELL GN3 в Galaxy S25 – вместо него будет датчик Sony В линейке Galaxy S23 Samsung отказалась от собственной однокристальной системы Exynos, а в серии Galaxy S25 компания может отказаться от собственного 50-мегапиксельного датчика изображения ISOCELL GN3. По данным инсайдера, известного в Twitter под ником R...

Ян Чаобинь: модель Тelecom Foundation и интеллектуальная трансформация оператора   На Международной выставке мобильных технологий MWC 2024 в Барселоне член совета директоров и президент подразделения продуктов и решений для ИКТ компании Huawei Ян Чаобинь (Yang Chaobin) представил первую в отрасли базовую телекоммуникационную модель. Модель Telecom F...

Новая PlayStation 5 Pro от Sony выходит позже в этом году Обновленная PlayStation 5 Pro от Sony получит мощное "железо" и передовые технологии масштабирования, обеспечивая улучшенную графику и производительность игр.

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Snapdragon 8 Gen 4 может стоить дорого Ожидается, что Snapdragon 8 Gen 4 будет использовать собственные ядра Oryon и основываться на 3-нм технологии второго поколения от TSMC.

Особенности и преимущества TCL с Google TV Компания TCL - это бренд, который занимается, как производством, так и разработкой бытовой техники, мобильных гаджетов, дисплеев и телевизоров. Компания была основана в 1981 году путём слияния TTK Home Appliances и TCL Communication Equipment. В двухтысячных годах корпорация...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Intel разрабатывает адаптивный фильтр повышения резкости изображения Новая технология Intel будет использоваться в графической архитектуре Xe2 процессоров поколения Lunar Lake

MAINGEAR представила на выставке CES 2024 новую технологию управления кабелями MG-RC MAINGEAR переосмысливает эстетику и производительность игровых ПК с помощью технологии управления кабелями MG-RC

Creative Super X-Fi Gen4: обновлённое звучание  Основываясь на отмеченной наградами технологии аудиоголографии для наушников Super X-Fi, компания Creative Technology представляет совершенно новый аудиопрофиль Super X-Fi Gen4. Эта версия может похвастаться значительными улучшениями динамического диапазона, четкости ...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

AMD FSR появится в смартфонах Samsung следующего поколения Предполагается, что следующие чипсеты Samsung и Qualcomm будут использовать технологию масштабирования от AMD, что значительно повысит производительность мобильных графических ускорителей даже на устройствах среднего уровня

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

141 ГБ памяти HBM3e с пропускной способностью 4,8 ТБ/с и до 90% быстрее, чем H100. Представлен ускоритель Nvidia H200 Ускоритель Nvidia H100, который является самым желанным продуктом для компаний, занимающихся современными генеративными моделями ИИ, теперь не самый производительный в мире. Сегодня Nvidia представила модель H200.  Правда, технически это не какая-то совсем уж нова...

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

Цветы в технологиях: инновационные способы использования цветов в производстве и инжиниринге Цветы, помимо своего эстетического значения, находят применение в различных областях, включая технологии и инжиниринг. Инновационные способы использования цветов в производстве и инжиниринге открывают перед нами новые перспективы и возможности. Они помогают не только создава...

TCL продемонстрирует технологии и решения для вдохновения на CES-2024  Компания TCL Electronics, владеющая ведущим брендом бытовой электроники, объявила о своем участии в ежегодной выставке Consumer Electronics Show (CES), которая пройдет в Лас-Вегасе в январе 2024 г. Компания продемонстрирует более ста современных товаров, среди которых —ассор...

В самое сердце цифрового искусства: RTD2023 объединит технологии и арт В пятницу, 8 декабря, российский провайдер цифровых услуг «Ростелеком» впервые проведет собственную ИТ-конференцию Rostelecom Tech Day (RTD2023). На мероприятии будут представлены новые отечественные платформы и решения. Наравне с демонстрацией новых технологий важной частью...

Rhea Space Activity выиграла грант NASA для создания новой технологии связи на Луне Космическая компания Rhea Space Activity получила грант NASA на разработку и тестирование своей окололунной навигационной системы. 6 марта компания объявила о своей победе в конкурсе агентства TechFlights, проект Rhea Space Activity получит $750 000 и возможность запуст...

Новый процессор Apple M3 — реальный апгрейд или маркетинговый трюк? Сравнение с M2 и M1 Apple наконец провела свое очередное мероприятие, для которого было выбрано довольно непривычное время суток. Проснуться посреди ночи, живя в России, действительно стоило: купертиновцы показали не только компьютеры, но и линейку процессоров M3, состоящую из трех новых чипсе...

Это Air 3 mini? Главное - не перепутать: представлен дрон DJI Mini 4 Pro Компания DJI представила свой новый компактный дрон весом менее 250 граммов – DJI Mini 4 Pro. Он представляет собой небольшое обновление DJI Mini 3 Pro, а большинство нововведений унаследованы от модели DJI Air 3, за что поклонники бренда уже прозвали его «A...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Производитель грузовиков MAN планирует создать 200 водородных грузовиков чтобы доказать, что водород неэффективен MAN, второй крупнейший производитель коммерческих грузовиков в Европе, объявил о планах создать 200 полуприцепов, оснащённых водородными двигателями. Однако, несмотря на этот шаг, компания сохраняет скептическую позицию относительно применения водорода в качестве трансп...

Nissan начнёт лить электромобили по технологии Tesla для удешевления производства Японский автопроизводитель Nissan объявил о планах внедрить технологию гигакастинга для удешевления производства автомобилей, в том числе электрических моделей.

Samsung Exynos 2400 представят уже сегодня, а «чип мечты» Exynos 2500 лишится GPU Radeon Samsung якобы подтвердила, что представит однокристальную систему Exynos 2400 уже сегодня, на мероприятии Tech Day 2023, о чем сообщает проверенный инсайдер Ice Universe. Ранее появилась информация, что Samsung рассматривает возможность разработки собственного уникально...

Пока вы спали: BTC установил сразу несколько новых рекордов Вчера вечером главная криптовалюта начала очередной бычий забег, в ходе которого она установила сразу несколько рекордов этого года. Сначала биткойн побил рекорд 2024 года, поднявшись выше отметки 53 000 долларов, а затем зафиксировал еще несколько новых рекордов года, ...

BMW: продажи автомобилей с ДВС достигли пика, рост обеспечивают электромобили. Но механическая коробка передач пока остаётся в линейке Финансовый директор BMW Вальтер Мертл заявил о значительном сдвиге в динамике продаж компании, заявив, что продажи автомобилей с двигателями внутреннего сгорания достигли пика. Мертл подчеркнул, что электромобили сейчас обеспечивают большую часть роста продаж бренда. Ож...

«Мы создаём будущее», — Panasonic представил мобильную гостиную В преддверии Japan Mobility Show 2023 компания Panasonic раскрыли свои планы на это мероприятие. Компания представят новую систему хранения вещей, технологии безопасности для велосипедистов и беспилотный автомобиль, который показывает, как может выглядеть будущее автоно...

Всем санкциям вопреки: Huawei впервые представит настольный компьютер на базе собственного процессора В мае 2024 года Huawei откроет новую для себя нишу — компания представит первый настольный компьютер на базе собственного процессора. Новинка под названием Qingyun W515x будет ориентирована не столько на розничный рынок, сколько на корпоративных пользователей. Qi...

Испанская компания PLD Space осуществила первый успешный запуск суборбитальной ракеты Miura Теперь в копилке у испанской компании PLD Space есть собственный успешный запуск. 6 октября суборбитальная ракета Miura 1 стартовала с площадки Эль-Ареносильо в Национальном институте аэрокосмической технологии в Уэльва (Испания). Запланированная продолжительность мисси...

Необычный башенный кулер V8 3DVC с испарительной камерой и жидкостная СО G11. Cooler Master показала две производительных новинки Компания Cooler Master приурочила к выставке CES 2024 анонс парочки новых процессорных охладителей.   Первый называется V8 3DVC и представляет собой воздушную СО башенного типа, но не самую обычную. Его конструкция включает испарительную камеру, что, в совокупност...

Этот GPU Intel будет потреблять 1,5 кВт мощности. Компания рассказала об ускорителях линейки Falcon Shores Компания Intel уже объявила о закрытии линейки GPU Ponte Vecchio для ЦОД, но на смену этим решениям позже придут новые. Называться новая линейка будет Falcon Shores, и ускорители на основе таких GPU будут потреблять 1,5 кВт мощности!  Поколение Falcon Shores ожида...

NASA разработало электродинамический пылезащитный экран для защиты астронавтов и оборудования на Луне и Марсе Исследование Луны и других пыльных мест в космосе представляет собой большой вызов для учёных и инженеров. Поверхность Луны покрыта реголитом — мелкими каменными частицами, которые могут вызвать преждевременный износ оборудования и представлять опасность для здоро...

Новые решения Supermicro повышают производительность 5G и облачных рабочих нагрузок   Компания Supermicro, Inc., поставляющая комплексные ИТ-решения для ИИ, облака, хранения данных и 5G/Edge, представила расширенный ассортимент специализированных инфраструктурных решений для повышения производительности и эффективности 5G и телекоммуникационных рабочих...

Новая функция DirectX 12 Work Graphs может обеспечить значительный прирост производительности В видеоролике, подготовленном компанией Compusemble, впервые показана новая функция, и показано, как она обеспечивает схожую производительность в большинстве случаев

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

MediaTek показала Dimensity 8300. Дешевый чип с флагманскими возможностями ИИ Компании Qualcomm и MediaTek уже анонсировали флагманские процессоры для смартфонов с поддержкой функций генеративного искусственного интеллекта. Как обычно, технологии заходят в наш мир с верхних сегментов, но рано или поздно опускаются в средний и бюджетный. В этот раз эт...

На MWC2024 представлено решение Intelligent Factory от Huawei     В ходе Международной выставки мобильных технологий MWC 2024, на заседании, посвященном решениям Huawei для производства и крупных предприятий под девизом «Погружение в отраслевую специфику для создания более обеспеченного, экологичного и умного будущего», компания Hua...

5G из космоса: в России приблизились на шаг к отечественной широкополосной спутниковой связи Российская компания «Бюро 1440» запустила ещё три отечественных низкоорбитальных спутника связи. Впервые в истории российской космической индустрии аппараты используют стандарт 5G NTN для связи с абонентами. Об этом сообщили пресс-службы Минцифры России и &l...

Яндекс продаёт свою долю в производстве серверов OpenYard Яндекс и группа компаний «Ланит» выходят из совместного предприятия по производству серверов под торговой маркой OpenYard и продают свои доли группе частных инвесторов. Об этом сообщила пресс-служба Яндекса.  Предприятие сохранит за собой производство ...

Телевизоры Toshiba C350ME представили в России Компания Toshiba представила в России линейку телевизоров C350ME, в которую вошли модели с диагональю 43, 50, 55, 65 и 75 дюймов соответственно. Новинки характеризуются матрицами с подсветкой D-LED, разрешением 4K, частотой обновления изображения 60 Гц, типичной яркостью от ...

Только теперь AMD начнёт догонять Nvidia. Компания открыла исходный код технологии FSR 3.0 с генерацией кадров Несмотря на то, что технологию суперсемплинга AMD FSR 3.0 представили около полугода назад, реализована она пока всего в трёх играх. Но вскоре их может стать намного больше, так как AMD опубликовала исходный код.  FSR 3.0, как и предыдущие версии технологии AMD, и...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Qualcomm Snapdragon X Elite протестировали в играх Достаточно ожидаемая система на кристалле Snapdragon X Elite, которую компания Qualcomm анонсировала некоторое время назад, была протестирована в новой видеоигре Baldur's Gate 3 — ноутбук на базе этого чипа работал со скоростью 30 FPS, что достаточно неплохо для мобильного ч...

Наконец-то базовые AirPods получат шумоподавление. Правда, за него придётся доплатить Компания Apple наконец-то наделит свои самые доступные беспроводные наушники технологией активного шумоподавления.  Новые базовые AirPods, как утверждает Bloomberg, выйдут в следующем году. Внешне они будут похожи на нечто среднее между текущими AirPods и AirPods ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Крупнейшие технологические прорывы 2023 года, которые изменят нашу жизнь В 2023 году было совершено несколько серьезных технологических прорывов, которые изменят нашу жизнь Мир технологий постоянно развивается, и учеными регулярно свершаются определенные достижения, но часто они остаются незамеченными. Лишь со временем мы обнаруживаем, что наша ж...

Nvidia выходит на поле квантовых вычислений с облачным сервисом Quantum Cloud Nvidia запустила облачный сервис для квантовых вычислений, стремясь получить прибыль от области, которая получает финансирование по всему миру, несмотря на то, что в этой области до сих пор было создано мало приложений. По словам Тима Косты, директора по высокопроизводи...

Новая мышь Huawei поддерживает Bluetooth и StarLight Беспроводная мышь Huawei Wireless Mouse Star Flash Edition (модель CD23-R) прошла сертификацию перед началось продаж. Мышь позволяет обмениваться данными с компьютером/планшетом через Bluetooth или StarLight. Информация о сертификации показывает, что мышь CD23-R использ...

Xiaomi 14 Ultra во всех цветах показали на одном снимке. Компания впервые выпустит смартфон с синим керамическим корпусом В Сеть просочились рекламные материалы Xiaomi 14 Ultra, на изображении видно, что смартфон будет доступен в трех цветах: черном, белом и еще неанонсированном синем. Как пишет IT Home, речь идёт о синей керамической версии — в таком варианте компания еще не выпуска...

Искусственный интеллект будет в смартфонах на уровне железа. Спасибо Google и Qualcomm Искусственный интеллект пока не изменил нашу жизнь настолько, чтобы она больше не была такой, как прежде. Но некоторые изменения уже есть, и если правильно пользоваться ими, то все становится намного проще. Было лишь вопросом времени, когда искусственный интеллект появится ...

OCR-технологии Content AI будут использоваться в решениях для управления процессами и данными Docsvision Вендоры договорились о развитии технологического партнерства.

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Kotlin Object Multiplatform Mapper Складывается такое впечатление, что дописать свою приложение для Android мне суждено не скоро. Каждый раз начиная писать новую версию (так как старая была написана не до конца, использовалась только мной, а через пару-тройку лет простоя - проще написать заново) своего прилож...

Нет денег на новую карту RTX 40? Генерация кадров появилась на старых GPU Nvidia Новый мод «DLSSG to FSR3» переносит технологию генерации кадров, ранее появившуюся в новейших GPU серии RTX 40, на старые карты Nvidia серий RTX 20 и 30. Хотя это и не идеальный вариант, он обеспечивает значительный прирост производительности и более плавный игровой процесс ...

В iPhone 16 Pro будет новый телеобъектив со сложенным стеклом Согласно информации информационного издания MacRumors, источники, связанные с цепочкой поставок компании Apple, утверждают, что новый телеобъектив с передовыми функциями зума в будущем будет доступен на обеих моделях iPhone 16 Pro даже без индекса Max в названии. Это даёт до...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Слух: новое поколение Xbox может выйти в 2026 году Датамайнер Call of Duty, известный под ником The Ghost of Hope, со ссылкой на собственные источники сообщает, что следующее поколение игровых консолей Xbox может выйти уже в 2026 году. По его словам, стартовая линейка игр будет включать в себя очередную часть Call of Duty. ...

Huawei Mate 70, который потягается с iPhone 16, получит очень быстрый чип Kirin: он уже набирает более 1,1 млн баллов в AnTuTu Компания Huawei выпустила свои последние флагманы Pura 70 с новым чипом Kirin 9010. Хотя SoC имеет тот же производственный процесс и базовую архитектуру, что и Kirin 9000, компания Huawei еще больше оптимизировала его, чтобы обеспечить производительность в своих последн...

iPhone 16 Pro получит чип Apple A18 Pro, улучшенный специально для работы искусственного интеллекта По словам Джеффа Пу из Haitong International Tech Research, Apple планирует внести изменения в чип A18 Pro специально для искусственного интеллекта. Пу также пишет, что Apple наращивает производство чипов A18 Pro раньше обычного. Согласно данным из цепочки поставок, мы ...

Что нового появится в MacBook Air M4 и когда он выйдет В марте Apple выпустила следующее поколение одних из самых популярных ноутбуков компании MacBook Air. В новой версии лэптопы сохранили прежний дизайн, диагональ экрана и получили процессор Apple M3, выполненный по 3-нм техпроцессу и гарантирующий компьютерам высокую произво...

Это замена смартфонам Nokia. HMD Global впервые показала смартфоны под собственным брендом Компания HMD Global показала первые официальные изображения смартфонов, которые выйдут под собственным брендом производителя позже в этом году.  Пока это тизерные изображения, на которых самих аппаратов почти не видно. Зато мы точно знаем, что нас ждёт как миниму...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Представлен игровой ноутбук Gigabyte Aorus 17 2024 Компания Gigabyte Technology представила ноутбуки Gigabyte Aorus 17 2024 и Aorus 15 2024, которые отличаются лишь диагональю экранов – 17 и 15 дюймов соответственно. Новинки оснастили панелями с разрешением QHD, кадровой частотой 240 Гц и технологией Dolby Vision, новыми пр...

Простой и сложный Always On VPN от Microsoft. Опыт внедрения VPN обеспечивает доступ удаленных пользователей в корпоративную сеть. Решений много, но выбор оптимального не всегда очевиден. В данной статье хотел бы поделиться опытом внедрения и использования такого продуктового решении как Always On VPN от компании Microsoft. Подчеркну,...

Представлен уникальный ПК с экраном на электронных чернилах Последнее время на рынке компьютеров достаточно редко встречаются системы в формате «всё в одном», потому что чаще всего пользователи хотят иметь возможность как-то кастомизировать свой компьютер, либо же просто иметь доступ к его компонентам для очистки от пыли и прочих ман...

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

В авто Chery для России появилась локализованная навигация на базе технологий Яндекса – с внедорожником Exeed VX во главе Яндекс и автомобильный концерн Chery Automobile заключили соглашение о партнёрстве в России. В рамках сотрудничества автопроизводитель планирует использовать технологии «API Яндекс Карт» при разработке собственных навигационных сервисов для бортовых компьюте...

Редкий флагман без вырезов и отверстий, с IP68 и топовой камерой. Nubia Z60 Ultra Photographer's Edition показали вживую Новый смартфон Nubia Z60 Ultra Photographer's Edition должен быть выпущен уже на этой неделе, а пока произодитель опубликовал официальные изображения. Nubia Z60 Ultra Photographer's Edition будет оснащен технологией подэкранной камеры пятого поколения. По факту ...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Смартфоны Sony Xperia 1 VI и 5 VI получат технологию цифровой подписи в камере По сообщениям, Sony планирует оснастить свой смартфон Xperia следующего поколения первой в мире технологией цифровой подписи непосредственно в камере.

Chery запускает первую облачную систему помощи при вождении Mobileye: автоматическое движение по дорогам без полос и адаптивное вождение Элитный бренд Xingtu Motors, принадлежащий Chery Automobile, объявил, что станет первым автопроизводителем в Китае, который запустит облачную систему помощи при вождении Mobileye. Xingtu Automobile объединилась с Mobileye и Bethel ADAS, чтобы начать массовое производств...

Очередной показатель того, что для современных CPU Intel очень важен планировщик потоков. Компания выпустила патч для Linux, повышающий производительность Гетерогенные процессоры Intel сильно зависят от эффективности планировщиков потоков. Отличный тому пример — повышение производительности CPU Intel в Linux на 14% после выхода нового патча.  Фото: Intel Intel выпустила патч, который улучшает работу планировщи...

Эволюция Traffic Engineering-2. От основ PCEP к новому взгляду на РСЕСС Traffic Engineering помогает нам решать проблемы оценки и оптимизации производительности IP‑сетей, но при этом требует недюжинного понимания сетевых технологий и протоколов, которые используются в больших сетях. В прошлый раз мы остановились на магии работы PCE-контроллера и...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

NASA определило трёх ключевых партнёров для разработки луноходов программы Artemis Опубликованные документы NASA раскрывают процесс выбора трёх компаний, которые будут продолжать работу над разработкой лунохода для программы Artemis. Сбалансированные затраты, возможности и опыт оказались решающими факторами при отборе. 3 апреля NASA объявило о выборе ...

Intel расщедрилась. Функция APO, которая значительно повышает производительность в играх, станет доступна и на процессорах Intel снова выпускает почти то же, что и год назад. Представлена оставшаяся часть линейки процессоров Raptor Lake Refresh Компания Intel всё-таки решила расширить поддержку функции Application Optimization (APO) на более старые процессоры.  Изначально компания зая...

Технология Xiaomi EV будет продемонстрирована на корпоративном мероприятии Stride 28 декабря Xiaomi наняла более 500 экспертов со всего мира для разработки собственной технологии автономного вождения

Yutong Bus получил престижную премию на Busworld Europe 2023 Компания Yutong Bus, ведущий глобальный производитель электрических автобусов, произвела фурор на недавно состоявшейся в Брюсселе выставке Busworld Europe 2023, продемонстрировав четыре современнейшие модели электрических автобусов и реализованную в них новейшую технологию Y...

Следующий «Гелик» получит новую технологию подвески. Первые детали Шпионские снимки показали обновленный Mercedes-Benz G-Class на тестах. Британское издание Autocar недавно прокатилось на одном из прототипов и обнаружило новую технологию подвески, которая появится в обновленном внедорожнике. Motor1.com обратился к Mercedes за более под...

Tesla действительно будет отливать днище автомобилей единой деталью Tesla действительно работает над модернизацией своей технологии «гигакастинг» (gigacasting), позволяющей отливать под давлением все детали днища автомобиля как единое целое, о чем сообщило в среду, 27 сентября, издание Shanghai Securities News со ссылко...

Самый мощный и быстрый Toyota Prius в истории получит множество улучшений Согласно последним данным, скоро на рынке ожидается появление высокопроизводительного варианта Toyota Prius от подразделения Gazoo Racing. Prius под брендом GRMN как ожидается, будет иметь модернизированную гибридную трансмиссию (PHEV), а также ряд улучшений аэродинамик...

Автозавод ГАЗ регистрирует товарный знак Volga Стало известно, что нижегородский автомобильный завод ГАЗ подал заявку на регистрацию нового товарного знака Volga. Согласно данным Роспатента, заявка поступила 14 марта 2024 года и была опубликована 15 марта. Товарный знак Volga планируется зарегистрировать по 22 класс...

BMW показала в Китае 15 моделей, включая BMW i4 и Neue Klasse. В этом году будет представлено 20 моделей На автосалоне в Пекине BMW и Mini представили модельный ряд из пятнадцати моделей автомобилей. От компактных автомобилей начального уровня до больших роскошных автомобилей, стенд BMW охватывал практически все сегменты роскошных автомобилей, предлагая различные варианты ...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

Apple выпустит первый iPhone Slim, все модели iPhone 17 получат новую камеру, а в Pro-версии будет 12 ГБ ОЗУ, согласно новым инсайдерским сведениям Apple готовит масштабный редизайн своих смартфонов в следующем году. По словам известного аналитика Джеффа Пу, линейка iPhone 17 получит обновленный дизайн, улучшенные камеры и ряд других значительных усовершенствований. Одним из самых интригующих нововведений должна ст...

Первый анонс-предвестник Galaxy S24: Samsung представила свой генеративный ИИ под названием Gauss Компания Samsung Electronics проводит сейчас форум Samsung AI Forum 2023, где сделала интересный анонс. На форуме была представлена Samsung Gauss — генеративная модель искусственного интеллекта, разработанная исследовательским центром Samsung Research. Неофициаль...

Скорость передачи данных по оптоволоконному кабелю достигла 301 Тбит/с. Это в 1,2 миллиона раз быстрее, чем при домашнем широкополосном соединении Учёные достигли революционного прорыва в передаче данных по оптоволокну, установив новый рекорд скорости передачи. Исследователи добились передачи данных со скоростью в 1,2 миллиона раз выше, чем по средней широкополосной линии, подключившись к ранее неиспользуемому диа...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Xiaomi 15, 15 Pro и 15 Ultra получат новые сканеры отпечатков пальцев: точнее и безопаснее Xiaomi работает над серией смартфонов Xiaomi 15 для китайского рынка, при этом прототипы уже тестируются. Линейка Xiaomi 15 может дебютировать в октябре. Новая утечка, предоставленная инсайдером Digital Chat Station, предполагает, что вся серия, которая включает в себя ...

Стало известно, когда выйдет новый Nothing Phone 2a и каким он будет В последнее время на рынке смартфонов появилось много новых интересных компаний, но одной из самых ярких и необычных стала компания Nothing. Её основатель Карл Пей, известный своими эксцентричными решениями, представил свой первый смартфон — Nothing Phone (1), который сразу...

Microsoft DirectSR вскоре появится во множестве игр Месяц назад на конференции разработчиков Game Developers Conference 2024 было представлено новое технологическое решение под названием Microsoft DirectSR. Это своеобразная попытка упростить реализацию методов масштабирования изображения, которая была разработана в сотрудниче...

Apple добавила на старые iPhone поддержку Qi2 Когда речь идет о беспроводной зарядке, компания Apple, и это вполне логично, предпочитает использовать свою собственную технологию MagSafe. И все новые модели iPhone действительно предлагают эту технологию, но Apple хочет изменить ситуацию, чтобы предоставить поддержку этой...

AMD делает для старых видеокарт GeForce то, что не сделала сама Nvidia. FSR 3 с генерацией кадров запустили на GeForce GTX 1060 и GTX 1070 Технология FSR 3, как известно, доступна не только для видеокарт AMD. Для адаптеров Nvidia сама AMD говорит о поддержке минимум моделей поколения GeForce RTX 20. Однако технически никто не мешает запустить технологию на более старых картах. И это сделал блогер Даниэль О...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

ТОП-5 новинок CES 2024, которые хочется купить здесь и сейчас Год только начался, а у нас уже есть огромный мешок новинок, каждая из которых достойна внимания. Все их представили на выставке потребительской электроники CES 2024, прошедшей в Лас-Вегасе, штат Невада. На выставке присутствовало множество компаний, демонстрирующих свои по...

Samsung готовит к релизу One UI 6.1.1 Сегодня западные журналисты со ссылкой на свои источники официально сообщили, что компании Samsung и Google совместно работают над разработкой новых функций искусственного интеллекта для своих линеек смартфонов. Более того, инсайдеры сообщают, что некоторые технологии уже ра...

Sony пошла на даунгрейд и отказалась от 4K-экрана 21:9 в Sony Xperia 1 VI, потому как такое разрешение до сих пор редко где используется. Плюс рамки стала заметно меньше Выяснилось, что причина, по которой Sony отказалась от использования вытянутого экрана 4K с соотношением сторон 21:9 в новом флагмаме Sony Xperia 1 VI, заключается в том, что используемая ранее панель 4K не поддерживает динамическую частоту обновления и может работать т...

OpenAI сняла запрет на использование ChatGPT в военных целях Пентагон заинтересовался технологией компании OpenAI, которая на этой неделе смягчила запрет на использование своих технологий в военных целях.

Huawei уже заменила компанию Nvidia в Китае: она поставляет ИИ-чипы для Baidu Китайская компания Baidu заказала чипы, предназначенные для использования с ИИ-приложениями, у Huawei, вместо традиционного американского поставщика Nvidia. Это решение было принято после введения США санкций, запрещающих американским компаниям поставлять подобные проду...

Названа интересная особенность iPhone 17 Известный аналитик Минг-Чи Куо поделился новыми инсайдерскими данными о том, что Apple собирается внедрить в материнскую плату iPhone медные компоненты с полимерным покрытием (RCC), уменьшив толщину системной платы. «RCC позволит уменьшить толщину матери...

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Huasun представил гетеропереходные солнечные модули 0BB с технологией Zero Busbar   Компания Huasun Energy, крупнейший в мире производитель продукции для гетеропереходов (HJT), представила свою последнюю инновацию в области высокоэффективных модулей с инновационной технологией Zero Busbar (0BB). Это передовое усовершенствование было легко интегрирова...

Все Motorola, даже самые дешевые, получат Corning Gorilla Glass. Анонсирована технология Smart Connect для связи смартфонов, ПК и планшетов Motorola объявила на выставке MWC 2024, что все ее будущие смартфоны будут использовать Corning Gorilla Glass. Motorola уже использует Gorilla Glass на большинстве своих флагманских телефонов и телефонов среднего класса. Сегодняшний анонс подтверждает, что будущие теле...

Toshiba представляет жёсткие диски ёмкостью более 30 Тбайт с использованием технологий HAMR и MAMR Toshiba, глобальный лидер в области электроники, представила революционные серийные жёсткие диски ёмкостью более 30 Тбайт. Благодаря передовым технологиям HAMR и MAMR, компания значительно увеличила плотность записи данных, открыв новые возможности для хранения и обработки и...

Компания Lenovo представила новый суперкомпьютер MareNostrum 5 MareNostrum 5 обладает исключительной производительностью и использует передовую технологию прямого водяного охлаждения Neptune от Lenovo

Blue Origin представила детали, характеристики и потенциал своего космического буксира Blue Ring В октябре прошлого года компания Blue Origin представила космический буксир Blue Ring, который, согласно заявлениям, должен стать инновационным решением в области космической логистики и доставки. На данный момент о техническом потенциале Blue Ring известно немного, одн...

Нынешние монстры Nvidia H100 и H200 померкнут на его фоне. Компания дразнит мощью грядущего ускорителя B100 Компания Nvidia представила сегодня ускоритель H200, который впервые для такого решения получил память HBM3e. На фоне H100 новое решение в ряде задач может быть почти вдвое быстрее. Но и H200 померкнет на фоне B100, согласно обещаниям Nvidia.  Компания решила, что...

AMD сделает упор на технологии трассировки лучей в следующем поколении своих видеокарт По словам инсайдера, Radeon RX 8000 выйдут на новый уровень, в сравнении с предшественниками.

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

MSI представили новые ноутбуки серии Prestige 16 AI Компания MSI, лидер в производстве ноутбуков премиум-класса, объявила о выпуске новой серии Prestige 16 AI, что знаменует собой значительный шаг в эпоху технологий искусственного интеллекта.

Каждая четвертая компания в России внедрила ИИ По данным "Авито работы", 24% компаний в России уже успешно интегрировали технологии искусственного интеллекта к ноябрю 2023 года. Это произошло год спустя после выхода языковой модели ChatGPT, вызвавшей значительный интерес. Половина из них использует ИИ в повседневной деят...

Передача и обработка IAsyncEnumerable в Typescript с ASP.NET Core Web Всем привет, Меня зовут Олег и я .NET разработчик в компании Bimeister. Я хотел поделиться своим интересным опытом по связи проекта на ASP.NET Core Web App с использованием IAsyncEnumerable коллекций и современного фронт-енд SPA приложения на Typescript.и Vue.js 3 версии.Все...

Apple тратит «огромное количество времени и усилий» на искусственный интеллект: пользователи увидят результаты уже в этом году Во ходе публикации отчета за первый квартал 2024 финансового года генеральный директор Apple Тим Кук прокомментировал работу компании над искусственным интеллектом. Он сказал, что Apple вкладывает «огромное» количество времени и усилий в искусственный интелл...

Представлен самый «злой» Ford Focus в исполнении ST Edition Ford объявила о выпуске лучшей на сегодняшний день модели Focus, разработанной Ford Performance. Модель Focus ST Edition подходит к эксплуатации на дорогах и треках. Разработанный для того, чтобы вознаграждать водителей-энтузиастов отзывчивостью гоночного автомобиля на...

Новая магия Apple: устройство Presto позволяет обновлять iPhone, не вскрывая коробку Apple собирается внедрить большое изменение в своих розничных магазинах в США. Система Presto within Apple позволит сотрудникам Apple Store обновлять программное обеспечение iPhone по беспроводной сети, пока телефон еще находится в коробке, с помощью устройства, напомин...

Ученые разработали беспроводную технологию передачи данных, которая в 100 раз быстрее Wi-Fi Ученые разработали новую технологию беспроводной передачи данных Ученые разработали новый тип технологии беспроводной связи в видимом свете (VLC). Эта технология позволяет передавать данные при помощи обычных бытовых осветительных приборов. По мнению авторов, эта технология ...

iPhone 16 может получить дополнительную кнопку, а кнопка действия станет твердотельной Смартфоны iPhone 16 могут быть оснащены дополнительной кнопкой, о чем пишет Мacrumors. Кроме того, Apple также планирует переместить антенну mmWave не левую часть смартфона, чтобы учесть это изменение. Предполагается, что «кнопка действия» iPhone 16 Pro буде...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Apple внедрит новейший искусственный интеллект в iOS 18 в 2024 году Популярный аналитик Джефф Пу рассказал, что Apple планирует внедрить технологию генеративного ИИ в свои устройства iPhone и iPad к концу 2024 года.

Это Haval H7, который выйдет в России. Первое изображение и подробности о новинке Haval раскрыл название своего нового кроссовера, который ожидается на российском рынке. Это второе поколение модели DaGou, известной в Китае с прошлого года, которая за пределами КНР будет именоваться H7. Хотя первое поколение DaGou уже продаётся на экспорт, включая Рос...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Яркость, контрастность и дистанция до 150 метров: Cactus представил новую линейку проекторов Бренд расходных материалов и офисного оборудования Cactus представил новое семейство проекторов, в которое входит пять моделей. От прежних устройств (например, того же Cactus PRM.05B) их отличают проекционная система, яркость, контрастность и акустические...

Представлен новейший робот-гуманоид Tesla Optimus Gen 2 Tesla представила Optimus Gen 2, новое поколение своего робота-гуманоида, который сможет брать на себя различные задачи, помогая людям. Tesla хочет создать робота-гуманоида, который мог бы быть достаточно универсальным, чтобы заменить человеческий труд, но многие сомнев...

Архитектура BlackHawk, новейшее ядро X5 и скорость выше, чем у Apple A17 Pro. MediaTek Dimensity 9400 станет самым производительным чипом для смартфонов в этом году Предстоящий флагманский чип MediaTek Dimensity 9400 будет использовать новейшую архитектуру процессора Arm под кодовым названием BlackHawk и будет оснащен сверхбольшим ядром X5, о чем сообщил Digital Chat Station. Сообщается, что количество инструкций на такт (IPC, inst...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Samsung представила память LPCAMM, которая «изменит рынок». Модуль LPCAMM очень компактный Модули оперативной памяти концептуально не менялись много лет, но в прошлом году на рынок пришёл формат CAMM, а теперь Samsung представила первые в отрасли модули LPCAMM.  Как говорит компания в своём пресс-релизе, LPCAMM на базе LPDDR станет лидером на рынке моду...

Samsung Galaxy S24 получит передовой экран OLED М13 Компания Samsung официально подтвердила, что смартфоны Samsung Galaxy S24 представят в ходе мероприятия Unpacked, которое пройдет 17 января в США. А пока что известный инсайдер Ice Universe подтвердил опубликованную ранее информацию о том, что в дисплеях серии Galaxy S2...

AMD купила компанию для работы с ИИ Ни для кого не секрет, что современный рынок высоких технологий активно двигается в сторону искусственного интеллекта, так как решения на базе этой технологии позволяют существенно упростить многие технологические процессы. Например, сейчас мало кого можно удивить системой, ...

Huawei триумфально возвращается. Стартовая партия Mate 60 включает более 10 млн смартфонов, ожидаются рекордные продажи за последние годы Huawei планирует отгрузить не менее 10 миллионов устройств серии Mate 60, о чем сообщает Huawei Central со ссылкой на инсайдерскую информацию. Издание подтверждает, что компания пытается удовлетворить большой спрос со стороны потребителей. По имеющимся данным, компания ...

Новый «ИИгрок»: что мы знаем о Grok? Среди чат-ботов с генеративным искусственным интеллектом самым известным и раскрученным считается, безусловно, ChatGPT. Примечательно, что сооснователем компании OpenAI, которая разработала эту большую языковую модель, был Илон Маск, инвестировавший в продукт на начальном э...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Производство OLED-панелей для iPad Pro приведет к изменениям в iPhone 16 Apple сделала значительные улучшения в своей технологии производства OLED-панелей для своих устройств, включая iPad Pro и iPhone.

5500 мА·ч, 90 Вт, экран 1,5К 120 Гц и рекорд производительности в своем классе. Redmi K70E показали на качественных изображениях Redmi уже начала раскрывать подробности о смартфоне Redmi K70E, а сейчас в Сети появились качественные изображения будущей новинки. На них хорошо видно плоскую боковую рамку, довольно тонкую рамку экрана и плоский дисплей. Redmi K70E придет на смену Redmi K60E и стан...

Apple не стала заморачиваться и создаёт для себя облачные серверы ИИ на основе обычной SoC M2 Ultra. Позже начнут использовать M4 Компания Apple, возможно, не будет заморачиваться с разработкой собственного специализированного процессора для облачных серверов. Или по крайней мере такой CPU выйдет нескоро, так как Apple будет использовать обычные потребительские SoC.  фото: Apple Как сообщает...

Nvidia создала GPU с TDP 1,2 кВт и ускоритель для ИИ с TDP 2,7 кВт. Компания раскрыла новые подробности о Blackwell Компания Nvidia уже представила архитектуру Blackwell и ускорители на её основе. При этом во время анонса нам раскрыли далеко не все особенности и характеристики. Теперь же некоторые пробелы заполнили старший вице-президент Nvidia и архитектор графических процессоров Дж...

Автомобили Volvo научились предупреждать водителя об авариях впереди Компания Volvo запустила, как она утверждает, первую в отрасли технологию предупреждения об авариях в реальном времени Accident Ahead Alert. Для оповещения водителей будут использоваться данные центров управления дорожным движением и сведения от других совместимых автом...

Microsoft представляет DirectSR – универсальное API для интеграции технологий суперразрешения в игры Как ранее сообщалось, в Windows появится собственная технология масштабирования изображения – очередной аналог NVIDIA DLSS и AMD FSR, считалось на тот момент. В своём блоге Microsoft разъяснила, что же это такое, а это вообще не то, что все подумали.

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Samsung выпускает технологию Zoom Anyplace для улучшения фотографий движущихся объектов Эта технология в основном использует технологию отслеживания AI для автоматического отслеживания и захвата объектов в реальном времени.

«Через 10 лет компьютеры будут делать это в миллион раз быстрее». Глава Nvidia не считает, что нужно вкладывать триллионы долларов в производство чипов для ИИ Несмотря на то, что Nvidia сейчас является чуть ли не основным выгодополучателем от роста интереса к ИИ, глава компании Дженсен Хуанг (Jensen Huang) не считает, что в отрасль нужно вкладывать дополнительные триллионы долларов.  Если вы просто предположите, что ком...

Представлены процессоры Intel Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF. В сравнении с прошлым поколением цены не выросли Компания Intel представила процессоры Core 14-го поколения (Raptor Lake Refresh). Как и ожидалось, сегодня анонсировали только старшие модели с разблокированным множителем.  Утечек об этих CPU было множество, так что ничего нового, кроме цены, Intel не раскрыла. Н...

Intel отказалась от своей технологии криогенного охлаждения процессоров Intel впервые представила технологию Cryo Cooling с выпуском 10-го поколения процессоров Core (Comet Lake).

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

ИИ скоро будет почти во всех смартфонах. Скажите за это спасибо Qualcomm В наши дни искусственный интеллект, кажется, повсюду. Он в вашем телефоне, телевизоре, компьютере и даже в наушниках. Технология все еще находится в зачаточном состоянии, но уже есть реальные случаи, когда ИИ приносит пользу — расшифровка заметок, создание резюме, ред...

8 динамиков, 8300 мА•ч и экран, способствующий увеличению выработки мелатонина. Honor Tablet 9 выйдет 21 декабря Компания Honor официально анонсировала параметры экрана Honor Tablet 9 и заявила, что он будет оснащен технологией собственной разработки, которая может увеличить секрецию мелатонина на 20%. Honor Tablet 9 будет оснащен 12,1-дюймовым экраном с мягкой подсветкой, разреше...

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Tecno готова приносить на рынок смартфонов что-то новое. Смартфон Camon 30 Premier получил чип Tecno PolarAce с производительностью 4,6 TFLOPS Компания Tecno продолжает активно демонстрировать, что она готова создавать не только весьма бюджетные смартфоны. Сегодня она представила модель Camon 30 Premier, которая выйдет во втором квартале, и это совсем не типичный аппарат бренда.  К примеру, у новинки име...

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Intel повышает производительность Linux с помощью патча Thread Director Стремясь еще больше повысить производительность виртуальных машин на базе процессоров Intel в Linux, компания Intel внесла исправление в технологию Thread Director в операционной системе Linux.

Великобритания получит один из самых мощных суперкомпьютеров в мире. Система Isambard-AI будет опираться на модули Nvidia Grace Hopper Superchip Правительство Великобритании представило суперкомпьютер Isambard-AI, который станет самым мощным в стране и заодно одним из самых производительных в мире.  создано DALL-E Систему построит компания HPE на основе 5448 вычислительных модулей Nvidia Grace Hopper Super...

44,5 дюйма, OLED, 240 Гц, 0,03 мс, громкие динамики. HDR10. Представлен монитор AOC AGON 6 Pro OLED Компания AOC представила 45-дюймовый OLED-дисплей под названием AGON 6 Pro OLED (модель AG456UCZD), который оценили в 1250 долларов. В этом мониторе используется 44,5-дюймовая 10-битная панель с соотношением сторон 21:9, кривизной 800R, разрешением 3440 x 1440 пикселей,...

В 2024 году смартфоны сильно изменятся. Ждем массовое развитие ИИ и нейросетей Искусственный интеллект в смартфоне в ближайшее время должен стать не просто массовым, но и очень качественным. Компания Google продемонстрировала наиболее серьезные на сегодня возможности искусственного интеллекта в телефонах на базе Tensor G3, предложив набор интересных ф...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Где будет применяться новый искусственный интеллект от Samsung И снова нет причин не поговорить об искусственном интеллекте. Даже наоборот. На этот раз источником стал не кто-то, а компания Samsung. Южнокорейский технологический гигант уже намекал на возможности генеративного ИИ в будущих продуктах, в частности, подтвердив, что процесс...

Неубиваемый Samsung Galaxy Tab Active 5 готовится к старту: первые подробности Компания Samsung Electronics вовсю готовится к запуску нового поколения своего прочного планшета Galaxy Tab Active, ориентированного на суровые условия использования. В сети уже появились первые подробности о грядущей новинке.  Самым свежим пополнением в линейке б...

Microsoft представит «локальный ИИ на ПК» и другие технологии в мае Стало известно, что уже в мае Microsoft представит новые ИИ-функции, расширяющие возможности виртуального Windows-помощника Copilot. Известно, что будут представлены улучшенные функции копирования и вставки на основе ИИ, а также «умная» технология AI Explorer.

Apple представила собственную ИИ для редактирования фото На текущий момент абсолютно очевидно, что компания Apple отстаёт от гигантов вроде ChatGPT от OpenAI и Gemini от Google — работа над искусственным интеллектом требует безумных вложений сил, денег и времени, и у Apple с этим пока что серьёзные проблемы. Тем не менее, компания...

В России резко увеличивают качество автомобилей УАЗ. Что нового? На УАЗе началась обширная программа модернизации механосборочного производства, направленная на улучшение мостов для внедорожников УАЗ. Оптимизация процесса сборки, осуществляемого на участке, известном как «Галерея», включала в себя тщательный анализ потоко...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Немедленно в печать! Настоящее и будущее аддитивных технологий Первая волна массового использования 3D–принтеров захлестнула мир чуть больше 10 лет назад. Поначалу технологию воспринимали как выставочную, однако уже в 2016 году она доказала свою состоятельность. Оборудование стоимостью менее 1000$ стало доступным для рядовых покупателей...

Моль может помочь в выращивании следующего поколения пандемических вакцин Новая технология производства вакцин описывается как более дешевая, простая и быстрая, чем использование куриных яиц.

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Распознавание лиц на микрокомпьютерах В последние годы появляется всё больше технологий с использованием Computer Vision: это и беспилотные автомобили, и Face ID в телефоне, и умные камеры, способные фиксировать утечку теплоизоляции крыши. Кто-то прогресс приветствует, кто-то нет, но неизменно одно – процесс это...

Для Cyberpunk 2077 выпущен мод, повышающий качество изображения и производительность на 40% С ним пользователям становится доступна технология трассировки путей.

Google представила свой новый процессор Axion, и это снова Arm Компания Google представила свой собственный процессор под названием Axion. Название, видимо, давно в честь аксионов — гипотетических элементарных частиц, которые, кроме прочего, могут составлять тёмную материю.  Google Axion создан на основе архитектуры Arm...

Yahoo решила купить новостное ИИ-приложение от сооснователей Instagram* Artifact — новостное приложение с искусственным интеллектом от сооснователей Instagram*. Хотя оно так и не достигло массового успеха, его технология вот-вот охватит огромную аудиторию. Yahoo объявила о приобретении приложения, стремясь добавить «технологически продвинутую кр...

NVIDIA выпустила ролик Alan Wake 2, где показала производительность и графику игры 24 октября NVIDIA поделилась информацией о том, как будет использоваться трассировка лучей и реконструкция лучей в игре Alan Wake 2. В компании были опубликованы несколько сравнительных скриншотов, которые иллюстрируют влияние этих технологий на освещение, отражения и тени в...

Infinix показала аналог MagSafe для Android и она лучше, чем у Apple. Что в ней уникального Все мы привыкли к тому, что быстрая зарядка стала чем-то обыденным. Правда, многие производители хитрят и клянутся красивой вывеской «быстрая зарядка» на что-то с мощностью 20–30 Вт. Но мы им тут не судьи. Лучше давайте поговорим о том, что действительно меняется в мире быс...

«Ответы практически на любой мыслимый запрос», — автомобили Volkswagen ID, Tiguan, Passat и Golf получат ChatGPT уже в этом году Компания Volkswagen официально сообщила о том, что чат-бот с искусственным интеллектом ChatGPT будет интегрирован в существующий голосовой помощник IDA автопроизводителя и появится в разных моделях позднее в этом году. ChatGPT принесет «интересные беседы» и ...

Первый складной iPhone выйдет вместе с Samsung Galaxy Z Fold 9 и Flip 9. К его разработке подключили часть создателей Vision Pro Apple значительно отодвинула свои планы по выходу на рынок складных смартфонов, о чем сообщает издание DigiTimes со ссылкой на собственные источники. Если верить DigiTimes, Apple отложила выпуск своего первого складного iPhone с четвертого квартала 2026 года на первый к...

Опрос по оценке эффективности работы сотрудников Оценка эффективности сотрудников играет ключевую роль в управлении персоналом и развитии организации. Правильный подход к этому процессу не только помогает выявить лидеров и потенциал для роста внутри компании, но и способствует улучшению взаимопонимания между сотрудниками и...

5 отличий Apple Watch Ultra 2 от Apple Watch Ultra Определить, что перед вами новая модель по внешнему виду очень сложно Когда Apple на презентации 12 сентября показала второе поколение своих защищенных часов Apple Watch Ultra, сложилось ощущение, что в них не изменилось ровным счетом ничего. Не добавилось даже новых цветов ...

В банкоматах ВТБ можно будет снимать цифровые рубли ВТБ заявил, что первым среди российских банков разработал технологию снятия цифровых рублей через банкомат. Она была представлена на форуме инновационных финансовых технологий Finopolis-2023. Новая возможность доступна пользователям «ВТБ онлайн», участникам ...

NVIDIA RTX Video HDR — ИИ-технология для улучшения SDR-контента Наряду с видеокартами серии RTX 40 и технологией G-SYNC Pulsar, компания NVIDIA представила ещё одну функцию под названием RTX Video HDR

370 долларов за первый в мире смартфон на Snapdragon 8 Gen 2 и с аккумулятором емкостью 5500 мА·ч. Представлен OnePlus Ace 3 Сегодня OnePlus официально представила в Китае свой новейший смартфон OnePlus Ace 3. Он построен на Snapdragon 8 Gen 2 и потому не является флагманом новой волны, но для своей цены в 370 долларов предлагает много всего. Например, OnePlus Ace 3 получил экран OLED BOE X1...

Российские ученые разработали ИИ для ускоренного создания лекарств Ученые ИТМО представили новый алгоритм на основе искусственного интеллекта (ИИ) с помощью которого будет происходить генерация фармацевтических сокристаллов, используемых в разработке будущих лекарств. Эта технология обещает значительное ускорение и удешевление процесса созд...

Чем Google Tensor 3 отличается от предыдущего и что еще надо о нем знать Флагманские смартфоны Google серии Pixel 8 имеют в своей основе новый полукастомный процессор под названием Tensor G3. Как следует из названия, это третье поколение чипа, и все, кто планирует купить этот смартфон, имеют самые высокие ожидания. Однако компания не стала уделя...

Спутники будущего: автономность ИИ и облачная система станут реальностью Главный исследователь в исследовательском центре SmartSat Cooperative Research Centre (CRC) утверждает, что будущие спутники будут иметь возможность принимать самостоятельные решения, будут оснащены искусственным интеллектом и смогут работать в собственной «облачн...

Сотрудник Apple украл секретную информацию о Project Titan и получил реальный тюремный срок Бывший инженер Apple будет отбывать срок в тюрьме после того, как признал себя виновным в краже коммерческой тайны, связанной с технологией беспилотных автомобилей компании, также известной как Project Titan. Сяолан Чжан был обвинен еще в 2018 году после расследования Ф...

Apple ведёт переговоры об использовании китайского ИИ в iPhone Apple обсуждает возможность использования генеративного искусственного интеллекта Baidu в iPhone и других устройствах в Китае, о чем сообщает Bloomberg со ссылкой на The Wall Street Journal. Apple провела первые переговоры об использовании технологии генеративного искус...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Mazda создала целую команду для разработки роторных двигателей Компания Mazda создала  инженерную команду, специализирующуюся на разработке роторных двигателей. Глава компании, Кацухиро Моро, заявил, что решение было принято после того, как концепт Iconic SP был очень тепло встречен публикой, поэтому он может стать серийным пр...

Samsung разрабатывает процессор для нового ИИ Компания Samsung Electronics стремительно развивается в области производства полупроводников, но даже при этом компании не удалось привлечь внимание лидеров рынка вроде NVIDIA, которые предпочитают TSMC из-за более продвинутых технологических процессов. Но сегодня появилась ...

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

Microsoft анонсировала Surface Pro с OLED-экраном и процессором Snapdragon X Изображение с портала The Verge Помимо Surface Laptop, Microsoft также представила новое поколение планшета Surface Pro. Компания называет его первым устройством, подходящим под определение Copilot+. Подробнее об этом в нашей предыдущей статье «Microsoft открывает новую эр...

Представлен жесткий диск Seagate Exos объемом 30 ТБ с технологией Mozaic 3+ Seagate, американская компания по хранению данных, представила новый жесткий диск Exos объемом 30 ТБ, использующий технологию Mozaic 3+.

E-Plus 3D EP-P420: производительность и экономичность в одном 3D-принтере 3D-печать продолжает завоевывать всё больше областей в производстве, и это не удивительно. В отличие от традиционных методов изготовления, она предлагает более быстрый, эффективный и экономически выгодный способ производства. Среди множества технологий можно выделить техноло...

Топ-менеджер Samsung намекнул на поддержку спутниковой связи смартфонами Samsung Galaxy S24 Пак Ён-ин (Park Yong-in), генеральный директор подразделения Samsung System LSI, сообщил, что со следующего года в смартфонах компании появится поддержка спутниковой связи для вызова служб экстренной помощи. Конкретно о флагманах линейки Galaxy S24 ничего сказано не был...

ChatGPT начнут внедрять на «Госуслугах» уже в этом году На «Госуслугах» может появиться чат-бот с искусственным интеллектом ChatGPT, рассказал министр цифрового развития РФ Максут Шадаев в рамках открывшегося в Алма-Ате цифрового форума Digital Almaty 2024. По словам Шадаева, ChatGPT будет использоваться для конс...

Оборонные компании Европы разрабатывают лазерное оружие второго поколения на основе DragonFire Ведущие оборонные компании Европы, включая MBDA, Leonardo и QinetiQ, работают над проектами "второго поколения" лазерных систем вооружений, основанных на технологиях прототипа DragonFire. Эти передовые лазерные системы смогут использоваться как на море, так и на суше, открыв...

Первую в истории стиральную машину с рекордной степенью чистоты на 10 и 12 кг белья выпустила не Xiaomi — представлена TCL Super T7H Сегодня состоялась конференция TCL 2024 Super Bath, на которой была представлена стиральная машина Super T7H. Производитель заявил, что новые технологии позволили добиться самого высокого в отрасли коэффициента очистки 1,2. Так называемый коэффициент очистки предусмотре...

В новом ядерном реакторе используется необычный тип технологии охлаждения Американский стартап Kairos Power получил разрешение на строительство ядерного реактора нового типа. В этом проекте, известном как Hermes, используется технология охлаждения с использованием соли

PlayStation 5 Pro будет работать в режимах 4K 60 fps и 8K 30 fps Такая производительность будет достигнута консолью благодаря обновлению аппаратного обеспечения и использованию собственной технологии масштабирования PSSR

iPhone 17 и iPhone 17 Plus могут получить 120-герцевые OLED-экраны ProMotion, которые до этого были только у Pro-моделей В следующем году iPhone 17 и iPhone 17 Plus могут быть оснащены OLED-дисплеями ProMotion с низким энергопотреблением. Да, впервые технология ProMotion, которая пока используется в Pro-моделях, станет доступна стандартным моделям iPhone. Об этом пишет издание The Elec со...

Безопасность в Docker: от правильной настройки хоста до демона Привет, Хабр! Меня зовут Эллада, я специалист по информационной безопасности в Selectel. Помогаю клиентам обеспечивать защиту инфраструктуры и участвую в разработке новых решений компании в сфере ИБ. И сейчас я начала больше погружаться в тему разработки и изучать лучшие пр...

Новый процессор Huawei с ядрами Taishan V120 показал приличную производительность Появились новые результаты тестов будущего серверного процессора Huawei, показывающие уровни производительности, которые конкурируют с ядрами AMD Zen 3. Процессор был замечен на платформе Geekbench, хотя его точное название пока держится в секрете. Тем не менее, его про...

На УАЗе внедрили новую схему проверки кузова на герметичность: она уже используется для УАЗ Патриот и Sollers Argo На УАЗе внедрили новую схему проверки кузова на герметичность (AirFlow-тест) — с использованием специальных заглушек из ABS-пластика, о чем сообщается в корпоративной газете «Панорама УАЗа».. Сотрудники УАЗа успешно разработали и внедрили инновационные...

Даёшь вдвое больше искусственно дорисованных кадров в играх! Технологии генерации кадров в Nvidia DLSS 3 и AMD FSR 3 заставили работать вместе Апскейлеры Nvidia DLSS и AMD FSR имеют собственные варианты технологии генерации кадров, которые и работаю по-разному, и доступны на разных видеокартах. Но оказалось, что можно заставить эти технологии работать вместе.  Авторы из QuasarZone решили проверить, получ...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Первый электрический авиалайнер появится в 2033 году Так может выглядеть электрический авиалайнер E9X Электрический экспериментальный самолет NASA X-57 Maxwell потерпел неудачу — он не взлетел в конце 2023 года, как было запланировано, и больше никогда не взлетит, так как проект был закрыт. Однако это не поставило крест ...

В России определились с диапазонами для 5G В России планируется использовать частоты в диапазоне 4,4–4,99 ГГц для развертывания технологии 5G. Это стало известно из новой Таблицы распределения полос радиочастот, утвержденной правительством в конце января. Как пишет «Известия», для использования...

В России представили отечественную ОС «Аврора» 5.0 На конференции Rostelecom Tech Day публике впервые представили пятую версию отечественной операционной системы «Аврора». Презентацию провела компания «Открытая мобильная платформа», которая ведёт разработку ОС.  Фото: ОМП Новое поколение от...

В российских вузах собираются ввести единый образовательный стандарт по аддитивным технологиям Проект стандарта уже разработан и обсуждается с ведущими университетами страны, сообщила директор Ассоциации развития аддитивных технологий (АРАТ) Ольга Оспенникова на казанском форуме «Аддитивные технологии — новая реальность». Принятие стандарта ожидается в следующем году.

Qualcomm официально представила Snapdragon 7+ Gen 3 Компания Qualcomm сегодня анонсировала свой новый процессор под названием Snapdragon 7+ Gen 3, который относится к чипам среднего класса и обладает достаточно неплохим набором возможностей. Платформа является эволюцией Snapdragon 7 Gen 3 и предоставляет производительное ядро...

Китай хочет заполучить 300 эксафлопс вычислительной мощности уже к 2025 году Китай хочет уже к 2025 году повысить свою вычислительную мощность на 50%. В данном случае речь идёт о суммарных вычислительных мощностях всех суперкомпьютеров в стране.  Если у Китая это удастся, речь будет идти примерно о 300 эксафлопс. На текущий момент Китай ра...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Microsoft решила использовать FSR 2.2 в качестве базового алгоритма для DirectSR Microsoft представила API DirectSR (Direct Super Resolution), который стандартизирует технологии улучшения производительности в играх на основе суперразрешения

NASA готовится протестировать новую конструкцию солнечного паруса, которая обещает сделать их ещё более эффективными Солнечное давление, присутствующее во всей Солнечной системе, хоть и ослабевает с расстоянием, всё же оказывает влияние на космические аппараты. Это влияние становится особенно значимым для длительных космических полетов, где малейшие отклонения могут привести к существ...

Япония планирует передавать солнечную энергию из космоса на Землю в 2025 году Япония объявила о планах направить солнечную энергию из космоса на Землю в 2025 году, через два года после аналогичного достижения американских инженеров. Эта разработка является шагом к потенциальной космической солнечной электростанции, которая может способствовать от...

Росатом представил разработки в сфере 3D-печати на Всемирном фестивале молодежи Компания-интегратор атомной отрасли в области аддитивных технологий «РусАТ» представила новейшее отечественное оборудование для аддитивного производства и 3D-сканирования, а также ряд 3D-печатных изделий, в том числе выполненных по технологии прямого лазерного выращивания.

Раскрыт секрет камеры Google Pixel 8 Pro. В ней используется новейший сенсор Samsung После того, как вышел Google Pixel 8 Pro, много слухов ходило о его сенсорах. Высказывалось предположение, что в основной камере применяется датчик Samsung ISOCELL GNV, однако оно оказалось ошибочным. Впрочем, ошибиться было легко. В реальности в смартфоне используется...

Intel APO значительно повышает производительность Core i9-14900K в Rainbow Six Siege и Metro Exodus Технология поддерживается на некоторых новых процессорах Core 14-го поколения.

Intel завершает установку первого станка для производства чипов EUV Компания Intel завершила процесс установки литографической машины High-NA EUV, полученной от ASML. Технологический гигант будет разрабатывать технологии 14A на этих дорогостоящих машинах в 2025 году.

SK Hynix Inc. вложит еще $14.6 миллиардов в расширение своих производственных мощностей Компания планирует окупить свои вложения за счет дальнейшего развития технологий искусственного интеллекта

Компания LG Electronics представляет линейку саундбаров 2024 года Компания LG Electronics (LG) представляет линейку саундбаров 2024 года, модели S95TR, SG10TY и S70TY. Эти саундбары созданы чтобы органично дополнять телевизоры LG, улучшать характеристики домашнего кинотеатра с помощью стабильно высокого качества воспроизведения аудио и ра...

Архитектура AMD RDNA 3.5 придёт, чтобы остаться надолго. Компания будет использовать её минимум до 2027 года Уже в ближайшие месяцы компания AMD представит первые мобильные процессоры с iGPU на основе обновлённой архитектуры RDNA 3.5. Согласно свежим данным, эта архитектура будет использоваться компанией ещё несколько лет.  Инсайдер Golden Pig Upgrade утверждает, что RDN...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Radeon RX 7900 XTX против GeForce RTX 4080 с трассировкой, без неё, с DLSS/FSR и без них. Объёмный новый тест расставляет всё по местам Авторы канала Hardware Unboxed решили снова протестировать видеокарты Radeon RX 7900 XTX и GeForce RTX 4080, сведя их в очной битве. Но в отличие от большинства других тестов, в новом они решили полноценно затронуть и обычную растровую производительность, и производител...

Samsung представила два QD-OLED-монитора для геймеров Сегодня компания Samsung официально анонсировала сразу два совершенно новых игровых монитора на базе технологии QD-OLED — речь про модель диагональю 31,5 дюйма и модель диагональю 27 дюймов, которые будут выпущены в продажу следующем году. Стоит сразу отметить, что это не сл...

Samsung представила карту microSD со скоростью передачи данных до 800 МБ/с. Это быстрее любого SSD с SATA Компания Samsung Electronics представила первую в отрасли карту памяти microSD с поддержкой интерфейса SD Express. Скорость передачи данных у такой карты достигает впечатляющих 800 МБ/с.  Это больше, чем может обеспечить любой SSD с интерфейсом SATA и вчетверо быс...

Следующее поколение литий-серных аккумуляторов будет заряжаться за 5 минут Ученые университета Аделаиды представили новую технологию зарядки литий-серных (Li-S) аккумуляторов, которая может сократить время зарядки с нескольких часов до менее чем пяти минут. Они опубликовали свои результаты в журнале Nature Nanotechnology.

Logitech анонсировала беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED с технологией KEYCONTROL Компания Logitech представила новую беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED, разработанную в сотрудничестве с профессиональными киберспортсменами. Уникальная технология KEYCONTROL позволяет настраивать тактильный отклик клавиш, а сверхбыстрая беспроводная связь о...

В ПНИПУ запатентовали технологию 3D-печати металлами методом плазменного наплавления Технология послойного плазменного наплавления присадочной проволоки позволяет изготавливать металлические изделия с высокой производительностью и качеством при относительно невысоких затратах. Ученые Пермского национального исследовательского политехнического университета ра...

Honor Magic6 тоже получит поддержку 5.5G В настоящее время в Китае новым трендом является сотовая связь нового поколения под названием 5.5G — компании Oppo, Xiaomi и Vivo уже официально заявили о поддержке этой технологии в своих флагманских устройств, пообещав пользователям запредельную скорость передачи данных и ...

5500 мАч, 100 Вт, IP64, сверхъяркий экран BOE X1 OLED, 50-мегапиксельный сенсор Sony IMX890, Snapdragon 8 Gen 2 – за 500 долларов. Представлен OnePlus 12R для глобального рынка Сегодня состоялась большая премьера OnePlus – компания представила для глобального рынка флагманский OnePlus 12 и субфлагманский OnePlus 12R. В этой новости – о последнем. OnePlus 12R (он же OnePlus Ace 3 в Китае) построен на однокристальной платформе Qualc...

Запас хода 29 200 км и 7000 машин на борту. Гигантское судно BYD отправилось в первый рейс Компания BYD Auto, что 15 января 2024 года из международного логистического порта Сяомо отправилось в свой первый рейс грузовое судно BYD Explorer No.1. 9 января BYD Explorer №.1 был был доставлен заказчику. Судно ро-ро (ролкер), построенное CIMC Raffles, дочерней компа...

Наконец-то заметный скачок: Samsung Galaxy Watch 7 получат очень быструю платформу и смогут работать долго без подзарядки По данным аналитика The Galox, однокристальная система Exynos W940, которая будет установлена в Samsung Galaxy Watch 7, будет примерно на 50% эффективнее и на 30% быстрее, чем SoC в предыдущей модели. Помимо обновления чипсета, Galaxy Watch 7, как сообщается, будут имет...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Представлена «активная воздушная юбка» Hyundai Hyundai Motor Group представила технологию Active Air Skirt (AAS), которая служит для увеличения запаса хода. Речь идёт об установке «воздушных юбок» перед ведущими колесами, но за передним бампером, чтобы минимизировать турбулентность, создаваемую колесами....

Wi-Fi 7 (IEEE 802.11b) — характеристики и особенности технологии Беспроводная связь Wi-Fi имеет собственные стандарты, которые обновляются и улучшаются. Расскажем, что такое Wi-Fi 7, чем он отличается от Wi-Fi 6 и на каких смартфонах поддерживается. Характеристики Wi-Fi 7 Wi-Fi 7 или IEEE 802.11be — новейший стандарт беспроводной связи Wi...

Первые покупатели начнут получать гуманоидного робота Tesla Optimus уже в 2025 году В мире технологий наступает новая эра: Tesla планирует начать поставки своего первого гуманоидного робота Optimus уже в 2025 году.

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Процессоры 15-го поколения от Intel могут быть выпущены без технологии Hyper-threading В таком случае, производительность в определенных сценариях может значительно снизиться

iQOO Neo10 Pro получит SoC Snapdragon 8 Gen 3 Китайский инсайдер Digital Chat Station поделился подробностями о смартфоне iQOO Neo10 Pro, который еще не был представлен официально. Утверждается, что аппарат оснастят топовой 4-нанометровой платформой Qualcomm Snapdragon 8 Gen 3, плоским дисплеем, созданным по технологии ...

Dreame L10s Pro Ultra: робот-пылесос с технологией MopExtendTM и шестью универсальными автоматическими функциями Компания Dreame Technology выпустила полноценный самообслуживающий робот-пылесос Dreame L10s Pro Ultra, снабженным рядом уникальных и инновационных функций, которые облегчают и ускоряют процесс уборки, обеспечивая безупречную чистоту в вашем доме.  Выдвигающаяся швабра д...

Китай делает шаг к будущему связи, успешно испытывая оптическую космическую технологию для 6G Ученые из Китая успешно провели испытания космической технологии оптической коммутации, которая может стать основой для разработки сетей 6G и нового поколения спутникового интернета.

«Первый в мире игровой монитор Fast IPS с поддержкой технологии 4K IGZO и частотой 165 Гц». Представлен ViewSonic VX2781-4K-Pro-6 Компания ViewSonic представила монитор VX2781-4K-Pro-6, заявив, что это «первый в мире игровой монитор Fast IPS с поддержкой технологии 4K IGZO и частотой 165 Гц». VX2781-4K-Pro-6 оснащен 27-дюймовой панелью Fast IPS с разрешением 3840 x 2160 пикселей. Время...

КамАЗ будет развивать водородные технологии ПАО АФК «Система» и КамАЗ заключили соглашение о сотрудничестве в области развития водородных технологий. Стороны объединят свои усилия для создания серийных продуктов, использующих водород, таких как грузовые автомобили, складская техника, водные автобусы-э...

Неужели артефакты на фотографиях с iPhone станут историей? Apple тестирует новую технологию объектива iPhone 16 Pro Apple тестирует новую технологию покрытия объектива камеры для iPhone 16 Pro и iPhone 16 Pro Max, о чем сообщают источники внутри компании Речь идёт о технологии атомно-слоевого осаждения (atomic layer deposition, ALD), которая позволяет наносить материал по одному атом...

Революционно новый Duster уже на подходе. Премьера – в ноябре Dacia уже давно дразнит новым Duster, но сейчас появилось больше конкретики относительно даты выхода: премьера ожидается примерно через месяц, в середине ноября. Но продажи стартуют чуть позже, в начале 2024 года. По данным ресурса Actudacia, который раскрыл подробност...

В России спустя два года возобновляется производство современных европейских кроссоверов Citroen C5 Aircross и Peugeot 4008 В России возобновится выпуск современных европейских кроссоверов после двухлетнего перерыва. Завод «ПСМА Рус» в Калужской области планирует собирать соплатформенные модели французских брендов Peugeot и Citroen, используя модульную платформу EMP2 (Efficient M...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Nissan вводит новый уровень интеграции технологий в автомобилях Кажется, будущее автомобилей обещает быть еще более инновационным и вовлекающим. С новым концептом Nissan Hyper Tourer компания не только представила новый дизайн, но и демонстрирует новые возможности интеграции технологий для повышения комфорта и безопасности пассажиров.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Представлен первый двигатель Honda V8 стоимостью с автомобиль. Только предназначен он не для машин, а для лодок Honda никогда не создавала двигатель V8 для своих автомобилей, но компания представила двигатель V8 с системой VTEC для лодок. Этот двигатель, который получил модельный номер BF350, был создан подразделением Honda Marine. Он предназначен для лодок и катеров длиной боле...

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

На Switch 2 для большинства игр будет применяться масштабирование картинки Инсайдер Moore's Law is Dead со ссылкой на отраслевые источники рассказал, что для большинства игр на консоли Nintendo Switch 2 будет использоваться технология апскейлинга NVIDIA DLSS.

Intel представила ускоритель ИИ Gaudi 3 На протяжении достаточно длительного времени в сети ходили слухи о том, что компания Intel планирует создать собственное аппаратное обеспечение для работы с искусственным интеллектом. А сегодня, к счастью, компания наконец представила свой собственный ускоритель для искусств...

Технология единого входа: как работает SSO Привет, Хабр!Казалось бы, что может быть проще, чем один вход для всех сервисов? Но за этой кажущейся простотой скрываются сложные технические детали.Концепция SSO не появилась вчера, она развивалась на протяжении многих лет. Эта идея начала набирать обороты в эпоху, когда и...

Apple решила улучшить телеобъектив в iPhone 16 Pro Аналитик TF International Securities Минг-Чи Куо сделал несколько прогнозов, связанных с iPhone 16 Pro и iPhone 16 Pro Max, которые выйдут только через год. Спрос на новый iPhone 16 Pro Max будет очень высоким, поскольку его основным преимуществом является телеобъектив,...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

У Nvidia есть хоть что-то для поставок в Китай? Ускоритель HGX H20 сильно задержится Компания Nvidia испытывает трудности с поставками ускорителей для ИИ в Китай. И не только из-за санкций. Как сообщается, модель H20, созданная специально для Поднебесной, во втором квартале текущего года только поступит в массовое производство.  Изначально ещё в н...

Первый на Xiaomi HyperOS. Объём запасов Xiaomi 14 будет очень большим Объем запасов серии флагманских смартфонов Xiaomi 14 будет очень большим, а внутренняя уверенность компании в новую линейку является максимальным. Об этом сообщил инсайдер Digital Chat Station. Xiaomi 14 станет первым смартфоном компании, который получит новую операцион...

Представлены 31-дюймовый куполообразный OLED-дисплей и первый в мире изогнутый 65-дюймовый 8K-монитор TCL CSOT анонсировала ряд новых дисплеев, включая новый интересный куполообразный игровой OLED-монитор для ПК. Новые игровые мониторы были представлены на DTC 2023 (Global Display Tech-ecosystem Conference 2023), которая проходит в Ухане, Китай. Новый игровой монитор ос...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

К выходу готовятся платформы Snapdragon X Series, которые будут квантовым скачком вперед в производительности и энергоэффективности Компания Qualcomm готовится к ребрендингу своих платформ Snapdragon, хотя мобильных платформ это пока не коснётся. Следующее поколение SoC для ПК будет называться Snapdragon X Series. Подробности мы узнаем уже в ноябре. Об этом в своем блоге рассказал старший вице-през...

Компания Nokia прекратила своё существование Сегодня компания HMD Global решила официально прекратить существование компании Nokia и удалить все упоминания о компании со своего веб-сайта. Теперь, когда Nokia больше не существует, HMD Global собирается начать работу над собственными смартфонами и мобильными телефонами —...

«Яндекс Лавка» предлагает свои технологии сетевым магазинам «Яндекс Лавка» запустила направление по продаже собственных технологий сетевым ритейлерам. Ритейлеры могут выбрать весь набор технологий, которые предлагает сервис, или отдельные модули, например, системы для сборки заказов с полок магазинов, для управления ...

[Перевод] Rust — лучший язык для инфраструктуры данных Мир технологий бесконечно цикличен, и за последние несколько лет произошел еще один поворот колеса. Такие проекты, как ScyllaDB и Redpanda, добились успеха, переписав системы с Java (Cassandra и Kafka соответственно) на C++ для повышения производител...

Apple представила 3-нм чип M4 с улучшенной мощностью и энергоэффективностью На прошедшем сегодня мероприятии компания Apple анонсировала свой очередной фирменный процессор – Apple M4. Чип, созданный с использованием передовой 3-нм технологии второго поколения, обещает снова увеличить производительность и энергоэффективность устройств Apple. Apple M4...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Это видеокарта китайской разработки уровня GTX 1050 и с поддержкой AMD FSR. Анонсирована 30-ваттная Gitstar JH920 Китайская компания Gitstar анонсировала свою собственную видеокарту JH920, которая, по обещаниям производителя, должна быть быстрее, чем GeForce GTX 1050.  Да, это не бог весть какой уровень, но всё-таки речь о полностью китайской разработке. К тому же TDP тут сос...

Xiaomi 14 Ultra станет единственным смартфоном в этой флагманской линейке, который будет оснащен ультразвуковым дактилоскопическим датчиком Топовый флагманский смартфон Xiaomi 14 Ultra получит ультразвуковой дактилоскопический датчик и станет единственным смартфоном линейки с таким решением. Об этом сообщает инсайдер Digital Chat Station. Судя по ранее опубликованной информации, Xiaomi14 Ultra должен исполь...

GeForce RTX 3050 не поздоровится? Intel Arc A580 поступила в продажу раньше официальной даты, и карта дешевле конкурента Видеокарты Intel Arc всё хорошеют и хорошеют. Новый драйвер повышает производительность в ряде игр вплоть до 119% Пока компания Intel готовится запустить продажи видеокарты Arc A580, которую представили ещё прошлым летом, в Японии некоторые ретейлеры начали продажи ран...

Nvidia снова наступает на те же грабли? Представлена технология G-Sync Pulsar, но для её реализации мониторы должны иметь специальный чип Nvidia Компания Nvidia представила на CES 2024 новый этап развития технологии G-Sync — G-Sync Pulsar.   Похоже, что под новым именем в целом скрыты «старые» технологии: собственно, сама G-Sync, то есть переменная адаптивная кадровая частота монитора, и U...

Ryzen 7 8840U без труда кладёт на лопатки Core Ultra 7 155H, и речь не о CPU или GPU. AMD поделилась тестами блоков NPU Компания AMD снова хвастается производительностью блока NPU в своих мобильных процессорах Ryzen 8000, сравнивая их с новейшими Core Ultra.  AMD сравнила Ryzen 7 8840U с Core Ultra 7 155H в тестах, связанных с большими языковыми моделями. В чате Llama v2 APU Ryzen ...

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

У Apple пока не получается избавиться от зависимости от Qualcomm. Теперь компания планирует выпустить собственный модем 5G только в 2026 году Компании Apple снова придётся переносить свои планы относительно замены модемов Qualcomm в iPhone на собственные.  Как сообщается, купертинский гигант всё ещё сталкивается с техническими трудностями при разработке собственного модема 5G. Компания уже переносила ср...

5-минутная зарядка для электромобилей: Polestar представила прототип Израильская технологическая компания StoreDot, известная своей идеей пятиминутной зарядки электромобилей, в партнерстве с Polestar представила первый полномасштабный прототип, в котором реализована технология экстремальной быстрой зарядки (XFC) StoreDot. Массовое производств...

"Ил" внедряет технологию 3D-печати для производства оснастки для сборки самолетов Ил-76МД-90А В ульяновском филиале ПАО "Ил" – "Авиастар" внедрена технология 3D-печати для производства оснастки из композитных материалов для сборки самолетов Ил-76МД-90А

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

AMD анонсировала новые профессиональные процессоры Ryzen PRO 8000 с искусственным интеллектом AMD представила профессиональные процессоры Ryzen PRO с интегрированными технологиями ИИ, обеспечивающими беспрецедентную производительность рабочих нагрузок.

Представлены новейшие телевизоры LG QNED и QNED Mini 2024 Компания LG официально анонсировала новейшие серии светодиодных телевизоров QNED и QNED Mini 2024 модельного года, которые будут показаны на выставке CES 2024 в начале этого месяца. Согласно данным Displayspecs, LG выпустит три модели 4K MiniLED серии 90T и две модели 8...

Hyundai H-1 снимут с производства. Представлено прощальное издание Final Edition Компания Hyundai прощается с минивэном H-1, представив финальное издание Final Edition (FE). Компании подтвердили, что это последняя партия минивэнов, который снимут с производства в следующем году. Hyundai H-1 Final Edition получит 9-дюймовую мультимедийную систему, бе...

Xiaomi 14 Ultra станет первым смартфоном компании с двумя телеобъективами и новым аккумулятором высокой плотности Топовый флагманский смартфон Xiaomi 14 Ultra получит более крупный аккумулятор высокой плотности. Об этом сообщает инсайдер Digital Chat Station. Также смартфон получит улучшенные датчики изображения, два модуля с телеобъективами и новой архитектурой, а также новыми апп...

Представлен Land Rover Defender Octa — новый флагман серии Jaguar Land Rover собирается выпустить самую мощную модель Land Rover Defender в истории, которая получит название Octa и станет новой флагманской моделью серии. Ранее ожидалось, что флагман может использовать давно снятое с производства название SVX, но теперь Jaguar ...

Google будет предоставлять военным США доступ к искусственному интеллекту при стихийных бедствиях Согласно заявлению Пентагона, вместо человеческого анализа будет использоваться технология распознавания изображений компании Google.

Meta выпустила новый генератор изображений с ИИ для веб и своих приложений Meta представила Imagine with Meta, передовой независимый генератор изображений AI, сделав значительный шаг вперед в области технологий искусственного интеллекта.

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

350 метров и 16 592 контейнера: представлен самый большой в мире контейнеровоз на зеленом метаноле Moller-Maersk представила самый большой в мире контейнеровоз Ane Maersk, работающий на зеленом метаноле, который был построен на корейской верфи Hyundai Heavy Industries в городе Ульсан, сообщает Bloomberg. Новый член флота Maersk имеет длину 350 метров и предназначен д...

YOFC представила передовые инновации на MWC 2024 в Барселоне   Компания YOFC (Yangtze Optical Fibre and Cable Joint Stock Company), лидер на мировом рынке оптического волокна и кабеля, представила пакет передовых продуктов и решений на Всемирном мобильном конгрессе 2024 в Барселоне (MWC Barcelona). В рамках темы «Объединяя будуще...

Xiaomi 14 Ultra получит топовую квадрокамеру с Sony LYT900 и переменную диафрагму F/1,6-F/4,0 Топовый флагманский смартфон Xiaomi 14 Ultra будет оснащен четырьмя камерами с разным фокусным расстоянием. Об этом сообщает инсайдер Digital Chat Station. Кроме того, основная камера будет поддерживать переменную диафрагму, которую можно будет отрегулировать в диапазон...

Смешанная реальность, 3D-дисплей без очков, множество технологий и новинок для дома и автомобильного бизнеса: что покажет BOE на CES 2024 С 9 по 12 января в Лас-Вегасе, США, откроется пройдет Международная выставка бытовой электроники CES 2024, в которой примет участие компания BOE. На выставке CES 2024 компания BOE представит множество инновационных технологий и экранов, в том числе ЖК-дисплеи с использо...

Революционные аккумуляторы повысят привлекательность электромобилей даже в холодных регионах Твердотельные аккумуляторы Winter Pro от SK On и твердотельные аккумуляторы Samsung SDI — это прорыв в технологии электромобилей, обещающий повышение производительности и экологичности. Эти инновации решают такие проблемы, как производительность в холодную погоду и время зар...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Дешёвые мыши с Nearlink и возможностью работы даже на стекле. Представлены Huawei Wireless Mouse (2nd Gen) и Huawei Wireless Mouse Starlight Edition Компания Huawei расширила ассортимент периферийных устройств, выпустив на китайский рынок две новые беспроводные мыши: Huawei Wireless Mouse (2nd Gen) и Huawei Wireless Mouse Starlight Edition. Huawei Wireless Mouse (2nd Gen) поддерживает соединение Nearlink, запатентов...

"Росатом" разворачивает новую эру в аддитивных технологиях с запуском промышленных 3D-принтеров "Росатом" запустил в серийное производство девять среднегабаритных 3D-принтеров для промышленного использования, использующих технологию SLM. Улучшенная модель RusMelt 310M, внесенная в реестр Минпромторга как отечественный товар, обещает покрыть значительную долю потребност...

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

Развивая технологию F5G, Huawei запускает три корпоративные оптические сети На саммите «Эволюция F5G, повсеместное внедрение интеллекта», проведенном в рамках конференции HUAWEI CONNECT 2023, компания Huawei поделилась своими методами применения технологии F5G с глобальными клиентами и партнерами в различных отраслях, от электроэнергетики до образов...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Huawei сосредоточится на производстве своих ИИ-чипов вместо смартфонов Mate 60 Компания Huawei планирует увеличить производство своего ИИ-чипа Ascend 910B, за счет снижения выпуска смартфонов Mate 60 на одном из своих предприятий. Это решение вызвано низкой производительностью на заводе, где производятся и Ascend и Kirin чипы, используемые в смартфонах...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Единственный в мире родстер Lamborghini Diablo SV выставили на продажу На 13 декабря запланированы закрытые торги от аукционного дома RM Sotheby's, где будет выставлен единственный родстер Lamborghini Diablo SV. Этот автомобиль станет уникальным предметом для коллекционеров, поскольку представляет собой единственный экземпляр в специфи...

Новый Exeed VX в России первым получил поддержку технологии Internet of vehicles: что она включает Новый Exeed VX, представленный на российском рынке в рамках международного форума в автосалоне Москвы, после обновления получил поддержку технологии Internet of vehicles. По сути, концепция Internet of vehicles (IoV) включает в себя использование в автомобиле сетевых те...

Оказалось, что технология апскейла Apple MetalFX основана на AMD FSR Технология апскейла Apple MetalFX, как оказалось, основана на разработках AMD. создано DALL-E Документы Apple раскрыли подробности о MetalFX, согласно которым, опирается эта технология на AMD FSR. Последняя является технологией с открытым исходным кодом, так что Apple,...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

GigaChat Pro. Технические детали, сдача ЕГЭ и сравнение с конкурентами С момента запуска GigaChat прошло около полугода, и за это время у нас появилось более полутора миллионов пользователей. Они активно используют нейросетевые технологии как в работе, так и для развлечения. От пользователей поступают разнообразные запросы: от просьбы сделать к...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

Lenovo представила P1 Gen 7: первый в мире ноутбук с памятью нового поколения Lenovo ThinkPad P1 Gen 7 анонсирован и в нем впервые применена память LPCAMM2 от компании Micron. Эта технология имеет ряд преимуществ перед традиционными вариантами.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)