Социальные сети Рунета
Понедельник, 20 мая 2024

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Samsung намерена начать массовое производство чипов на стеклянной подложке к 2026 году Компания Samsung решила перейти к следующему поколению упаковочного материала, начав работы по созданию стеклянных подложек к 2026 году

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Российский автомобиль «Амбер» выйдет уже в следующем году Российский завод «Автотор» планирует начать массовую сборку небольшого каркасно-панельного электромобиля в четвертом квартале следующего года, о чем пишет «Автопоток» со ссылкой на заявление представителей завода на Международном автомобильном на...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Производство OLED-дисплеев для iPad Pro может начаться в феврале Компании LG и Samsung планируют начать массовое производство OLED-панелей для iPad Pro следующего поколения примерно в феврале 2024 года. Об этом сообщили авторы южнокорейской газеты Chosun Ilbo со ссылкой на собственные источники.Читать дальше... ProstoMAC.com.| Постоянная...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Microsoft анонсирует новый собственный AI-чип Athena уже в следующем месяце Первое поколение проекта Athena может быть произведено с использованием 5-нанометрового процесса TSMC.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Садко или китайцы: кто будет собирать телевизоры Сбера в Новгороде? SberDevices (которые как бы не Сбер, но все всё понимают) в следующем году собирается локализовать до 50% производства (сборки, конечно) своих телевизоров под маркой Сбера (а чего не Салюта?) в Новгороде. Площадку в ОЭЗ «Новгородская» начнут оснащать в начале 2024 года, а на...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Массовое производство 2-нм чипов TSMC обещает начать в конце следующего года И тогда же победить дефицит чипов для систем искусственного интеллекта.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

В Челябинске начнут производить новейшие высокотемпературные двигатели Изображение иллюстративное В третьем квартале текущего года в России будет запущено массовое производство новейших двигателей, устойчиво работающих при температуре более 100 градусов. Данные моторы предназначены для применения в технике и механизмах, функционирующих в экстр...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Nothing Phone (2a) получит SoC Dimensity 7200 Сетевые инсайдеры поделились подробностями о смартфоне среднего уровня Nothing Phone (2a), официальный релиз которого ожидается в первом квартале следующего года. Утверждается, что аппарат будет основан на 4-нанометровой однокристальной системе MediaTek Dimensity 7200 с такт...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

«Возможно, что в следующем году мы выйдем с новым брендом», — глава АвтоВАЗа о новом модельном ряде Президент АвтоВАЗа Максим Соколов заявил, что компания может выйти на российский рынок с новым брендом. Он добавил, что завод в Санкт-Петербурге продолжает работать. «Насколько мне известно, завод в Санкт-Петербурге работает. И даже в новогодние праздники будет ра...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Прощай, легенда. Спустя 60 лет Chevrolet прекратит производство Malibu Дни большого седана Chevrolet Malibu сочтены: на этой неделе General Motors объявила, что производство Malibu завершится в ноябре. Газета Detroit News сообщает, что Bolt следующего поколения в конечном итоге займет место Malibu на заводе GM в Канзасе. «Чтобы облег...

iQOO Z9 получит OLED-экран и мощный процессор Авторитетный инсайдер Digital Chat Station поделился первыми подробностями о смартфоне iQOO Z9, дата выхода которого пока не раскрывается. Утверждается, что аппарат получит OLED-экран с разрешением 1,5K и производительный 4-нанометровый процессор MediaTek Dimensity 8300 с та...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Стартовало производство новейшего Haval H9. Этот рамный внедорожник скоро официально появится в России Производство новейшего Haval H9 стартовало в Китае: машину начали выпускать на заводе в Чунцине. Следующей страной, где запустят производство, станет Россия: новый Haval H9 займет место прежнего, который уже в России не выпускается. Haval H9 — крупный рамный внед...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

Tesla сможет начать импорт электромобилей в Индию при условии локализации производства в течение двух лет О сотрудничестве может быть объявлено уже в январе следующего года.

OPPO Find X7 Ultra не выйдет в Европе Компания Oppo объявила на выставке MWC 2024, что её новые смартфоны вернутся на европейский рынок после конфликта с Nokia. Китайский производитель заключил соответствующее соглашение с испанской компанией Telefonica. Что касается конкретных смартфонов, то представители компа...

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

Планшет iQOO Pad 2 получит SoC Dimensity 9300 Китайский информатор Digital Chat Station раскрыл подробности о характеристиках второго поколения планшета iQOO Pad 2, который еще не был представлен официально. По данным источника, аппарат получит топовую 4-нанометровую платформу Mediatek Dimensity 9300 и крупный LCD-экран...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Это совершенно новый Kia Carnival. Первые живые фото минивэна следующего поколения Первые фотографии совершенно нового Kia Carnival были опубликованы автомобильным издание thekoreancarblog со ссылкой на Youtube-канал Motorsjason. Ожидается, что автомобиль претерпит большие изменения внутри и снаружи, а также получит гибридную трансмиссию. Обновленный ...

Флагманские видеокарты NVIDIA RTX 50XX выйдут в IV квартале 2024 года Согласно новым слухам, флагманские видеокарты NVIDIA следующего поколения — GeForce RTX 5090 и RTX 5080 — могут быть выпущены в IV квартале 2024 года. Новинки будут основаны на архитектуре Blackwell.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

Корабль VG Holdings совершил первую в 24 году 11-ую миссию Впервые все четыре места на борту VSS Unity были заняты частными астронавтами и начато производство многоразовых космолётов следующего поколения класса «Дельта»

TSMC начнёт выпуск тестовых 4-нм чипов на новом предприятии в Аризоне в этом месяце Массовое будет развёрнуто к первой половине следующего года.

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

Начало массового производства H20 и других ускорителей вычислений для Китая ожидают в 2-м квартале NVIDIA разработала новые модели под новые санкции США.

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Стали известны планы по моделям Jeep на ближайшие годы Автоконцерн Stellantis и профсоюз UAW достигли предварительного соглашения о новом контракте для рабочих. В ходе этих переговоров стали известны производственные планы для нескольких моделей, включая Jeep и Dodge, как сообщает Motor1. Большинство популярных внедорожнико...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Следующее поколение графических ускорителей Nvidia может потреблять до 1000 ватт Об этом сообщает компания Dell, специализирующаяся на производстве серверного оборудования

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Apple первая получит доступ к 2-нанометровым чипам TSMC По различным сообщениям, TSMC планирует начать производство 2-нм чипов в конце 2025 года.

«Некоторые из наших клиентов не поверили данным, пока не проверили их на практике». Память HBM3 Gen2 уже тестируется Nvidia Компания Micron уже начала поставки памяти HBM3 Gen2 для Nvidia, и результаты тестирования, похоже, очень впечатляющи.  Память поставляется пока лишь для тестирования: массовое производство ещё, видимо, не началось. Однако уже сейчас понятно, что новая память може...

Windows 12 может быть ОС по подписке Хотя в сообществе энтузиастов Windows это было догадкой, новая утечка, похоже, еще больше подтверждает, что операционная система Microsoft следующего поколения может быть основана на подписке. Ресурс Deskmodder заметил несколько таких записей, связанных с подпиской, в файле ...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

В 2024 году начнут выпускать обновленный Aurus Senat. Ждем как минимум «подтяжку лица» Седан Aurus Senat производится с 2018 года, видимо, настало время рестайлинга. Обновленную машину начнут выпускать в следующем году. Фото: РИА Новости / Александр Гальперин «В следующем году в мае будет запущено производство обновленной версии автомобиля», ...

Массовое производство Snapdragon 8 Gen 4 намечено на III квартал 2024 года Компания Qualcomm сообщила о выходе Snapdragon 8 Gen 3 SoC в октябре прошлого года, и уже в начале 2024 года в розничной продаже появятся рабочие аппараты

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

Xiaomi начала массовое производство своего народного флагмана Redmi K70 Xiaomi объявила о старте массового производства своего новейшего флагмана — Redmi K70.

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Chevrolet Corvette, Tahoe и Suburban нового поколения ожидаются в 2024 году. Компания представила новый слоган Chevrolet меняется вместе со временем и анонсирует новый слоган, Together Let's Drive, который сменил старый (Find New Roads). Chevrolet также заявила, что Bolt является самым доступным электромобилем в Америке. Компания также предлагает Colorado за 30 695 долларов...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года Так AMD поддерживает старые сокеты. Компания выпустила новый процессор Ryzen 7 5700 и готовится выпустить ещё несколько Компания Intel представила процессоры Meteor Lake, которые полностью новые, но, во-первых, доступны только в ноутбуках, а во-вторых, глобально мало н...

12,9-дюймовый iPad Air появится в начале 2024 года, а OLED-модели iPad Pro с чипами M3 выйдут во втором квартале. Прогноз Минг-Чи Куо В своем последнем прогнозе Минг-Чи Куо предсказывает, что Apple компенсирует отсутствие изменений в линейке iPad в этом году, обновив все существующие модели, начиная с обновленного 10,9-дюймового и нового 12,9-дюймового iPad Air с новыми чипами, запланированными к масс...

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

MacRumors: Apple отказалась от производства Touch ID для iPhone Похоже, что в следующем поколении iPhone, которое будет выпущено в 2024 году, Apple может отказаться от одной из своих самых популярных функций - кнопки Home. Согласно недавним утечкам, iPhone 16 будет иметь новый дизайн с полностью плоским экраном и отсутствием физических к...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

Google перенести производство чипсетов Tensor из Кореи на Тайвань Samsung может лишиться крупного заказчика уже в будущем году, но Tensor 4 для Pixel 9 будет производиться в Корее.

Моль может помочь в выращивании следующего поколения пандемических вакцин Новая технология производства вакцин описывается как более дешевая, простая и быстрая, чем использование куриных яиц.

АвтоВАЗ: серийное производство Lada e-Largus стартует летом, а с 15 мая начнут собирать бензиновые машины Президент АвтоВАЗа Максим Соколов заявил, что серийное производство электромобиля e-Largus на заводе в Ижевске стартует летом этого года. Перед этим, уже с 15 мая, стартует массовое производство бензиновых Lada Largus, о чем пишет главный редактор «За рулем»...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Samsung начинает массовое производство флэш-памяти V-NAND 9-го поколения Первые кристаллы имеют ёмкость 1 терабит.

Samsung первой в мире начала массовое производство чипов V-NAND 9-го поколения емкостью 1 ТБ Samsung разработала самый маленький в мире размер ячейки.

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Процессоры Intel 15-го поколения Arrow Lake и AMD Ryzen 9000 Zen 5 выйдут в 3-м квартале 2024 года Эта информация получена с китайских форумов по производству плат.

Очередь за памятью HBM3 и HBM3E производства SK Hynix выстроилась более чем на год SK Hynix недавно заявила, что все ее мощности по производству памяти HBM3 и HBM3E в 2024 году забронированы клиентами. По оценкам SK Hynix, в 2023 году доля серверов, оснащаемых ускорителями с памятью типа HBM и используемыми для работы с искусственным интеллектом, сост...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Samsung Galaxy S24 FE получит 12 ГБ ОЗУ В сети появились подробности о смартфоне Galaxy S23 FE, релиз которого может состояться во второй половине этого года. Итак, устройству приписывают наличие 6,1-дюймового AMOLED-экрана, 12 ГБ оперативной памяти LPDDR5X, 128 ГБ флеш-памяти UFS 3.1 и 256 ГБ памяти UFS 4.0, бат...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Apple перенесла дату анонса AirTag 2 Ранее известный аналитик Минг-Чи Куо утверждал, что массовое производство AirTag второго поколения начнется во второй половине следующего года. Это означало, что Apple могла представить свой обновленный трекер в конце 2024 или начале 2025 года. Однако теперь Куо скорректиров...

Military Watch Magazine: массовое производство модернизированных Су-57М начнётся с 2025 года Су-57 получит новый двигатель и станет самым быстрым истребителем 5-го поколения

Смартфон HTC U24 Pro получит SoC Snapdragon 7 Gen 3 В базе Google Play Console обнаружились сведения о смартфоне HTC U24 Pro, который еще не был представлен официально. Итак, подтверждено наличие 4-нанометровой однокристальной системы Qualcomm Snapdragon 7 Gen 3 с тактовой частотой до 2,63 ГГц и графическим ускорителем Adreno...

ИИ поможет в производстве стволовых клеток для регенеративной медицины Исследователи из Северо-Восточного университета продемонстрировали, как искусственный интеллект (ИИ) может использоваться для массового производства плюрипотентных стволовых клеток, что может быть использовано в лечении рака, болезни Альцгеймера или Паркинсона, а также в рег...

SMIC, как сообщается, наращивает производственную линию по выпуску 5-нанометровых микросхем в Шанхае Согласно свежему сообщению Reuters, крупнейший контрактный производитель чипов в Китае связан с разработкой SoC следующего поколения Huawei

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Аналитики рассчитывают, что в третьем квартале прибыль TSMC упала на 30% Но выручка начнёт расти в следующем году.

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

LG начала массовое производство гибких OLED-дисплеев для 17-дюймовых ноутбуков будущего LG Display объявила о старте массового производства 17-дюймовых гибких OLED-панелей для ноутбуков.

Организация JEDEC одобрит финальный стандарт LPDDR6 в третьем квартале Память LPDDR6 войдёт в состав устройств следующего поколения

iQOO Neo10 Pro получит SoC Snapdragon 8 Gen 3 Китайский инсайдер Digital Chat Station поделился подробностями о смартфоне iQOO Neo10 Pro, который еще не был представлен официально. Утверждается, что аппарат оснастят топовой 4-нанометровой платформой Qualcomm Snapdragon 8 Gen 3, плоским дисплеем, созданным по технологии ...

Он потягается с Tank 300, Tank 400, BAIC BJ60 и Fang Cheng Bao Bao 5. Китайский Ford Bronco выйдет весной 2024 Ford Bronco производства Jiangling Motor был замечен во время дорожных испытаний в Китае. Он получил разрешение на массовое производство от правительства Китая. Выход на рынок этого внедорожника запланирован на весну 2024 года. В сентябре выяснилось, что этот внедорожн...

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

Tesla объявила дату первых поставок Cybertruck — 30 ноября 2023 года Компания планировала начать массовое производство модели ещё в 2021 году.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

В Ирландии начнёт работу 7-нм процессорный завод Intel Корпорация Intel намерена начать массовое производство полупроводниковых изделий по технологии Intel 4 в Лейкслипе (Ирландия). Ранее произошло переименование 7-нм технологии в Intel 4, что было направлено на приведение ее в соответствие с отраслевыми стандартами и, по-видимо...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Смартфон Moto G64 5G получит 12 ГБ ОЗУ В базе Google Play Console обнаружились сведения о смартфоне Motorola Moto G64 5G, который еще не был представлен официально. Итак, аппарат получит экран с разрешением 2400:1080 пикселей, 6-нанометровую однокристальную систему MediaTek Dimensity 7020 с тактовой частотой до 2...

Смартфон HTC U24 засветился в сети В базе регулятора Bluetooth SIG появилось упоминание смартфона HTC U24, который еще не был представлен официально. Ведомство подтвердило наличие поддержки Bluetooth 5.3 благодаря чипу Qualcomm FastConnect 6700. Последний используется в 4-нанометровых однокристальных системах...

Турция может начать экспорт истребителя 5-го поколения TF-X в Азербайджан, ОАЭ, Индонезию и Пакистан Истребитель следующего поколения KAAN совершил первый успешный полет, чем вызвал недовольство США, поскольку "нацелился" на потенциальных покупателей F-35

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

Производство GTX 1660 Super, 1650 и 1630 могут остановить с первого квартала следующего года Старшую модель заменит 6-гиговая RTX 3050.

Samsung разработала самую быструю в отрасли память LPDDR5X со скоростью 10,7 Гбит/с Массовое производство планируется начать во второй половине года.

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

Смартфон Oppo K12 получит 12 ГБ ОЗУ Известный инсайдер Digital Chat Station поделился новыми подробностями о смартфоне Oppo K12, который еще не был представлен официально. Итак, аппарат получит 6,7-дюймовый экран, производительную 4-нанометровую платформу Qualcomm Snapdragon 7 Gen 3, 12 ГБ оперативной и 512 ГБ...

Самый простой сервер Nvidia DGX GB200 Blackwell будет стоить 3 млн долларов. Массовое производство стартует во втором полугодии Nvidia уже представила ускорители Blackwell для ИИ, но на рынок они пока не поступили. Как сообщается, массовое производство серверов DGX GB200 стартует лишь когда-то во втором полугодии.  Успеют ли первые клиенты получить первые установки до конца текущего года, ...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Новые Subaru получат технологии Toyota. Следующий Forester станет «другим гибридным электромобилем с горизонтально-оппозитным двигателем» Subaru официально подтвердила, что производство нового Forester будет вестись в Соединенных Штатах. Модель шестого поколения будет собираться в Индиане на заводе в Лафайете. Выпуск седана Legacy на данном предприятии прекратится после 2025 модельного года. Subaru также ...

Магистральный КамАЗ К5 импортозамещён 70%. Полная локализация – в 2024 году Есть разные оценки локализации флагманских грузовиков КамАЗ К5, но кому, как не Ростеху, знать об этом лучше остальных: в своем Telegram-канале госкорпорация сообщила, что магистральный КамАЗ К5 импортозамещён 70%. Фото: КамАЗ «Сейчас магистральный тягач поколени...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

В действительности Tesla начнёт выпускать новую массовую модель электромобиля ближе к концу следующего года Об этом сообщил глава компании Илон Маск.

Анонс Nokia XR21 Limited Edition – первая европейская Nokia за 10 лет HMD Global, финская фирма, производящая смартфоны под брендом Nokia, объявила, что начнёт производство 5G-смартфонов в Европе. Первым таким устройством станет прочный и водостойкий Nokia XR21 Limited Edition. Эта версия устройства будет производиться специально в Венгрии. …

Карманная версия Xbox появится одновременного с консолью следующего поколения от Microsoft Следующее поколение игровой консоли Xbox от Microsoft выйдет в двух различных версиях, одна из которых будет портативным устройством, напоминающим Nintendo Switch.

Steam Deck OLED оценили в 90 тысяч рублей Некоторые российские ритейлеры начали принимать предварительные заказы на игровую консоль Steam Deck OLED, которая была представлена пару недель назад. За версию с накопителем на 512 ГБ просят 90 тысяч рублей, а за 1 ТБ – 100 тысяч рублей. Для сравнения, в США новинку продаю...

Oppo Reno11 распродан в первый день продаж Компания Oppo похвасталась успехами линейки смартфонов Reno11, которая вчера появилась в продаже. Утверждается, что за первый день была раскуплена вся стартовая партия. По данным производителя, продажи нового поколения оказались на 288% выше, чем у линейки Reno10 за аналогич...

Geely Emgrand получил 150-сильный турбомотор от Geely Coolray – и такой седан может появиться в России На этой неделе в Малайзии стартовали продажи «спортивного» Geely Coolray под названием Proton X50 R3, а сейчас местный бренд Proton представил очередную новинку – седан Proton S70. И это довольно интересная новость. Proton S70 – это клон предста...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Samsung активно закупает оборудование для упаковки памяти, рассчитывая получить заказы NVIDIA Ускорители B100 начнут выпускаться во втором квартале следующего года.

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

BYD продолжает запускать заводы в новых странах: стартовало производство машин в Таиланде, на очереди — Индонезия BYD начала тестовое производство на заводе в Таиланде. Серийное производство начнется в следующем месяце. Планируемый объем производства тайского завода составит 150 000 автомобилей в год. В апреле 2024 года BYD также начнет строительство сборочного завода в Индонезии. ...

УАЗы могут начать производить в Гане Автомобили марки УАЗ могут начать производить в столицы Ганы и первые ласточки сойдут с конвейера в африканской стране уже в первом квартале 2024 года. Об этом рассказал журналистам председатель ганско-российского совета по развитию бизнеса Лоуренс Ауку-Боатенг. Фото: ...

Может собирать машины в России не так и выгодно? Российский завод Haval работает во всю силу, но половина купленных в первом квартале 2024 года Haval Jolion привезены из Китая Более 40% автомобилей Haval, проданных в России в первом квартале текущего года, были импортированы из Китая. С одной стороны, нет ничего странного, так как не все модели из российской линейки производятся на тульском заводе, но с другой стороны, даже в структуре продаж...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Первые отечественные мосты для КамАЗов К5 будут произведены во втором квартале 2025 года Директор автозавода КамАЗ Антон Сарайкин рассказал, когда начнется выпуск отечественных мостов для отечественных грузовиков КамАЗ К5. Случится это еще не скоро, но КамАЗ уже ведет активные работы по подготовке к этому. Изображение: КамАЗ «Основная идея проекта &n...

Tesla начнет производство электротягачей Tesla Semi в Берлине Илон Маск заявил, что Tesla начнет производство электрических грузовиков Semi на заводе Gigafactory Berlin. Однако для компании важно начать массовое производство сначала в США.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Lada Niva с ABS уже сходят с конвейера АвтоВАЗа Судя по всему, АвтоВАЗ скоро запустит массовое производство внедорожников Niva Legend и Niva Travel с ABS. Как пишет инсайдерский паблик Avtograd News, такие машины в единичных экземплярах уже собирают. «С конвейера АвтоВАЗа сошло несколько пробных автомобил...

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Samsung и ARM начнут совместную работу над созданием следующего поколения процессора Cortex-X Новое решение должно будет помочь с внедрением технологий искусственного интеллекта в мобильные устройства

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Моторы «Евро-5» вернутся в УАЗ «Патриот» и «Пикап» в 2024 году. Они получат новые блоки управления После прошлогоднего кризиса УАЗ начал устанавливать на свои автомобили двигатели «Евро-2», что допускается сейчас техрегламентом. Однако эти моторы не задержатся надолго под капотом отечественных внедорожников: УАЗы получат двигатели «Евро-5» уже...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

В базе данных Geekbench завестился Surface Pro 10 на базе Snapdragon X Plus Уже в следующем месяце Microsoft представит потребительские версии Surface Pro 10 и Surface Laptop 6 на базе новейших ARM-процессоров от Qualcomm. Мероприятие состоится 20 мая в Сиэтле. Напомним, что модели для бизнеса на процессорах Intel были анонсированы в конце марта. К...

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Lada Aura и Iskra проходят испытания вместе с двумя «китайцами» На автополигоне в Сосновке в Самарской области начали испытывать прототип новой седана Lada Aura, который является увеличенной и более премиальной версией Lada Vesta. Об этом сообщил инсайдерский Telegram-канал «Автопоток» со ссылкой на собственный источник:...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

У Nvidia есть хоть что-то для поставок в Китай? Ускоритель HGX H20 сильно задержится Компания Nvidia испытывает трудности с поставками ускорителей для ИИ в Китай. И не только из-за санкций. Как сообщается, модель H20, созданная специально для Поднебесной, во втором квартале текущего года только поступит в массовое производство.  Изначально ещё в н...

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Samsung выпустит странного конкурента iPhone 16 и лице недорого складного смартфона начального уровня По данным The Elec, что Samsung хочет сделать новый складной смартфон начального уровня привлекательной альтернативой базовому iPhone 16. Издание со ссылкой на источники в цепочке поставок, утверждает, что Samsung ускорила график производства компонентов своих складных ...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Российский завод Nissan полноценно заработает в 2024 году. Будут выпускать Lada Х-Cross 5 и какой-то седан Глава АвтоВАЗа Максим Соколов на конференции «Национальная технологическая революция 20.35» рассказал, когда начнется по-настоящему массовое производство автомобилей на бывшем российском заводе Nissan.   Изображение: Lada «Мы рассчитываем, что по...

Российские аналоги Mercedes-Benz S- и E-классов, недорого. Aurus запустит производство новых автомобилей Глава Минпромторга Денис Мантуров анонсировал скорый запуск производства в России новых автомобилей Aurus, они будут меньше и дешевле нынешнего Aurus Senat. Фото: Aurus «Мы планируем в ближайшее время запустить в производство линейку автомобилей категории S-класс...

JEDEC согласился уменьшить толщину микросхем HBM4 В настоящее время JEDEC разрабатывает стандарты для 6-го поколения памяти с высокой пропускной способностью (AKA HBM4) - 12- и 16-слойные DRAM-конструкции должны выйти в массовое производство в 2026 году

Intel запускает в Ирландии завод нового поколения для выпуска 7-нм чипов под брендом Intel 4 Intel готовится к запуску процессорного завода в Ирландии для массового производства чипов по технологии Intel 4, ранее известной как 7-нм процесс

Следующее поколение ускорителей Nvidia для ИИ будет потреблять 1 кВт Компания Nvidia должна представить ускорители для ИИ нового поколения в этом году. Но, похоже, для клиентов они станут доступны только в следующем.  Как минимум Dell утверждает, что ускоритель B200 выйдет именно в следующем. И, видимо, будет потреблять около 1 кВт...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Toshiba показала жёсткий диск объёмом 32 Тб, обещая начать массовое производство уже в 2025 году Реальных альтернатив для традиционных жёстких дисков пока нет

Смартфоны Moto G24 Power и Moto G34 показали на рендерах Сетевые источники опубликовали изображения смартфонов Motorola Moto G24 Power и Moto G34, которые еще не были представлены официально. Судя по рендерам, новинки получат привычный дизайн с вертикально ориентированным блоком тыльной камеры и врезанной селфи-камерой. Сообщается...

Полностью оправдать ожидания Apple Vision Pro может лишь к четвёртому поколению Сейчас Apple Vision Pro не заслуживает массовой популярности

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

Глава Nvidia утверждает, что через четыре-пять лет рынок ИИ только в виде ЦОД достигнет 2 трлн долларов Nvidia — та компания, которая выиграла от бума ИИ чуть ли не больше всех. И её глава считает, что этот рынок продолжит активно расти в ближайшие годы.  Дженсен Хуанг (Jensen Huang) заявил, что в ближайшие четыре-пять лет только в рамках ЦОД этот рынок будет ...

Intel Xeon W9-3595X активно тестируют перед релизом Следующий поколение процессоров Intel Xeon-W, вероятно, уже совсем скоро отправится в релиз, потому что модель W9-3595X удалось обнаружить в базе данных Geekbench с 60 ядрами. Стоит напомнить, что ранее инсайдеры опубликовали различные данные о процессорах нового поколения и...

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

iPhone 16 Pro получит камеру с линзой из литого стекла Слухи о следующем поколении iPhone уже начали появляться в сети.

Российские ABS и ESP будут не на 100% отечественными. Но в итоге планируется достижение полной локализации ФГУП «НАМИ» планирует запустить производство систем ABS и ESP для автомобилей на бывшем заводе «Роберт Бош Самара», который переименовали «НАМИ инновационные компоненты». Об этом сообщил журналистам гендиректор ФГУП Федор Назаров: &la...

Lenovo ожидает, что в этом квартале её выручка в сегменте ПК начнёт расти До этого она падала пять кварталов подряд.

Seagate планирует начать производство жёстких дисков объёмом от 30 Тб по новой технологии HAMR Жёсткие диски поступят в продажу в 1 квартале 2024 года

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

В России начали серийно выпускать встраиваемые в стену зарядные станции В пресс-службе торгово-производственного холдинга (ТПХ) «Русклимат» сообщили, что компания «Национальные зарядные системы» запустила массовое производство новой зарядной станции, встроенной в стену, предназначенной для электромобилей. Устройство, разработанное собственными с...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Какие внедорожники Haval получат российские моторы? Оказалось, что таких моделей всего три Кирилл Милешкин, редактор издания «За рулем», в рамках теста обновленного Haval Jolion рассказал, какие именно машины Haval получат российские моторы (их производство, напомним, стартовало в Туле и размещается под одной крышей с производством автомобилей). ...

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

В России запустят производство оборудования 5G Одна из дочерних компаний МТС начнёт производство оборудования для связи нового поколения

Это совершенно новый Volkswagen Passat Pro — и теперь он длиннее 5 метров Китайский Минпром опубликовал сегодня живые фото совершенно нового Volkswagen Passat Pro. Также есть кое-какие технические подробности. Автомобиль выполнен в стилистике электрических моделей линейки ID, но задняя часть выглядит по-своему. Впрочем, у машины будет нескол...

TrendForce: Топ-10 производств чипов показали рост на 7,9% в 3 квартале 2023 Исследование TrendForce указывает на динамичный третий квартал для мировой полупроводниковой промышленности

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

АвтоВАЗ возобновляет серийное производство Lada Largus с ДВС с 15 мая. e-Largus начнут выпускать в третьем квартале АвтоВАЗ сообщил, что с 15 мая в Ижевске будет возобновлено серийное производство универсалов Lada Largus с бензиновыми моторами. Как пишет главный редактор журнала «За рулем» Максим Кадаков, в третьем квартале этого года на конвейер поставят электрический у...

Совершенно новый Haval H9 сертифицирован в Китае. Размеры – практически в точности как у Land Cruiser 300 Haval H9 нового поколения засветился в базе китайского Минпрома: сертификация этого органа дает разрешение на массовое производство автомобиля. Увы, данные Минпрома не изобилуют подробностями, но сейчас хотя бы есть точные габариты и данные о моторах. Размеры нового Ha...

В следующем поколении Apple Watch не будут совместимы со старыми ремешками Поколения Apple Watch скорее всего представят 7 сентября 2024 года.

Новая приставка Xbox от Microsoft может выйти позднее, чем PlayStation 6 от Sony Следующее поколение игровых консолей Microsoft Xbox, возможно, будет представлено не скоро из-за позднего старта разработки.

Продукция Logitech будет производиться из переработанного пластика Компания Logitech объявила, что все ее продукты для конференц-залов будут производиться из переработанного пластика и других материалов нового поколения с низким уровнем выбросов углекислого газа

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

«То, что мы придумали, — это бомба», — Alfa Romeo обещает очень красивый дизайн для Giulia нового поколения Генеральный директор Alfa Romeo Жан Филипп Импарато поделился предварительными подробностями о будущем спортивном седане Giulia следующего поколения. Он будет базироваться на той же платформе STLA Large, что и Charger 2024 года, но с радикально другим дизайном. Глава ко...

КамАЗ даст бой заполонившим рынок «китайцам». В 2024 году КамАЗ увеличит производство флагманских грузовиков К5 в три раза В текущем году КамАЗ ещё пока остается лидером рынка российских грузовиков, но китайцы уже отвоевали долю в более чем 63% (согласно свежим данным «Автостат Инфо»). Возможно, в следующем году маятник качнется в другую сторону: КамАЗ собирается втрое нара...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Истребитель шестого поколения Tempest будет производиться тремя странами Самолет будет оснащен гиперзвуковым и лазерным оружием, а также искусственным интеллектом.

Microsoft может работать над созданием следующей консоли Xbox в партнерстве с Intel и NVIDIA Появились новые слухи о следующей консоли Xbox. Есть предположение, что на этот раз Microsoft нацелена на партнерство между Intel и NVIDIA, чтобы реализовать следующее поколение приставок.

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

«Китайский» ускоритель NVIDIA H20 может задержаться до следующего квартала Судьба остальных антисанкционных новинок компании не уточняется.

Мощность RTX 5090 может зависеть от новых видеокарт AMD Релиз ожидается в последнем квартале следующего года.

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

TSMC запустит 6-нм полупроводниковый завод в Японии По имеющимся данным, Taiwan Semiconductor Manufacturing Company (TSMC) планирует к 2027 году начать производство 6-нанометровых полупроводников на своем втором заводе в Кумамото (Япония). Для поддержки этого предприятия японское правительство рассматривает возможность предос...

GeForce RTX 5090 будет на 70% быстрее GeForce RTX 4090, но цена видеокарты может составить $2500 Авторы канала Moore’s Law is Dead поделились некоторыми подробностями о быстродействии флагмана NVIDIA следующего поколения

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

OPPO Find X7 Ultra со спутниковой связью появился в продаже Компания OPPO дала старт продажам топового смартфона OPPO Find X7 Ultra с поддержкой спутниковой связи. Данная версия доступна в одной конфигурации с 16 ГБ оперативной и 1 ТБ флеш-памяти. Её цена составляет 7500 юаней или около 1055 долларов. Смартфон также оснащается 4...

LG ускорит матрицу IPS Black до 360 Гц уже в 2024 году Ресурс TFTCentral опубликовал дорожную карту по выпуску на рынок новых панелей LG Display. Одной из заметных новинок станет 27-дюймовая матрица с разрешением 2560 х 1440 пикселей и кадровой частотой 360 Гц – производство таких панелей стартует в последнем квартале...

После массового ухода брендов в России стало больше автодилеров и сервисных центров НАПИ: Виктория Чернышева В России выросло количество автодилеров, о чем говорится в свежем отчете по дилерским и сервисным центрам легковых автомобилей по итогам первого квартала 2024 года, который предоставило маркетинговое агентство НАПИ. В первом квартале 2022 года в...

Массовая сборка машин BYD в Узбекистане стартует в июне. Будут выпускать гибридный седан за 2,2 млн рублей и гибридный кроссовер за 2,7 млн рублей BYD серьезно подготовилась к покорению рынка Узбекистана: уже в июне компания запустит массовую сборку машин — гибридного седана BYD Chazor DM-i и гибридного кроссовера BYD Song Plus DM-i. Седаны BYD Chazor Седан оснащается 1,5-литровым атмосферным мотором м...

Redmi K70, который будет мощнее Xiaomi 14 Pro, запущен в массовое производство Ван Тен Томас (Wang Teng Thomas), представитель бренда Redmi и генеральный менеджер отдела маркетинга Redmi, опубликовал в Weibo сообщение, в котором предположил, что смартфоны Redmi K70 вступили в стадию массового производства. Вчера Лу Вейбинг, президент Xiaomi Group,...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Intel могла предложить Microsoft сотрудничество для разработки чипа Xbox следующего поколения Похоже, у компании есть всё необходимое и, что очень важно, производство можно полностью развернуть в США.

Пробное производство iPhone 16 начнут в Китае в декабре Аппараты следующего года могут оказаться интереснее нынешних

Xiaomi обсуждает с Chery совместный выпуск машин Компания Xiaomi, которая решила начать выпуск своих автомобилей, обсуждает этот вопрос с несколькими автопроизводителями, включая Brilliance и Chery, о чем Bloomberg сообщили осведомленные источники. Ранее, в августе этого года, Xiaomi также провела переговоры с Beijing...

Samsung представила NAND-память 9-го поколения Сегодня компания Samsung официально объявила о запуске массового производства новых чипов памяти NAND 9-го поколения. Главное преимущество этого решения в том, что новые чипы памяти имеют на 50% большую плотность, чем чипы памяти 8-го поколения. Кроме того, чипы памяти 9-го ...

Jeep выпустит пять новинок 2025 год станет большим годом для Jeep . В продажу поступят два электромобиля с аккумуляторной батареей и большая линейка подключаемых гибридов. Новый генеральный директор Jeep Антонио Филоса в пятницу проинформировал средства массовой информации о планах компании на пр...

В SK Hynix подтвердили, что компания планирует перенести своё производство в Соединённые Штаты Массовое производство чипов памяти на новом предприятии может начаться в 2028 году

70% всех потребительских процессоров сейчас — это мобильные CPU. Поставки процессоров для ПК выросли на 22% Рынок ПК, похоже, начинает оживать. Согласно данным аналитиков Jon Peddie Research, поставки процессоров в четвёртом квартале 2023 года выросли в годовом выражении на внушительные 22%.  создано DALL-E Всего за квартал было поставлено 66 млн CPU, что в том числе на...

Глобальную версию OnePlus 12 выпустят в начале 2024 года Компания OnePlus объявила, что глобальная премьера представленного сегодня флагмана OnePlus 12 состоится в начале следующего года. Предполагается, что презентация международной версии состоится в Индии. Напомним, что оцененный от 605 долларов OnePlus 12 оснащается 6,82-...

Xiaomi Civi 4 Pro пользуется популярностью Смартфон Xiaomi Civi 4 Pro буквально пару дней назад появился в китайской продаже, но производитель уже успел отчитаться об успехах новинки. Утверждается, что продажи первой партии Xiaomi Civi 4 Pro оказались в два раза выше по сравнению с предыдущем поколением (Civi 3). В К...

Ford прощается со своим популярным кроссовером: Ford Edge снимут с производства в апреле 2024 года Ford решил отказаться от кроссовера Edge: последний экземпляр этой модели выпустят в канадском Оквилле 26 апреля текущего года. Прямого преемника у Edge, судя по всему, не будет: вместо него в Канаде начнут выпускать пять моделей электромобилей. Изображение: Ford Эта м...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Mitsubishi выпустит две модели на базе автомобилей Renault Mitsubishi добавит еще две модели от Renault Group, чтобы расширить свой ассортимент в Европе, начиная с компактного полностью электрического кроссовера от подразделения Renault Ampere EV в 2025 году. Японский автопроизводитель вслед за компактным кроссовером выпустит е...

Новый BMW M5 будет очень мощным, а его масса составит почти 2,5 т. Но Mercedes-AMG GT 63 SE Performance будет мощнее BMW M5 следующего поколения будет одновременно очень мощным и очень тяжелым, как сообщает Сarscoops. При этом у него будет не настолько мощная силовая установка, чтобы превзийти Mercedes-AMG GT 63 SE Performance. Согласно последним данным, новый BMW M5 получит ту же баз...

Инсайдеры Tesla говорят, что производство Cybertruck — это катастрофа Основным узким местом является производство литий-ионных аккумуляторных элементов следующего поколения и панелей для корпуса внедорожника.

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Аккумуляторы Prius первого поколения будут использоваться в автомобилях Toyota следующего поколения Toyota Prius первого поколения дебютировал почти 30 лет назад. В результате срок службы многих из этих автомобилей либо уже достиг конца, либо приближается к нему, что делает их подходящими кандидатами на утилизацию. Аккумуляторы, которые питали эти ранние гибриды, найд...

Российские школы и поликлиники оснастят отечественными планшетами Глава Минцифры РФ, Максут Шадаев, объявил на Tadviser Summit о планах начать массовое оснащение российских учителей и врачей планшетами, начиная с 2025 года. Это даст стимул развитию собственного производства в стране, пишет РИА Новости.

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Следующее поколение Xbox может поддерживать игры для ПК Несмотря на то, что планы Microsoft по переносу игр с ПК на Xbox очень интересны, это может быть очень сложным процессом.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

В России начнут выпуск новой коробки передач — на это выделено более полумиллиарда рублей «Соллерс» запустит производство 6-тиступенчатой механической коробки передач (МКПП) на индустриальной площадке Заволжского моторного завода. Для этого дочернее общество ПАО «Соллерс» — ООО «Соллерс Производство Трансмиссий» &mda...

Слух: новое поколение Xbox может выйти в 2026 году Датамайнер Call of Duty, известный под ником The Ghost of Hope, со ссылкой на собственные источники сообщает, что следующее поколение игровых консолей Xbox может выйти уже в 2026 году. По его словам, стартовая линейка игр будет включать в себя очередную часть Call of Duty. ...

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

Google Pixel 8a показали на рендерах Авторитетный инсайдер OnLeaks поделился изображениями смартфона Google Pixel 8a, который еще не был представлен официально. По данным источника, аппарат получит корпус с размерами 152,1:72,6:8,9 мм против 152,4:72,9:9 мм у Pixel 7a. Предполагается, что официальная презентаци...

Материнские платы AMD 700-й серии и Intel 800-й серии появятся в третьем квартале 2024 года AMD и Intel рассматривают третий квартал 2024 года в качестве следующего крупного обновления платформы ЦП

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Складной смартфон Pixel Fold 2 может появиться летом в 2024 году Pixel Fold 2 выйдет на рынок позднее в этом году: аналитики рассказали о размерах экранов и сроках массового производства

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Так выглядит комплект поставки OnePlus 12 В сети появилась фотография комплекта поставки представленного пару дней назад флагманского смартфона OnePlus 12. Итак, в классическую красную коробку положили кабель USB-С, адаптер питания мощностью 100 Вт, черный чехол, наклейки, скрепку для лотка SIM, документацию. Ранее ...

Следующее поколение процессоров Intel Arrow Lake может иметь меньшую тактовую частоту Core i9 14900KS достигает частоты 6,2 ГГц, тогда как 285K, как сообщается, будет ограничен 5,5 ГГц.

Google представила свой новейший тензорный процессор Trillium Компания заявляет, что её новый TPU Trillium позволит начать работу над моделями ИИ следующего поколения

Samsung Galaxy S24 показали на рендерах Авторитетный информатор Onleaks поделился качественными изображениями флагманского смартфона Samsung Galaxy S24, официальный релиз которого ожидается в начале следующего года. Судя по рендерам, новинка будет отличаться от предыдущего поколения плоской рамкой корпуса вместо з...

Redmi K80 Pro получит продвинутый аккумулятор Авторитетный информатор Digital Chat Station сообщает, что компания Xiaomi начала тестирование некоего флагманского смартфона Redmi. Речь идет о Redmi K70 Ultra или, источник склоняется к этому варианту, о Redmi K80 Pro. Устройство получит основную камеру с главным модулем н...

Redmi Turbo 3 Harry Potter Edition показали на фото Авторитетный инсайдер Ice Unverse опубликовал фотографии специальной версии смартфона Redmi Turbo 3, которая получила название Harry Potter Edition. Новинка может похвастаться богатой комплектацией и уникальным дизайном самого устройства. Утверждается, что вся стартовая парт...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Российских врачей и учителей хотят массово снабдить отечественными планшетами Минцифры собирается массово обеспечить российских учителей и врачей планшетами отечественного производства. Как заявил министр цифрового развития РФ Максут Шадаев во время конференции Tadviser Summit, которая проходит сейчас в Москве, снабжать учителей и врачей планшета...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Базовый iPhone 17 будет производиться в Индии Компания Apple начала производство iPhone в Индии еще в 2016 году, стараясь с каждым годом снижать свою зависимость от Китая.

Новая партия истребителей пятого поколения Су-57 будет передана в войска до конца этого года Суперсовременные истребители уже производятся серийно.

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

В России начнут выпускать «Крутую собаку» Haval. У Haval H3 брутальный дизайн в стиле Dargo и полный привод, цена – от 2,79 млн рублей Согласно утекшим в Сеть материалам закрытой презентации Haval для дилеров, в следующем году линейка автомобилей бренда, производимых на заводе под Тулой, существенно расширится. Войдет в нее и совершенно новая модель – Haval H3 он же Haval Cool Dog («Крутая ...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Компания SK hynix объявила о сотрудничестве с TSMC в производстве HBM следующего поколения Обе компании сосредоточатся на улучшении производительности базовой матрицы, расположенной в самом низу пакета HBM

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

Redmi Turbo 3 вышел в новой расцветке Компания Xiaomi объявила о выпуске в Китае новой версии популярного смартфона Redmi Turbo 3, которая отличается белой расцветкой. Ранее аппарат был доступен в чёрном, зелёном и золотом цветах. При этом, новинка может похвастаться глянцевым покрытием тыльной панели, в то врем...

Китай объявил о разработке нового метода массового производства оптических чипов Это может радикально изменить глобальный рынок полупроводников и укрепить технологическое лидерство страны.

Машины Sollers станут более «российскими» ООО «Соллерс Алабуга» получило 3,4 миллиарда рублей от Фонда развития промышленности (ФРП) для осуществления ключевого проекта по изготовлению штампованных кузовных деталей для автомобилей Sollers Atlant и Sollers Argo. В пресс-службе «Соллерса» ...

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Российские чиновники смогут пересесть на представительскую «Ладу». Lada Aura будет максимально отвечать критериям госзакупок Lada Aura закупят для российских чиновников Компания АвтоВАЗ заявила, что её представительский автомобиль Lada Aura будет максимально отвечать критериям госзакупок, поэтому именно этот автомобиль может отлично подойти для российских чиновников.  Безусловно, все мо...

Samsung Galaxy S21 скоро получит апдейт с функциями ИИ Компания Samsung объявила, когда её старые флагманские смартфоны получат обновление до фирменной прошивки One UI 6.1 с продвинутыми функциями ИИ. Итак, апдейт станет доступен для смартфонов Galaxy S21, S21 Plus, S21 Ultra, Galaxy S22, S22 Plus и S22 Ultra во втором квартале ...

Chery запускает первую облачную систему помощи при вождении Mobileye: автоматическое движение по дорогам без полос и адаптивное вождение Элитный бренд Xingtu Motors, принадлежащий Chery Automobile, объявил, что станет первым автопроизводителем в Китае, который запустит облачную систему помощи при вождении Mobileye. Xingtu Automobile объединилась с Mobileye и Bethel ADAS, чтобы начать массовое производств...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

В 2025 году компания Seagate планирует начать выпуск жестких дисков ёмкостью свыше 40 ТБ Хранители информации будут производиться с использованием технологии магнитной записи с термоусадкой

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

АвтоВАЗ перезапустит производство практичной, популярной и высокомаржинальной Lada Largus во втором квартале 2024 АвтоВАЗ планирует перезапустить производство семейства Lada Largus на заводе в Ижевске во втором квартале 2024 года, о чем сообщил представитель компании в интервью РИА «Новости». В августе АвтоВАЗ объявил о переносе производства Lada Largus с основной площа...

Apple работает над новым поколением процессоров M4 с функциями ИИ Компания Apple ускоряет разработку своих новых процессоров следующего поколения M4, которые будут обладать продвинутыми возможностями искусственного интеллекта.

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Apple не смогла избежать массовых исков по поводу комиссии App Store Массовый иск от 1500 разработчиков может обойтись американской компании 800 млн евро

В России налажено массовое производство авиационных бомб ФАБ-3000 Министерство обороны РФ сообщило об увеличении выпуска бомб "малого" калибра, а также о начале производства трёхтонных авиационных бомб

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

RTX 5080 может не выйти в 2024 году, а мобильная RTX 5090 будет мощнее, чем ожидалось Слухи о следующем поколении Nvidia приносят геймерам хорошие и плохие новости: RTX 5080, возможно, не выйдет в 2024 году, а графические процессоры RTX 5090 и Blackwell для ноутбуков выглядят многообещающе.

NVIDIA снимает с производства видеокарты 16-й серии Ожидается, что производство этих карточек остановится в первом квартале 2024 года. Читать полную статью

АвтоВАЗ назвал цвета, комплектации и другие детали о Lada Largus 2024 Старт серийного производства Lada Largus официально назначен на 15 мая 2024 года, а пока что АвтоВАЗ завершает подготовку к серийному производству, о чем рассказано в следующем видео. Прессовое производство локализовало 13 штампованных комплектующих, в основном это лице...

Nissan начнет массовое производство электромобилей с новыми батареями Nissan планирует начать массовое производство электромобилей на основе новых батарей к началу 2029 года. Компания видит шанс догнать и возможно опередить конкурентов с помощью более мощных, дешевых, безопасных и быстрых в зарядке батарей нового поколения.

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Tesla может начать продажи роботов Optimus в 2025 году, а уже в этом году они начнут трудиться на заводах После публикации финансовых результатов Tesla за первый квартал 2024 года Маск сообщил обновленную информацию о сроках внедрения гуманоидного робота Optimus. Генеральный директор заявил, что Optimus уже выполняет заводские задачи в своей лаборатории. Он считает, что к к...

Индустрия высокоскоростной памяти HBM может удвоить доходы к 2025 году Недостаток компонентов HBM создает возможности для малых производителей, однако ожидается, что крупные производители активно будут заниматься разработкой следующего поколения моделей

По словам инсайдера Star Wars: Outlaws может выйти во втором квартале 2024 года У вселенной "Звездных войн" громаднейшая фанбаза во всем мире, проект от Ubisoft просто обречен на успех, так что релиз летом следующего года вполне возможен.

AMD запустит в производство чипы Zen 5 в 3 квартале 2024 Zen 5 будет использоваться в настольных, мобильных и серверных чипах AMD.

AMD FSR появится в смартфонах Samsung следующего поколения Предполагается, что следующие чипсеты Samsung и Qualcomm будут использовать технологию масштабирования от AMD, что значительно повысит производительность мобильных графических ускорителей даже на устройствах среднего уровня

Поездки на машинах «Яндекс Такси» из зоны катастроф будут бесплатными С 27 марта сервис «Яндекс Такси» сделал бесплатными поездки на такси в случае вынужденных массовых эвакуаций из районов чрезвычайных ситуаций или катастроф. Новое правило будут действовать во всех регионах работы сервиса. Отмечается, что «Яндекс Такси&...

Глобальную версию Redmi Note 13 представят 4 января Компания Xiaomi объявила, что международная премьера линейки смартфонов Redmi Note 13 пройдет в Индии 4 января следующего года. Напомним, что выпущенный в Китае в сентябре Redmi Note 13 5G оснащается 6-нанометровым процессором MediaTek Dimensity 6080 с тактовой частотой до 2...

АвтоВАЗ избавляется от комплектующих Renault АвтоВАЗ избавляется от комплектующих и деталей для автомобилей Renault, которые хранились на Опытно-промышленном производстве. Об этом пишет инсайдерский паблик Avtograd News. Изображение: Renault «Опытно-промышленное производство ВАЗа. Сейчас здесь производится ...

NVIDIA прекратила производство видеокарт GTX 16 Сегодня появилась информация о том, что компания NVIDIA официально завершила производство своих графических процессоров серии GeForce GTX 16, последних из линейки GTX для массового рынка. Собственно, ещё в декабре прошлого года появилась информация от инсайдеров о том, что N...

В России спустя два года возобновляется производство современных европейских кроссоверов Citroen C5 Aircross и Peugeot 4008 В России возобновится выпуск современных европейских кроссоверов после двухлетнего перерыва. Завод «ПСМА Рус» в Калужской области планирует собирать соплатформенные модели французских брендов Peugeot и Citroen, используя модульную платформу EMP2 (Efficient M...

В Казахстане будут выпускать не только Skoda Kodiaq, но и более доступный кроссовер Skoda Kamiq. Уже известны его характеристики После того, как производство Skoda в России прекратилось, чехи решили наладить выпуск своих машин в Казахстане. Наряду с лифтбэками Octavia и Superb, а также кроссовером Kodiaq, в соседней стране будут собирать и кроссовер Kamiq. Машина уже получила местный сертификат, ...

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

OnePlus 13 может стать первым на следующем флагманском чипе Snapdragon OnePlus Ace 3V первым получит процессор Snapdragon 7 очередного поколения

Линейка казахстанских Chevrolet станет разнообразнее: в соседней стране начнут выпускать Chevrolet Captiva В Казахстане выдан сертификат на Chevrolet Captiva. Судя по документам, собирать кроссовер будут на предприятии «СарыаркаАвтоПром» (оно выпускает другие авто Chevrolet, а также машины Kia и JAC). Chevrolet Captiva нынешнего (второго) поколения – довол...

Стало известно, когда в Lada Vesta вернётся «автомат» Представители АвтоВАЗ в рамках презентации модели Lada Vesta Sportline в Грозном рассказали, когда появится на рынке появится версия Vesta NG с автоматической трансмиссией. Как пишет RCI News, это произойдёт в первом квартале 2024 года. Lada Vesta NG получит китайский в...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

NVIDIA прекратит выпуск видеокарт GeForce GTX 16 в первом квартале 2024 года На данный момент в данной серии производится две модели: GTX 1630 и GTX 1650

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Новые iPhone получат «телевик» с 10-кратным оптическим зумом. Возможно, первым будет iPhone 17 Pro Max Смартфон iPhone 15 Pro Max первым в линейке Apple получил «телевик» на основе тетрапризмы с пятикратным оптическим зумом. В будущем Apple может перейти на тетрапризму с 10-кратным зумом.  создано DALL-E Как сообщается, Apple заменит LG Innotek, которая...

Huawei придётся продолжать использовать старую-новую SoC Kirin 9000s в смартфонах 2024 года. Эту платформу может получить базовая модель P70 Компания Huawei, возможно, создала для смартфонов линейки P70 новую SoC Kirin 9010, хотя её параметры пока неизвестны. Согласно свежим данным, младшая модель P70 получит старую платформу.  фото: Bloomberg  Тот же инсайдер Smart Pikachu говорит, что модель Hua...

Сборку «очень долгожданного для рынка» Lada Largus и модернизацию линии показали на видео В Ижевске началась сборка опытных образцов автомобилей Lada Largus с двигателем внутреннего сгорания, которую показали в следующем видеоролике. На данный момент ведется сборка опытных образцов, модернизируется производственная линия, вносятся различные улучшения, о чем ...

«Москвич» в 2024 году начнет собирать автомобили по полному циклу Директор по производству автозавода «Москвич» Олег Масляков подтвердил планы предприятия начать полный цикл производства автомобилей марки в следующем году. В рамках такого перехода предприятие активно готовится к запуску мелкоузловой сборки, включая этапы сварки и окраски к...

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Железнодорожники Германии вышли на очередную массовую забастовку Железнодорожники Германии снова начали забастовку — уже четвертую с ноября. Экономисты предупреждают, что затянувшаяся конфронтация между властями и железнодорожниками может стоить Германии больше миллиарда евро.

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Мировые поставки игровых видеокарт GeForce падают. Возможно, из-за роста объёмов производства ускорителей Nvidia для ИИ Похоже, ситуация с дефицитом игровых видеокарт GeForce из-за акцента Nvidia на ускорителях для ИИ не просто возможна, а уже имеет место.  Как сообщается, мировые поставки игровых графических процессоров Nvidia начали резко сокращаться. Ссылаясь на источники в тайв...

Как у MacBook или у iPhone? Apple может добавить зарядный порт MagSafe в iPad Pro Apple рассматривает возможность добавления технологии MagSafe в следующее поколение iPad Pro.

Превосходство Nvidia над AMD может усилиться со следующими поколениями видеокарт Согласно слухам, линейка Radeon RX 8000 получит GDDR6 память со скоростью 18 Гбит/с

141 ГБ памяти HBM3e с пропускной способностью 4,8 ТБ/с и до 90% быстрее, чем H100. Представлен ускоритель Nvidia H200 Ускоритель Nvidia H100, который является самым желанным продуктом для компаний, занимающихся современными генеративными моделями ИИ, теперь не самый производительный в мире. Сегодня Nvidia представила модель H200.  Правда, технически это не какая-то совсем уж нова...

«Мы спасли Белвидир. Мы снова сделали это…», — 1200 рабочих вернутся на завод собирать новый автомобиль Stellantis В конце февраля компания Stellantis приостановила сборочный завод в Белвидире, штат Иллинойс, где раньше производился Jeep Cherokee. Это радикальное решение означало, что 1200 рабочих пришлось уволить без каких-либо обещаний о будущей работе. После подписания предварите...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

Intel может уйти с рынка дискретных видеокарт, в который только успела зайти? Надёжный источник говорит, что от поколения карт Battlemage не осталось и следа Похоже, у Intel снова проблемы с тем, чтобы выпустить новые дискретные видеокарты вовремя. Поколение адаптеров Battlemage может не выйти в этом году. Или вообще.  Инсайдер Golden Pig Upgrade Pack утверждает, что от Battlemage не осталось и следа, а линейка DG3 в с...

В России готовятся начать производство недорогих седанов и кроссоверов: переговоры с несколькими партнёрами ведёт Livan Китайская компания Livan ведет переговоры о контрактной сборке своих автомобилей в России, о чем заявил генеральный директор «Ливэн Моторс Рус» Се Цзяо. «Мы склоняемся к переговорам по сборке. С разными каналами мы уже разговариваем по этому вопросу&ra...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Nintendo Switch 2 может появиться в варианте OLED Недавние слухи о консоли следующего поколения породили идею о том, что Nintendo Switch 2 будет оснащена недорогой ЖК-панелью. Однако наличие нескольких моделей увеличит вероятность появления в какой-то момент модели Switch 2 OLED.

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Не стоит переживать, что гарнитура Apple Vision Pro быстро устареет. Второе поколение выйдет не раньше конца 2026 года Некоторые продукты Apple сменяют поколения каждый год, а порой и чаще, из-за чего пользователи, купившие новинку, быстро оказываются в ситуации, когда на рынке есть уже что-то новее и лучше. Но с гарнитурой Vision Pro такого не будет. Согласно свежим данным, второе поко...

Смартфон iQOO Z9 получит батарею на 6000 мАч Авторитетный информатор Digital Chat Station поделился подробностями о смартфоне iQOO Z9, релиз которого ожидается уже в следующем месяце. Итак, устройству приписывают наличие 4-нанометровой однокристальной системы Qualcomm Snapdragon 7 Gen 3 с тактовой частотой до 2,63 ГГц ...

Новые универсалы BMW 5 Series уже сходят с конвейера с бензиновым и дизельным ДВС, в гибридном и электрическом исполнении Новое поколение универсала BMW 5 Series/i5 официально сошло с конвейера завода в Дингольфинге в Баварии, Германия. Новый автомобиль будет выпущен на европейский рынок в мае 2024 года, а на других рынках появится в июне. Эта модель доступна с бензиновыми и дизельными дв...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

АвтоВАЗ прекратил производство автомобилей. Его планируют возобновить только 9 января АвтоВАЗ ушёл на новогодние каникулы и возобновит производство только на следующей неделе, о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Для двух линий ВАЗа закончилась трудовая неделя и календарный 2023 год. 29 декабря конвейер сбор...

RTX 5080 может выйти раньше RTX 5090 и стать единственной картой нового поколения NVIDIA в 2024 году По этой логике, RTX 5090 появится в начале следующего года.

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

iQOO работает над смартфоном с чипом Snapdragon 8 Gen 3, который дебютирует как iQOO Neo 10 Pro Следующий телефон серии iQOO Neo будет оснащаться AMOLED-экраном с разрешением 1,5 K LTPO и частотой обновления 144 Гц.

Nissan X-Trail 2024 впервые засветился на официальном изображении На официальном сайте американского подразделения Nissan раньше времени засветили обновленный кроссовер Rogue – он же X-Trail на других рынках. Полноценная премьера кроссовера состоится в рамках автосалона в Лос-Анджелесе в следующем месяце, но оценить внешность мо...

«Прорыв в заказах». Great Wall собрала уже 20 тысяч заказов на Tank 500 Hi4-T, который будет конкурировать в Китае с новейшим Land Cruiser Prado 250 Great Wall собрала уже 20 тыс. заказов на покупку новейшей версии внедорожника Tank 500 – гибридной. По этому поводу компания даже опубликовала специальную картинку, надпись на которой гласит «Прорыв в заказах». С одной стороны, 20 тыс. заказов, да ещ...

Tesla выпускает по сотне пикапов Cybertruck в день, но качество страдает Статистика за квартал наверняка будет раскрыта на следующей неделе.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Украина начала массовое производство беспилотника Backfire K1 собственной разработки 5 апреля 2024 года в социальных сетях было сделано заявление о запуске серийного производства инновационного беспилотного дрона-бомбардировщика Backfire K1, разработанного внутри страны.

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Российская компания «Протон-ПМ» за год увеличила производство ракетных двигателей на 25% В Перми прошло совещание по итогам работы АО «Протон-ПМ» (входит в «НПО Энергомаш» госкорпорации «Роскосмос») в 2023 году и планах на 2024 год, сообщает пресс-служба Правительства Пермского края. Заявлено, что по итогам прошлого года ...

Samsung Galaxy S26 может получить SoC следующего поколения с GPU собственной разработки компании Производитель из Южной Кореи может отказаться от сотрудничества с AMD.

Новый Nissan GT-R будет «уродливой и угрожающей машиной» На автосалоне в Нью-Йорке в 2024 году старший вице-президент и директор по планированию Nissan в Северной Америке Понц Пандикутира заявил Motor Authority, что бренды GT-R и Z не умрут, хотя, возможно, потребуется некоторые время на выпуск новых моделей. «Следующее...

Military Watch: план производства F-35 в 2023 году будет выполнен на 62% Пентагон получит лишь 17 истребителей F-35 в 4-м квартале этого года. Вдобавок к уже поставленным 80-ти.

Из чего состоит и как производится российская система ESP? «За рулем» опубликовал большой репортаж с производства в Костроме Максим Кадаков, главный редактор издания «За рулем», посетил завод компании «Итэлма» в Костроме и рассказал о том, что из себя представляет российская система ESP (она же ESC). Изображение: «За рулем» Система ESP сильно отличается от...

Производством раздаточных коробок для полноприводных грузовиков КамАЗ теперь занялся Тутаевский моторный завод Российский производитель грузовых автомобилей КамАЗ переносит производство раздаточных коробок, о чём сообщает инсайдерский телеграм-канал «Автопоток». КамАЗ переносит производство раздаточных коробок для полноприводных грузовиков. Теперь их выпуском будет з...

Samsung разрабатывает часы Galaxy Watch FE Профильный ресурс Android Headlines сообщает, что компания Samsung готовит к выпуску новые умные часы. Это будет первая модель в фанатской линейке FE (Fan Edition). Грядущие версии новинки уже получили каталожные обозначения SM-R866F, SM-R866U и SM-R866N. По данным источника...

Sony Xperia 10 VI показали на первых рендерах Авторитетный информатор Эван Бласс опубликовал официальные изображения смартфона Sony Xperia 10 VI, релиз которого запланирован на 17 мая. Отметим плоские грани корпуса, сдвоенную основную камеру, а также белую, черную и голубую расцветки. По данным источника, аппарат оснаст...

Следующее поколение литий-серных аккумуляторов будет заряжаться за 5 минут Ученые университета Аделаиды представили новую технологию зарядки литий-серных (Li-S) аккумуляторов, которая может сократить время зарядки с нескольких часов до менее чем пяти минут. Они опубликовали свои результаты в журнале Nature Nanotechnology.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Цены не удержать: оперативная память продолжает дорожать. Что происходит на рынке электроники Весной 2023 года мы опубликовали статью «Удар, еще удар: производство ОЗУ переживает не лучшие времена. Цены падают, производство сокращается». Тогда говорилось о том, что рынок ОЗУ переживает не лучшие времена, так, в IV квартале 2022 года выручка производителей DRAM упала...

Lada Vesta с новым мотором и АКПП появится в продаже до конца марта 2024 АвтоВАЗ не подтверждает, что серийное производство Lada Vesta с автоматизированной трансмиссией начнется в январе 2024 года. Ранее об этом написал главный редактор издания «За рулем» Максим Кадаков. Российский завод обещает лишь начать продажи Vesta NG с нов...

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

Samsung запустила промышленное производство памяти V-NAND 9-го поколения Новое поколение Samsung V-NAND станет на треть быстрее

После покупки Activision Blizzard за $69 млрд Microsoft начали массовые увольнения Microsoft объявила об отмене неанонсированного проекта survival-игры от Blizzard. Это произошло спустя чуть более четырех месяцев после приобретения компанией Microsoft компании Activision Blizzard за 69 миллиардов долларов и стало последним событием в череде массовых увольн...

Таким будет сердце GeForce RTX 5090. GPU GB202 приписывают 24 576 ядер CUDA С первенцем Nvidia в рамках поколения Blackwell мы уже ознакомились. Это GPU для ускорителей для ИИ с чудовищными параметрами. Но поколение Blackwell также будет включать и игровые решения, и сегодня появились подробности о топовом GPU GB202, который послужит сердцем дл...

Ажиотажный спрос на автобусы МАЗ: побит 10-летний рекорд МАЗ побил 10-летний рекорд по производству автобусов в первом квартале этого года, о чем сообщает издание quto.ru, которое отмечает ажиотажный спрос на данную технику. С января по март Минский автозавод выпустил 392 автобуса, достигнув ежемесячного темпа на уровне 135 е...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Galaxy S24 может не получить 12 ГБ оперативной памяти Samsung осталось менее двух месяцев до анонса своего флагманского смартфона следующего поколения

PlayStation 6 может выйти раньше нового Xbox, хоть слухи и говорили об обратном Следующее поколение игровых консолей Microsoft Xbox может выйти на рынок позже, чем PlayStation 6 от Sony.

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Яндекс анонсировал тестирование Алисы следующего поколения Яндекс анонсировал активную работу над новым поколением своего голосового помощника Алиса.

В России начали выпускать популярный кроссовер Jetour Dashing. Постепенно он будет становиться все более российским Калининградский «Автотор» сообщил о начале производства в России молодежного кроссовера Jetour Dashing. На данный момент осуществляется крпноузловая сборка, но уже с первого квартала 2025 года автомобиль будут выпускать по полному циклу — со варкой и о...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Huawei сосредоточится на производстве своих ИИ-чипов вместо смартфонов Mate 60 Компания Huawei планирует увеличить производство своего ИИ-чипа Ascend 910B, за счет снижения выпуска смартфонов Mate 60 на одном из своих предприятий. Это решение вызвано низкой производительностью на заводе, где производятся и Ascend и Kirin чипы, используемые в смартфонах...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Asahi Kasei создала чип… обнаружения оставленных детей в машинах Чип от Asahi Kasei обещает совершить прорыв в обнаружении оставленных без присмотра детей в автомобилях и предотвратить трагедии. Так называемый AK5818 может поступить в массовое производство уже в октябре на волне ужесточения правил по всему миру.

The Asia Times: Китай может обойти США в количестве истребителей пятого поколения В КНР активно наращивают производство новейших образцов техники

Тут «китайцы» не заменят «японцев». В топ-5 самых популярных мотоциклетных брендов в России на вторичном рынке нет ни одного китйского В первом квартале текущего года рынок подержанных мотоциклов вырос на 10% относительно первого квартала 2023 года — до 9139 единиц. Самым популярным мотоциклетным брендом в этом сегменте у россиян является Honda — за первый квартал продажи составили 1860 ед...

Графический процессор NVIDIA R100 на архитектуре Rubin поступит в производство в конце 2025 года Подробностей о нем очень мало, предполагается, что данные ускороители будут оснащаться высокопроизводительной памятью HBM4

Ирак начинает массовое лицензионное производство китайского БТР Norinco VN22 6×6 Комиссия оборонной промышленности Ирака (DICI) недавно объявила о начале местного производства бронемашин Norinco VN22 6x6, чтобы укрепить обороноспособность своей страны.

Samsung Exynos 2500 может превзойти Qualcomm Snapdragon 8 Gen 4 по ряду параметров Процессор Samsung Exynos 2500 будет производиться с применением более совершенной технологии.

Фил Спенсер заверил сотрудников: Xbox продолжит выпускать консоли Бизнес-обновление, в рамках которого будут раскрыты планы на будущее, ожидается на следующей неделе. Слухи о выпуске двух моделей Xbox следующего поколения могут оказаться правдой, но пока что информация остается неопределенной. Спенсер подчеркнул, что проекты Xbox, такие ка...

Tecno Spark Go 2024 засветился в Google Play Console В базе Google Play Console обнаружились подробности о бюджетном смартфоне Tecno Spark Go 2024, который еще не был представлен официально. Устройство с модельным наименованием BG-6 оснащается дисплеем с разрешением 720:1612 точек и плотностью пикселей 320 PPI, 12-нанометровой...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Стоимость оперативной памяти неуклонно повышается. Период низких цен остался в прошлом Год назад цена ОЗУ разных типов и поколений была крайне низкой. Аналитики предрекали затяжное «пике» с ещё более сильным снижением цены. Но производители начали принимать меры, и сейчас, похоже, они сработали. DDR5 и предыдущие поколения ОЗУ стали дорожать. Подробности — под...

Отечественная ракета-носитель «Ангара» будет многоразовой Перспективная отечественная ракета тяжёлого класса «Ангара» будет оснащаться возвращаемыми многоразовыми ступенями. Об этом рассказал генеральный директор Центра эксплуатации объектов наземной космической инфраструктуры (ЦЭНКИ) Николай Нестечук. В документал...

AMD может доверить выпуск 4-нм процессоров компании Samsung Образцы уже существуют, а серийное производство стартует в следующем году.

Apple готовит процессор на 2 нанометрах В сентябре текущего года на фирменном мероприятии компания Apple анонсировала новые модели iPhone 15 Pro с флагманским чипом A17 Pro. Это было достаточно интересное решение, так как стандартные модели без индекса Pro всё ещё оснащены чипом A16 Bionic и производятся по старом...

Названы предполагаемые обозначения ряда настольных процессоров Intel следующего поколения Следующие настольные процессоры Intel будут выпущены под новым брендом.

Apple MacBook Pro и MacBook Air с чипами M3 выйдут в следующем году Компания Apple, вероятнее всего, планирует анонсировать свое следующее поколение ноутбуков MacBook в следующем году.

Новая консоль Xbox выйдет в 2026 году Следующее поколение консоли Xbox должно выйти через несколько лет, но кажется, что её выпустят раньше, чем PlayStation 6, так как Microsoft хочет заложить основы для следующего поколения консолей уже сейчас — для этого есть все необходимые технологии. По информации источнико...

Серийные машины с конвейера бывшего завода Hyundai в Петербурге начнут сходить уже во втором квартале Глава Минпромторга РФ Денис Мантуров заявил, что серийный выпуск автомобилей на бывшем заводе Hyundai в Санкт-Петербурге начнется не позднее 30 июня 2024 года. «Уже производить серийные автомобили начнут со второго квартала текущего года. Поэтому мы рассчитываем, ...

Самая практичная Lada встаёт на конвейер: изначально будут доступны базовые пассажирская и грузовая версии Largus 2024 Classic Представительная делегация АвтоВАЗ во главе с его руководителем Максимом Соколовым отправилась на завод «Lada Ижевск», о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, на 15 мая здесь ...

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Представлен Renault Duster 2024 Компания Renault представила третье поколение кроссовера Duster, которое оказалось копией румынской модели Dacia Duster, которая была представлена в конце ноября прошлого года. Внешне новый Renault Duster практически идентичен Dacia Duster, за исключением логотипов и н...

АвтоВАЗ прекратил производство Lada Vesta SW и Lada Vesta SW Cross АвтоВАЗа хватило на месяц с небольшим: производство универсалов Lada Vesta SW и SW Cross стартовало в самом конце октября, а сейчас оно остановлено. Сколько машин с этим типом кузова выпустили за месяц и две недели, неизвестно, но явно немного. Изображение: Lada «...

Компания Citigroup объявила о массовых увольнениях Банковский гигант отчитался о худшем квартале за 15 лет — год назад продал свой портфель рублевых потребительских кредитов банку «Уралсиб»

Российский автопроизводитель будет сам производить подушки безопасности Российский автомобильный производитель "Соллерс" ведет подготовку к запуску производства ключевых компонентов системы пассивной безопасности для автомобилей. Подушки безопасности, электронные блоки управления, рулевые колеса и ремни безопасности будут производиться на базе У...

Новый 11-дюймовый планшет iPad Air оценен в $600 Компания Apple представила новое поколение планшетов iPad Air, которое состоит из версий с диагональю экрана 11 и 13 дюймов. Новинки характеризуются панелями Liquid Retina, фирменным 5-нанометровым процессором Apple M2, который на 50% производительнее в сравнении с M1, тонки...

Автомобили с «бородавками» на крыше станут историей: представлен новый лидар Hesai, который не портит дизайн и аэродинамику Шанхайский производитель лидаров Hesai Technology представил модель ET25 на Пекинском автосалоне. Новый лидар предназначен для размещения за лобовым стеклом и внутри салона, а не снаружи автомобиля, как обычно. Массовое производство начнется во втором квартале следующег...

Ryzen 7 8845H, 32 ГБ ОЗУ и экран 3К за 780 долларов. Представлены Lenovo ThinkBook 14+ 2024 и ThinkBook 16+ 2024 Lenovo представила в Китае новое поколение ноутбуков ThinkBook 14+ и ThinkBook 16+. Модели 2024 года построены на 8-ядерном процессоре Ryzen 7 8845H, который достигает частоты 5,1 ГГц. Обе модели оснащаются аккумуляторами емкостью 85 Вт·ч, наделены памятью LPDDR...

Мобильный Apple A18 Pro в однопотоке может обойти десктопный M3 Max Инсайдер под ником Nguyen Phi Hung опубликовал предполагаемые результаты тестирования в Geekbench 6 будущего процессора Apple A18 Pro, который получат iPhone следующего поколения

Стартап Evolution Space открывает центр производства твердотопливных ракетных двигателей в NASA Stennis Space Center Стартап Evolution Space, занимающийся разработкой твердотопливных ракетных двигателей, подписал соглашение о создании производственно-испытательных операций на космическом центре NASA в Стеннисе. 10 октября компания объявила, что достигла соглашения о создании производс...

Realme GT Neo6 SE представят на следующей неделе Компания Realme официально объявила, что презентация смартфона Realme GT Neo6 SE состоится уже на следующей неделе. Также китайский производитель раскрыл некоторые характеристики. Итак, аппарат оснастят новым экраном 1,5K 8T LTPO производства BOE с глобальной пиковой яркость...

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

Google назвала дату запрета слежки за пользователями Chrome Компания Google окончательно определилась со своими планами по поводу отслеживания файлов cookie сторонними сервисами в браузере Chrome. Если ранее говорилось лишь о расплывчатых сроках - 1 квартал 2024 года, то теперь названа точная дата начала «решительных шагов...

Новый метод производства Tesla может вдвое снизить затраты на производство В автомобиле Tesla стоимостью 25 000 долл. будет применен инновационный подход к производству, который позволит сократить расходы. Tesla называет новый подход к производству методом "unboxed".

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Линейки iPhone 16 и Galaxy S24 не получат прибавки к объёму ОЗУ в сравнении с предшественниками, несмотря на ажиотаж вокруг ИИ Смартфоны Samsung линейки Galaxy S24 и аппараты линейки iPhone 16, вероятно, сохранят те же варианты объёма оперативной памяти, какие сейчас есть у актуальных моделей.  Аналитики Mizuho Securities, на которых ссылается инсайдер Revegnus, говорят, что растущий спро...

Apple впервые за 30 лет вернулась к практике лицензирования своей ОС. Речь о новом поколении CarPlay Компания Apple вернулась к практике лицензирования своей операционной системы. Впервые за 30 лет.  Речь идёт не о macOS или iOS, а о CarPlay. Как стало известно, система CarPlay нового поколения подразумевает распространение посредством лицензирования. А это означ...

«Москвич 6» и «Москвич 8» будут собирать старым отверточным способом. По полному циклу пока будут производиться только «Москвич 3» и «Москвич 3е» Завод «Москвич» будет собирать по технологии полного цикла со сваркой и окраской пока что только модели «Москвич 3» и «Москвич 3е». Как пояснила пресс-служба завода, уже выпускаемый лифтбэк «Москвич 6» и «Москвич 8&r...

Российский смартфон «Р-ФОН» оказался копией Nuu A25 Российский смартфон «Р-ФОН» внешне является полной копией устройства под названием Nuu A25, которое предлагается в США. Главный редактор портала Mobiltelefon Евгений Макаров в личном Telegram-канале опубликовал следующее сравнение, которое он прокомментиров...

Объявлены финалисты конкурса «Европейский автомобиль года 2024». Победителем реально может стать китайская машина Два китайских автомобиля были выбраны финалистами конкурса «Европейский автомобиль года 2024». Это BYD Seal и Volvo EX30. Они будут бороться за победный титул вместе с пятью другими автомобилями. Победитель будет объявлен на Женевском автосалоне 26 февраля. ...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

В следующем году Samsung собирается выпустить почти 16 млн аппаратов Galaxy S24 Ultra Samsung назвала цель по поставкам следующего поколения флагманских смартфонов

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Samsung Galaxy S25 получит Snapdragon 8 Gen 4, а Galaxy S26 – Snapdragon 8 Gen 5. Qualcomm продлила многолетнее соглашение с Samsung на поставку SoC Snapdragon для флагманов Несмотря на то, что Samsung вернула свою однокристальную SoC Exynos в текущем поколении флагманских смартфонов (Exynos 2400 применяется в Galaxy S24 и Galaxy S24 Plus для некоторых регионов), компания не собирается отказываться от американских однокристальных систем для...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

В скором времени в России будет запущено массовое производство морских дронов-камикадзе На сегодняшний день ББКН "Одуванчик" проходит испытание в зоне Спецоперации на Украине

Windows 12 не будет основана на подписке Вчера была опубликована новость, подробно описывающая возможность того, что операционная система Windows следующего поколения может быть основана на подписке. Как оказалось, это предположение, скорее всего, ошибочно. Ресурс Windows Central опубликовал новый отчет, опровергаю...

Android Headlines: Nothing Phone (3) может дебютировать во втором квартале 2024 года Запуск флагмана Phone (3) от американской компании Nothing ожидается в конце второго квартала 2024 года.

Мобильные процессоры AMD Ryzen в новом поколении значительно усилятся по всем фронтам. Ресурс HKEPC опубликовал документ с параметрами APU Strix Point и Strix Halo Чем на это будет отвечать Intel? В Сети засветились 55-ваттный мобильный APU AMD Strix Halo с огромным iGPU и 12-ядерный Strix Point Ресурс HKEPC раздобыл 144-страничный документ, описывающий спецификации некоторых будущих процессоров AMD. В частности, там есть парамет...

Сингапурская оружейная компания представила штурмовую винтовку нового поколения 5,56-миллиметровая винтовка Next Generation SAR будет оснащаться всеми необходимыми модулями и доступна также в варианте снайперского оружия

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

АвтоВАЗ анонсировал новую шестиступенчую механическую коробку передач для Lada. Названы сроки АвтоВАЗ подтвердил «Автоновостям дня», что автомобили LADA получат новую шестиступенчую механическую коробку передач, а первые машины с такой МКПП будут выпущены в конце 2024 года. Новая трансмиссия будет сочетаться с представленным ранее 122-сильным двигате...

Выяснилось, что 5-нм чип Kirin 9006C от Huawei производится TSMC, а не SMIC Последние данные показывают, что SMIC еще не готова заняться производством 5-нм чипов, а Huawei, скорее всего, останется зависимой от TSMC

Операционная прибыль Samsung Electronics в третьем квартале должна была сократиться в пять раз Предварительные итоги квартала будут официально подведены на этой неделе.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)