Социальные сети Рунета
Суббота, 4 мая 2024

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Несмотря внедрение ИИ, поисковик Microsoft Bing завоевал менее 1% рынка По данным StatCounter, спустя год после запуска улучшенного Bing Chat, пришедшего на смену Copilot, поисковая система Microsoft завоевала лишь менее 1% рынка. Это говорит о том, что интерактивная функция искусственного интеллекта не оказала того значительного влияния на прин...

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Турель "Шторм-1" с управлением по радиоканалу и с трехрежимным огнем скоро появится на СВО Будущее уже наступило – следующий шаг: массовое внедрение "Ронина"

Ускорители искусственного интеллекта Intel Gaudi 3 придут на смену в центры обработки данных На мероприятии Intel Vision 2024 компания была в значительной степени сосредоточена на искусственном интеллекте, а также на аппаратных платформах следующего поколения и программных решениях, которые она предложит для его запуска.

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

В TSMC считают, что число транзисторов в GPU превысит 1 триллион в течение 10 лет За счет внедрения новых технологий упаковки

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Qualcomm заявляет, что ее новый чип Snapdragon Elite превосходит по производительности Apple M3 Qualcomm утверждает, что чип Snapdragon Elite X не только превосходит пришедший на смену Apple M2 Max, но и превосходит последний чип M3.

Xiaomi анонсировала операционную систему HyperOS для устройств разных категорий В смартфонах HyperOS придёт на смену MIUI

Lockheed Martin заявила об успешном пуске гиперзвуковой ракеты PrSM из установки HIMARS Она должна прийти на смену ATACMS.

Следующее поколение ускорителей Nvidia для ИИ будет потреблять 1 кВт Компания Nvidia должна представить ускорители для ИИ нового поколения в этом году. Но, похоже, для клиентов они станут доступны только в следующем.  Как минимум Dell утверждает, что ускоритель B200 выйдет именно в следующем. И, видимо, будет потреблять около 1 кВт...

Apple представит наушники AirPods Lite TWS во второй половине 2024 года Apple планирует выпустить наушники AirPods Max второго поколения, которые придут на смену модели, появившейся в декабре 2020 года.

Процессоры Intel Arrow Lake-S придут на смену Core 14-го поколения и будут до 15 % быстрее — инсайд При этом конфигурация ядер должна остаться прежней.

Samsung обновляет фотодатчик ISOCELL GNK Компания Samsung анонсировала подготовку нового фотосенсора 50MP ISOCELL GNK, который придёт на смену трёхлетнему датчику GN1.

Британские учёные заявили о скором начале пандемии, которая придёт на смену COVID-19 Исследователи считают, что на этот раз человечество должно подготовиться заранее

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Слухи об Nvidia Blackwell: MCM и прирост производительности 170% Сейчас в Сети начинают активно муссироваться слухи о будущей топово видеокарте NVIDIA, которая придёт на смену RTX 4090.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Технология QDEL может прийти на смену OLED в 2026 году Электролюминесцентные дисплеи с квантовыми точками имеют преимущество над дисплеями OLED

На смену популярному шведскому автомобилю Polestar 2 придёт новая модель Polestar 7 Самую продаваемую модель автомобиля Polestar заменят на другую

Названы смартфоны Xiaomi, которые вскоре обновятся до HyperOS Новика базируется на Android 14, поэтому для некоторых обновление окажется двойным, как самой ОС от Google, так и фирменной оболочки Xiaomi, пришедшей на смену MIUI. Читать полную статью

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Huawei продолжает теснить Apple на китайском рынке смартфонов и готовит 5-нм процессор Kirin Аналитики полагают, что на смену Kirin 9000S придёт более мощный процессор, а также появится недорогое решение для бюджетного сегмента

Завод «Москвич» начнет производить зарядных станций для электромобилей Автозавод "Москвич" рассматривает возможность внедрения производства зарядных станций для электромобилей, как сообщил коммерческий директор завода, Александр Мигаль, в интервью ТАСС.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Tesla начнет производство электротягачей Tesla Semi в Берлине Илон Маск заявил, что Tesla начнет производство электрических грузовиков Semi на заводе Gigafactory Berlin. Однако для компании важно начать массовое производство сначала в США.

NVIDIA планирует доминировать на рынках ИИ за счет использования памяти HBM NVIDIA начнет внедрение HBM3e в 1 квартале 2024 года с Hopper H200, а HBM4 ожидается к 2026 году. Также собирается отдать значительную часть своих заказов на HBM Samsung.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Pentium 5 — процессор, который мог бы быть, но не сложилось Стало известно, что ранние образцы процессора Pentium 5 под кодовыми названиями Tejas и Jayhawk все же находились в разработке. Предполагалось, что эти процессоры, которые должны были прийти на смену Pentium 4, расширят границы тактовых частот, стремясь к частоте свыше 7 ГГц...

Lockheed Martin, Hermeus и другие фирмы разрабатывают сверхвуковые самолеты для гражданской авиации На смену сверхвуковому «Конкорду» (наряду с Ту-144) скоро придут другие пассажирские сверхзвуковые самолеты. Разработки такой техники активно ведутся различными производителями.

ИИ Google поднимет функции Samsung Galaxy S25 на новый уровень: что известно Samsung подтвердила, что грядущая серия Galaxy S25 будет оснащена новым поколением искусственного интеллекта от Google — Gemini Nano 2. Пришедший на смену Gemini Nano в S24, он обещает значительно улучшить пользовательский опыт.

MediaTek выпустит самый большой мобильный процессор с более 30 млрд транзисторов Компания MediaTek готовит к выпуску свой следующий флагманский чипсет Dimensity 9400, который может похвастаться революционным размером кристалла.

«Лунный» процессор Intel был впервые протестирован. 20-ядерный Lunar Lake появился в базе SiSoft Sandra Компания Intel уже явно располагает образцами процессоров Lunar Lake, потому как один из них засветился в базе SiSift Sandra.  Определить CPU позволило кодовое имя платформы — LNL-M LPP RVP1. ПО говорит о поддержке памяти LPDDR5, то есть это мобильный процес...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

В NASA анонсировали создание марсианских вертолётов нового поколения Руководитель группы Ingenuity Лаборатории реактивного движения NASA Тедди Цанетос рассказал, что на смену сломавшемуся марсианскому дрону вертолётного типа Ingenuity придут аппараты нового поколения.

Samsung готовит к релизу 290-слойную память V-NAND — ей на смену может прийти 430-слойная Компании необходимо подтвердить статус лидера на рынке чипов памяти

MediaTek показала новый процессор начального уровня Helio G91 MediaTek незаметно анонсировала свой новый процессор Helio G91, который пришёл на смену Helio G88, представленного ещё 3 года назад. Новая SoC предназначена для повышения производительности и возможностей бюджетных смартфонов, предлагая поддержку дисплеев FHD+ с частотой 90…

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

АвтоВАЗ не может возобновить сборку Lada Vesta NG в две смены Стало известно, как автомобильный завод АвтоВАЗ будет работать на этой неделе. Сборку Lada Vesta NG по-прежнему будут вести в одну смену из-за дефицита комплектующих, о чем сообщил инсайдерский паблик Avtograd News АвтоВАЗ на предстоящей неделе будет работать по следующ...

Mozilla объявляет о сокращении рабочих мест, о смене продуктов и планах по внедрению ИИ Mozilla объявляет о сокращении рабочих мест и изменении продуктов под руководством нового генерального директора. Mozilla сосредоточится на улучшении своих предложений в области искусственного интеллекта.

Представлен Motorola Edge (2023) – Dimensity 7030, IP68 и дисплей на 144 Гц Компания Motorola представила новое поколение смартфона Edge. Motorola Edge (2023) пришёл на смену Edge (2022). Как и все модели данной серии новинка имеет изогнутый экран. Дизайн и экранMotorola Edge (2023) оснащён 6,6-дюймовым POLED-дисплеем с разрешением 2400×1080…

В России начнут контролировать перевозки лесаа с помощью ИИ С 2024 года Россия начнет внедрение системы контроля транспортировки древесины с использованием искусственного интеллекта (ИИ). Поправки в законодательство уже готовятся, сообщил заместитель руководителя Рослесхоза, Вячеслав Спиренков, пишет РИА Новости.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

ЦКБ «Рубин» разработал новейший подводный ракетоносец «Арктур» ЦКБ «Рубин» разработало проект нового подводного ракетного крейсера стратегического назначения, который может прийти на смену АПЛ класса «Борей» в российских ВМС.

Панели QDEL придут на смену OLED-панелям в 2026 году Продукты с OLED-панелями уже довольно распространены, и они продолжают дешеветь. С другой стороны, ожидается, что на смену им придут новые панели QDEL (quantum dot electroluminescent).

Apple начнет поддерживать RCS начиная с 2024 года Со следующего года Apple внедряет в свои устройства на iOS поддержку нового протокола Rich Communication Services.

TSMC планирует разместить триллион транзисторов в одном корпусе к 2030 году В ходе недавней конференции IEDM компания TSMC представила дорожную карту технологического процесса для создания корпусов микросхем следующего поколения, содержащих более триллиона транзисторов, к 2030 году

Деталь рулевого механизма для Lada Iskra показали со всех сторон Тольяттинская компания «Рулевые системы», известный поставщик АвтоВАЗа, недавно запатентовала картер для нового рулевого механизма. Эта деталь предназначена для перспективной модели Lada Iskra (внутризаводские индексы LJO/BJO), которая должна прийти на смену...

Gmail начнет отклонять письма, несоответствующие новым требованиям Google начинает автоматически блокировать письма от массовых отправителей, которые не соблюдают строгие требования по борьбе со спамом и не аутентифицируют свои сообщения, в соответствии с новыми правилами.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Смартфон Honor 90 GT со Snapdragon 8 Gen 2 покажут 21 декабря Honor 90 GT — новый китайский смартфон, который покажут 21 декабря в 19:00 по Пекину и примерно в 13:00 того же дня по МСК. Как говорит инсайдер Digital Chat Station, в мобильном устройстве Honor 90 GT будет стоять чипсет Snapdragon 8 Gen 2, на смену которому должен прийти ...

Google назвала дату запрета слежки за пользователями Chrome Компания Google окончательно определилась со своими планами по поводу отслеживания файлов cookie сторонними сервисами в браузере Chrome. Если ранее говорилось лишь о расплывчатых сроках - 1 квартал 2024 года, то теперь названа точная дата начала «решительных шагов...

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Франция и Германия подписали соглашение о начальном этапе создания будущего танка MGCS Франция и Германия объединили усилия для создания нового основного боевого танка MGCS, который в середине 2030-х годов должен прийти на смену Leopard-2 и Leclerc. Новое соглашение раскрывает ключевые особенности вооружения будущей боевой машины, включая возможность установки...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Сбербанк начнет тестирование цифрового рубля Кирилл Царев, первый зампред правления Сбербанка, поделился планами банка по внедрению цифрового рубля. В ходе Дня инвестора Сбера было объявлено, что банк примет участие во второй фазе пилотного тестирования цифровой валюты, пишет ТАСС.

В Ирландии на смену Варадкару может прийти самый молодой премьер-министр в истории Министр дополнительного и высшего образования Ирландии, Саймон Харрис, может возглавить партию Fine Gael после отставки Лео Варадкара. Он получил значительную поддержку и готов стать самым молодым ирландским премьер-министром.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Qualcomm готова показать первую SoC RISC-V на базе Android для массового рынка Экосистема Android делает шаги в сторону внедрения RISC-V: Google подтвердила официальную поддержку RISC-V в Android, а компания Qualcomm объявила о разработке первого массового RISC-V Android SoC.

Wi-Fi Alliance представила новый стандарт Wi-Fi CERTIFIED 7 Стандарт Wi-Fi CERTIFIED 7 становится толчком для массового внедрения Wi-Fi 7 и предлагает более высокие скорости, улучшенную эффективность и повышенную надежность, которые открывают новые горизонты возможностей

АвтоВАЗ объяснил смену шильдиков легендарной «Нивы» АвтоВАЗ заявил, что новые шильдики Niva, которые замечены на внедорожниках Lada Niva Legend и Lada Niva Travel 2024 модельного года, ставятся на отдельные автомобили при их наличии. О массовой смене шильдиков на кузовах машин семейства Niva пока речи не идет: «Ед...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

OpenAI снова спотыкается: запуск магазина для ИИ-инструментов отложен до следующего года Долгожданный магазин GPT от OpenAI столкнулся с очередной неудачей: компания признала, что из-за непредвиденных проблем релиз будет перенесен на следующий год. Изначально запуск магазина был запланирован на декабрь/ Недавняя суматоха в OpenAI, отмеченная сменой генерального ...

Kia на забывает про седаны: совершенно новую модель показали вживую Kia, как и любой другой автопроизводитель, в последние годы активно развивает линейку кроссоверов, однако автопроизводитель на забывает седаны. На новых шпионских снимках показали седан Kia, который должен прийти на смену Forte. По слухам, Kia может переименовать автом...

Nissan начнет массовое производство электромобилей с новыми батареями Nissan планирует начать массовое производство электромобилей на основе новых батарей к началу 2029 года. Компания видит шанс догнать и возможно опередить конкурентов с помощью более мощных, дешевых, безопасных и быстрых в зарядке батарей нового поколения.

В мае Microsoft проведёт специальное мероприятие о Windows, Surface и ИИ Microsoft разослала представителям СМИ приглашение на мероприятие, которое состоится 20 мая в преддверии конференции Build 2024. Сообщается, что на этой презентации генеральный директор Microsoft Сатья Наделла расскажет о перспективах внедрении ИИ в аппаратном и программном...

Прибор для измерения качества света Oplle Light Master IV Ещё совсем недавно измерить основные параметры света можно было только с помощью спектрометра, стоящего в лучшем случае $500, но два года назад всё изменилось — появился дешёвый прибор Oplle Light Master Pro, способный вполне сносно измерять индекс цветопередачи, цветовую те...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Построение логических элементов на транзисторах Ещё очень давно, как только я начал интересоваться цифровой электроникой, я изучал логические элементы и сразу хотел что-то собрать на их основе, но самих микросхем логики у меня изначально не было, поэтому я решил собрать их самому на основе биполярных транзисторов NPN...

BMW представила концепт Neue Klasse X Vision Neue Klasse X появится на дорогах в ближайшие годы и будет выпущен в качестве следующего поколения на смену iX3.

Apple может переименовать Apple ID По словам источников, знакомых с планами Apple, сейчас купертиновцы обсуждают возможность ребрендинга учетной записи Apple ID. На смену привычному для многих названию может прийти Apple Account. Источники отмечают, что новое название яблочной учетной записи будет представлен...

Ушла ещё одна автолегенда: в США собрали последний Dodge Charger Как сообщает Motor1, в США 22 декабря выпустили последний muscle-кар в кузове седан Dodge Charger. Это уже третья потеря в рядах легендарных американских автомобилей: ранее прекратился выпуск купе Dodge Challenger и Chevrolet Camaro. Последним Charger стал автомобиль в...

Представлена 700-сильная Toyota Camry XSE Next Gen с мотором V8 Новая Toyota Camry выйдет на гоночную трассу еще до того, как доберется до дилеров. Сегодня автопроизводитель представил Camry XSE Next Gen, которая примет участие в серии кубков NASCAR в следующем году. Его гоночный дебют состоится в начале февраля, а обычная новая Cam...

Mobile Web, навигация и при чем тут Nginx Привет, Habr! Я frontend-разработчик в Альфа-Банк. В статье я хотел бы поделиться опытом внедрения мобильной версии web приложения «Альфа-Бизнес» в нашу архитектуру. А точнее — как без массовых доработок 100 фронтовых сервисов мы заставили работать mobile web версию с новой ...

На Байконуре собрали ракету для запуска спутника дистанционного зондирования Земли «Ресурс-П» №4 На космодроме Байконур специалисты предприятий Роскосмоса собрали ракету «Союз-2.1б» с космическим аппаратом дистанционного зондирования Земли «Ресурс-П» №4. Вывоз и установка ракеты на стартовый комплекс, по решению госкомиссии, состоятся 29 мар...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

[Перевод] Сообщаются ли ваши тесты? Чтобы быстро продвигаться в рабочих задачах, необходимо иметь уверенность в том, что можно вносить изменения. А уверенность в изменениях зависит от тестового покрытия.С тех пор как мы это поняли, автоматические тесты стали просто необходимы. Это привело к массовому внедрению...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Тайвань выделил более $500 000 на разработку и тестирование пуленепробиваемых плит для своей армии Тайвань планирует внедрение массового производства пуленепробиваемых плит для своих вооруженных сил в 2025 году. Управление вооружений страны выделило 16 млн тайваньских долларов на разработку и тестирование плит, способных выдержать пули калибра 5,56 мм.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Массовое производство 2-нм чипов TSMC обещает начать в конце следующего года И тогда же победить дефицит чипов для систем искусственного интеллекта.

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

BYD продолжает запускать заводы в новых странах: стартовало производство машин в Таиланде, на очереди — Индонезия BYD начала тестовое производство на заводе в Таиланде. Серийное производство начнется в следующем месяце. Планируемый объем производства тайского завода составит 150 000 автомобилей в год. В апреле 2024 года BYD также начнет строительство сборочного завода в Индонезии. ...

TSMC начнёт выпуск тестовых 4-нм чипов на новом предприятии в Аризоне в этом месяце Массовое будет развёрнуто к первой половине следующего года.

Boston Dynamics представила новое поколение роботов Atlas На замену последней модели придёт полностью электрическая версия Atlas с кардинально переосмысленным дизайном и конструкцией. Это следующее поколение оригинальной программы Atlas, основанное на десятилетии активных исследований и инновационном практическом опыте в области ро...

Отечественная биоустановка, очищающая воздух, появится в 2024 году В России в следующем году начнет выпускаться первая в стране биоустановка, очищающая воздух путем его прогона через природный мох, благодаря чему из обрабатываемого воздуха будут удаляться опасные частицы, формальдегид и другие виды ядов, а сам он подвергнется увлажнению до ...

Россия увеличит скорость внедрения отечественного ПО в российские компании Минцифры планирует ускорить внедрение отечественного ПО почти в два раза

Это на сколько поколений отстаёт Intel? Разогнанный до 6,25 ГГц 96-ядерный Threadripper Pro 7995WX на 60% быстрее разогнанного Xeon W9-3495WX 96-ядерный процессор AMD Ryzen Threadripper Pro 7995WX продолжает впечатлять результатами разгона. На сей раз оверклокер Safedisk сумел разогнать флагман AMD до частоты 6,25 ГГц и установить очередной рекорд.  CPU набрал 210 702 балла в Cinebench R23, что на впеча...

В действительности Tesla начнёт выпускать новую массовую модель электромобиля ближе к концу следующего года Об этом сообщил глава компании Илон Маск.

75 лет транзистору: прошлое, настоящее и будущее самого важного изобретения современного мира Днем рождения транзистора считается 23 декабря 1947 года. Тогда Уолтер Браттейн и Джон Бардин продемонстрировали первый в мире транзистор с точечным контактом. Оба физика были членами исследовательской группы Bell Labs, искавшей новое средство усиления электрических сигналов...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Apple сдалась под натиском Android и анонсировала внедрение протокола RCS на iPhone Случилось практически невозможное: Apple объявила о планах по внедрению стандарта обмена сообщениями RCS (Rich Communication Services) в свои устройства.

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Раскрыты проблемы большинства компаний во внедрении генеративного ИИ Гендиректор Accenture Джули Свит (Julie Sweet) откровенно рассказала о том, что большинство компаний не готовы к широкому внедрению генеративного ИИ. Несмотря на ажиотаж вокруг этой передовой технологии, многие предприятия не имеют надежной инфраструктуры данных и необходимы...

Свободу почтовым ящикам от спама! Google вводит новые ... К февралю следующего года Gmail заставит отправителей массовых рассылок дать вам простой способ отписаться от них.

Samsung и ARM начнут совместную работу над созданием следующего поколения процессора Cortex-X Новое решение должно будет помочь с внедрением технологий искусственного интеллекта в мобильные устройства

TSMC нацелилась на чипы с триллионом транзисторов TSMC готовит монолитные чипы с 200 млрд транзисторов по 1 нм

В России планируют приступить к внедрению сетей 5G на отечественном оборудовании Первую партию российского оборудования для 5G хотят произвести в следующем году.

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

В сети появилось видео массового взлета 12 бомбардировщиков B-2 Spirit с базы ВВС США Дюжина B-2 приняла участие в учениях по массовому взлету на базе ВВС США Уайтмен, штат Миссури, в рамках ежегодного учения Spirit Vigilance. Этот массовый взлет служит сигналом об оперативной готовности самолетов B-2 на случай серьезных кризисных ситуаций.

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

Tesla заключила соглашение с Baidu для внедрения системы полного беспилотного вождения в Китае Автопроизводитель сможет реализовать свою идею по внедрению продвинутого автопилота в машины Tesla

JEDEC объявила о появлении памяти GDDR7 для видеокарт следующего поколения Организация, устанавливающая отраслевые стандарты памяти, официально опубликовала спецификацию GDDR7, открыв путь к ее внедрению в видеокарты следующего поколения.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Российский автомобиль «Амбер» выйдет уже в следующем году Российский завод «Автотор» планирует начать массовую сборку небольшого каркасно-панельного электромобиля в четвертом квартале следующего года, о чем пишет «Автопоток» со ссылкой на заявление представителей завода на Международном автомобильном на...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Intel выпустит процессор с рекордным триллионом транзисторов уже к 2030 году Intel стремится к новому технологическому прорыву.

Чехлы и аксессуары Apple FineWoven оказались провалом? Компания отказывается от этого материала Компания Apple, возможно, прекратила производство аксессуаров, выполненных из материала FineWoven.  фото: Jordan Hart/BI Инсайдер Kosutami, который прошлой осенью заявил, что Apple откажется от кожаных аксессуаров, теперь говорит, что пришедшие им на смену че...

AMD добавила 32 ядра, а Intel добавит четыре. Появились параметры процессоров Xeon W3500/W2500 класса HEDT В Сети появились названия и параметры процессоров Intel Xeon W3500/W2500 Sapphire Rapids Refresh класса HEDT, которые в скором времени выйдут на рынок и будут конкурировать с Ryzen Threadipper 7000.  Старшими моделями будут Xeon 3500 во главе с 60-ядерным W9-3595X...

Apple не смогла избежать массовых исков по поводу комиссии App Store Массовый иск от 1500 разработчиков может обойтись американской компании 800 млн евро

Jeep Grand Cherokee лишится моторов HEMI V8 и Pentastar V6: их заменят мене мощные 2,0-литровые четырехцилиндровые агрегаты Как стало известно, компания Jeep перестанет устанавливать на внедорожники Jeep Grand Cherokee V-образные моторы начиная с 2025 модельного года Как сообщает «Газета.ру» со ссылкой на автомобильное издание Mopar Insiders, обновленные Jeep Grand Cherokee и Gra...

TSMC создаст чипы с триллионом транзисторов к 2030 году По крайней именно такие планы на данный момент вынашивает TSMC

7 примеров ИИ, которых не хватает прямо сейчас Писать статьи для блога вместо авторов? Генерировать картинки на сайт вместо веб-дизайнеров? Дописывать код за стажерами, которые активно вкатываются в IT после трех месяцев обучения на каких-нибудь курсах? Этим уже никого не удивить.Но ведь у нейросетей есть и более интерес...

Snapdragon 8 Gen 4, 5500 мА·ч и экран 2К. Подробности о Redmi K80 Pro и Redmi K80 Инсайдер, известный в Weibo под ником Wisdom Pikachu, раскрыл подробности о будущих флагманах Redmi — смартфонах Redmi K80 Pro и Redmi K80. По словам информатора, в линейке нового поколения сохранится практика использования разных SoC: Redmi K80 Pro получит Snapdr...

Крупнейший хакафон прошел в ОАЭ – три победителя с изобретениями по улучшению Web3 Bybit, третья крупнейшая по объему торгов криптобиржа в мире, в партнерстве с Критпоцентром DMCC Crypto Centre провела в Дубае марафон, вдохновляя на инновации в области Web3. Совместные усилия Bybit и DMCC, озвученные в июне этого года в поддержку массового внедрения крипто...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Новые массовые отклонения Gmail начнутся в апреле 2024 года, сообщает Google Google ужесточает правила для массовых рассылок в Gmail с апреля, требуя аутентификацию отправителей и повышая безопасность

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

NVIDIA представила чип B200: два графических кристалла, 208 миллиардов транзисторов и 192 ГБ HBM3E Чип NVIDIA B200 ляжет в основу различных продуктов компании, включая «суперчип» NVIDIA GB200 Grace Blackwell, который объединит два чипа B200 и процессор Grace.

Intel, TSMC и Samsung показали технологию CFET на конференции IEEE IEDM Intel, TSMC и Samsung демонстрируют CFET на конференции IEEE IEDM, ожидая почти двукратного увеличения плотности транзисторов

Огромный чип на 4 триллиона транзисторов представила компания Cerebras Systems Для создания Cerebras WSE-3 используется вся 300-миллиметровая полупроводниковая пластина

В «Россетях» стартовал проект внедрения коммуникационной платформы VK WorkSpace В Группе «Россети» началось внедрение коммуникационной платформы VK WorkSpace. На первом этапе проекта к новым сервисам планируется подключить 100 тыс. сотрудников холдинга.

Внедрение новейшей памяти UFS 5.0 в смартфоны задержится Хотя Samsung уже работает над революционной памятью UFS 5.0, способной передавать данные со скоростью 10 Гбит/с, ждать её внедрения в смартфоны придется до 2027 года.

30 шагов для успешного внедрения CRM-системы Битрикс24 Как провести внедрение своими силами и не наделать ошибок.

Как мы внедряли Sentry. Часть 2 — внедрение в разработку В прошлый раз мы рассматривали внедрение Sentry со стороны эксплуатации: устанавливали на сервер self-hosted, делали его высокодоступным при помощи сети доставки td-agent, настраивали мониторинг.Теперь рассмотрим процесс внедрения Sentry со стороны команды разработки. Читать...

Терапевт предупредила об опасности смены гардероба при прогнозе тёплой погоды Врач-терапевт Кристина Заплавнова посоветовала не спешить со меной гардероба, когда всё более частым становится прогноз тёплой погоды. По её словам, резкая смена гардероба — ошибка, которую россияне часто допускают весной.

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Первые тесты Intel Xeon поколения Emerald Rapids: хорошо, но недостаточно, чтобы догнать AMD. В Сети появились результаты Xeon Platinum 8551C и 8558P В Сеть попали первые результаты тестирования процессоров Intel Xeon поколения Emerald Rapids. Это будут самые мощные серверные CPU компании, которые в начале 2024 года придут на смену Sapphire Rapids.  Пока что речь о результатах в Geekbench и только для двух моде...

Все смартфоны из линейки iPhone 16 получат чип Apple A18 Аналитик Джефф Пу рассказал о том, что в следующем году компания Apple снова начнет оснащать все свои новые смартфоны одинаковыми процессорами. По словам эксперта, купертиновцы вынуждено пошли на разделение линейки. Однако вскоре ситуация должна измениться.Читать дальше... ...

IBM показала нанолистовой транзистор, который любит температуру кипения азота в 77 Кельвинов Компания IBM на конференции IEEE International Electron Device Meeting (IEDM) продемонстрировала концептуальный нанолистовой транзистор

АвтоВАЗ прекратил производство автомобилей. Его планируют возобновить только 9 января АвтоВАЗ ушёл на новогодние каникулы и возобновит производство только на следующей неделе, о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Для двух линий ВАЗа закончилась трудовая неделя и календарный 2023 год. 29 декабря конвейер сбор...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Samsung представила память LPCAMM, которая «изменит рынок». Модуль LPCAMM очень компактный Модули оперативной памяти концептуально не менялись много лет, но в прошлом году на рынок пришёл формат CAMM, а теперь Samsung представила первые в отрасли модули LPCAMM.  Как говорит компания в своём пресс-релизе, LPCAMM на базе LPDDR станет лидером на рынке моду...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Как подойти к внедрению DWH, чтобы не было «больно»? Какие методологии использовать и какой стек выбрать? В статье рассказываем о том, кому стоит задуматься о внедрении DWH, как сократить вероятность ошибок на этапе разработки проекта, выбрать стек, методологию и сэкономить ИТ-бюджеты.  Читать далее

Массовые блокировки аккаунтов PlayStation Network без объяснения причин Массовая волна блокировок аккаунтов в PlayStation Network без объяснения причин. Пользователи лишены доступа к играм и контенту. Sony пока не комментирует ситуацию.

Происходят массовые блокировки аккаунтов PlayStation Network без объяснения причин Массовая волна блокировок аккаунтов в PlayStation Network без объяснения причин. Пользователи лишены доступа к играм и контенту. Sony пока не комментирует ситуацию.

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

Xiaomi начала массовое производство своего народного флагмана Redmi K70 Xiaomi объявила о старте массового производства своего новейшего флагмана — Redmi K70.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

ByteDance сокращает геймдев- начались массовые увольнения в Nuverse ByteDance, компания-владелец TikTok, объявила о массовых увольнениях в своем игровом подразделении Nuverse после двух лет амбициозных попыток войти на рынок видеоигр

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

Google создает новую команду для внедрения ИИ в свои продукты Под руководством Рика Остерлоха новая команда по платформам и устройствам будет заниматься внедрением искусственного интеллекта в телефон, телевизор и в другие гаджеты, которые работают под управлением Android.

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Lada Niva с ABS уже сходят с конвейера АвтоВАЗа Судя по всему, АвтоВАЗ скоро запустит массовое производство внедорожников Niva Legend и Niva Travel с ABS. Как пишет инсайдерский паблик Avtograd News, такие машины в единичных экземплярах уже собирают. «С конвейера АвтоВАЗа сошло несколько пробных автомобил...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Cerebras представила новый ИИ-чип CS-3 размером с пластину с 900 000 ядрами и 4 трлн транзисторов Вместо того, чтобы разрезать кремниевую пластину на отдельные микросхемы, компания Cerebras использует ее целиком для создания огромного чипа.

Новые планшеты iPad Pro с OLED-дисплеями будут тоньше предшественников По слухам, Apple планирует выпустить обновленные модели iPad Pro уже в следующем месяце, и ключевым изменением станет внедрение OLED-дисплеев для моделей 11 дюймов и 13 дюймов.

От причала к причалу со скоростью самолета: внедрение электрических морских планеров в Абу-Даби Морские планеры будут обслуживать маршруты в Абу-Даби протяженностью до 300 км с существующей аккумуляторной технологией и до 800 км с батареями следующего поколения.

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

ASML планирует выпускать литографы нового поколения для производства чипов в 2026 году Новые литографы ASML уменьшат размер транзисторов на 40%.

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Это новый смартфон Poco за 90 долларов. Все изображения и характеристики Poco C61 от надежного источника Известный инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) опубликовал много качественных изображений будущего смартфона Poco C61 — модели, которая должна прийти на смену дебютировавшему в апреле прошлого года Poco C51. Poco C61 получил большой блок камеры как у Xia...

[Перевод] 4 вида распространённых ошибок в Event-Driven системах В последние несколько лет в крупных компаниях наблюдается значительный рост внедрения event-driven (событийно-ориентированных) систем. Каковы основные причины этой тенденции? Это чистой воды хайп или есть веские причины, побуждающие к внедрению этой архитектуры? С нашей точк...

«Москвич» в 2024 году начнет собирать автомобили по полному циклу Директор по производству автозавода «Москвич» Олег Масляков подтвердил планы предприятия начать полный цикл производства автомобилей марки в следующем году. В рамках такого перехода предприятие активно готовится к запуску мелкоузловой сборки, включая этапы сварки и окраски к...

Что может пойти не так на хардварном проекте? Спойлер: всё Долго придумывал вступление. И пришёл к выводу, что оно не нужно. Просто расскажу о том, чем занят менеджер при разработке, производстве и внедрении электронного устройства. Сразу скажу: совсем не тем же самым, чем менеджер разработки чистого софта. Своим друзьям я обычно ...

Внедрение системы контроля макропруденциальных лимитов: опыт для банков «не первого выбора» Каким образом можно избежать потерь в воронке кредитования и поддержать необходимый уровень доходности бизнеса при внедрении системы контроля макропруденциальных лимитов (МПЛ), рассказывает Дмитрий Непутин, начальник управления портфельного анализа и отчётности Департамента...

Обычный iPhone 15 оказался прочнее хвалёного титанового iPhone 15 Pro Max. Как минимум тест на изгиб у JerryRigEverything младшая модель прошла Несколько дней назад iPhone 15 Pro Max неожиданно провалил тест на прочность у известного блогера JerryRigEverything. Теперь пришёл черёд испытать младшие iPhone нового поколения.  обложка видео источника Если переходить сразу к главному, то и iPhone 15, и iPhone ...

Samsung намерена начать массовое производство чипов на стеклянной подложке к 2026 году Компания Samsung решила перейти к следующему поколению упаковочного материала, начав работы по созданию стеклянных подложек к 2026 году

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

Чудо-камерофон или просто один из многих? Опубликованы примеры фото, сделанных Huawei Pura70 Pro+ Сегодня Huawei наконец-то рассекретила смартфоны новой Pura70, пришедшие на смену моделям Huawei P. Сейчас в Cети появились первые примеры того, как снимает одна из моделей серии — Pura70 Pro+. Опубликовал кадры Юй Чэндун, управляющий директор Huawei. На фото пок...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

LG начала массовое производство гибких OLED-дисплеев для 17-дюймовых ноутбуков будущего LG Display объявила о старте массового производства 17-дюймовых гибких OLED-панелей для ноутбуков.

Расчет потерь в MOSFET транзисторах Приветствую!В этой статье я расскажу, как рассчитываю потери на MOSFET транзисторах при разработке источника питания и поверхностно рассмотрю основные переходные процессы. Читать далее

Intel анонсировала новую компоновку транзисторов Во время 69-й ежегодной конференции IEEE International Electron Devices Meeting (IEDM) компания Intel продемонстрировала некоторые из своих последних достижений в области разработки и производства транзисторов. Первым на очереди является 3D-интеграция транзисторов. Компания…

TSMC преследует цель — к 2030 году упаковать в 3D-процессор 1 трлн транзисторов Поскольку ущерб от землетрясения минимален, председатель совета директоров Марк Лю и главный научный сотрудник Филип Вонг прогнозируют 10 лет роста.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

HTML и CSS ошибки, влияющие на доступность. Мой опыт и моего незрячего знакомого Ильи. Часть 7 Хабр, я снова пришёл к вам с Ильёй с практическими советами про доступность. Мы показываем, как HTML и CSS могут улучшить или ухудшить её. Напоминаю, что Илья — мой незрячий знакомый, который помогает мне найти наши косяки в вёрстке. Сегодня мы рассмотрим следующие аспекты...

[Перевод] Механизмы безопасности в Laravel Комплексный обзор множества безопасных функций Laravel, которые могут помочь вам предотвратить болезненные ошибки.Мы рассмотрим следующие механизмы безопасности:• Предотвращение N+1• Защита от частично гидрированных моделей• Опечатки атрибутов и переименованные столбцы• ...

NVIDIA представила GPU Blackwell B200 с 208 млрд транзисторов На конференции GTC 2024 NVIDIA представила графический процессор Blackwell B200. Новый GPU обеспечит пятикратный прирост производительности в области искусственного интеллекта по сравнению с Hopper H100 текущего поколения, и имеют в четыре раза больше встроенной памяти. B200...

Ученые достигли прорыва в понимании органических транзисторов Исследователи достигли прорыва в понимании органических электрохимических транзисторов (ОЭХТ), ключевой технологии для преодоления разрыва между биологией и электроникой. ОЭХТ открывают огромные перспективы для применения в биосенсорах, вычислениях, вдохновленных мозгом, и м...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Как содержать пароли. Мой сетап Я долго собирал информацию о том, как организовать свои аккаунты. Как сделать доступ к ним достаточно надёжным и стойким к утере девайсов. Меня интересовало, как я могу залогиниться туда, где многофакторная авторизация через телефон, в случае потери телефона. Или, ...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Раскрыты характеристики и дизайн Infinix GT 20 Pro — преемника Infinix GT 10 Pro Издание GSMArena сообщило о свежем сливе характеристик Infinix GT 20 Pro. У этого смартфона будет AMOLED-дисплей с диагональю 6.78 дюймов, разрешением FHD+ и частотой обновления 144 Гц. Под капотом будет MediaTek Dimensity 8200 — чипсет набирает 61 балл из 100 по производите...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Материаловедение кубита: как физически устроен центр принятия решений квантового компьютера? Самая интересная часть квантового компьютера это, пожалуй, кубит. Как с точки зрения материаловедения устроен кубит и что позволяет проявлять ему такие фантастические свойства?Давайте вспомним самые основные моменты, которые тесно связаны с физикой работы кубита. Как это вод...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Ситуация с комплектующими улучшается? АвтоВАЗ снова будет выпускать Lada Vesta NG в две смены и, возможно, по выходным Отечественный завод АвтоВАЗ через неделю вернутся к выпуску автомобилей Lada Vesta NG в две смены, о чём сообщает «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Линия сборки Lada Vesta NG вернется к двухсменному графику работы с 11 декабря. Также Ав...

Apple работает над iPad Air и iPad mini c OLED-экранами По данным экспертов исследовательской компании Omdia, которые составили свой прогноз относительно объемов продаж iPad, в следующем году Apple представит обновленные iPad Pro с OLED-дисплеями. При этом купертиновцы рассматривают возможность внедрения таких экранов и в более д...

Моторы «Евро-5» вернутся в УАЗ «Патриот» и «Пикап» в 2024 году. Они получат новые блоки управления После прошлогоднего кризиса УАЗ начал устанавливать на свои автомобили двигатели «Евро-2», что допускается сейчас техрегламентом. Однако эти моторы не задержатся надолго под капотом отечественных внедорожников: УАЗы получат двигатели «Евро-5» уже...

Это Peugeot 408 2024. Живые фото новой модели, которая скоро поступит в продажу в Китае Ресурс Autohome опубликовал живые фото бюджетного седана Peugeot 408 2024: несмотря на то, что официальная премьера состоится только 18 марта, автомобиль уже появился у дилеров в Китае. Автомобиль получил довольно крупную затемненную решетку радиатора, фары с длинны...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Война стандартов. Как аналоговые компакт-кассеты победили в конкурентной борьбе В одной из песен группы «Кафе» есть такая строчка: «…и проклятый китайский плеер зажевал две кассеты Майка». В восьмидесятые годы выбор музыкальных носителей и вправду был крайне невелик: постепенно уходящий в прошлое «винил» и компакт-кассеты, пришедшие на смену огромным ...

Все iPhone 16 получат сенсорную кнопку Action В этом году iPhone 15 Pro и iPhone 15 Pro Max лишились переключателя беззвучного режима. Ему на смену пришла многофункциональная кнопка Действие. При желании пользователи могут «повесить» на нее разные функции — от активации беззвучного режима до включения фонарика или камер...

Через «Госуслуги» теперь можно подать в суд На портале «Госуслуг» заработал сервис «Электронная подача документов в суд». Об этом сообщило Министерство цифрового развития, связи и массовых коммуникаций Российской Федерации (Минцифры России). Как рассказали в пресс-службе, воспользоваться ...

Грядет неделя больших новостей о Xiaomi 14 Pro и Xiaomi 14. Компания начнет раскрывать подробности о новых флагманах уже с понедельника 16 октября Проверенный инсайдер Wisdom Pikachu сообщил о том, что уже на следующей неделе Xiaomi начнет раскрывать подробности о своих новых флагманах – Xiaomi 14 и Xiaomi 14 Pro. Обычно такая «тизерная неделя» предшествует официальной премьере, которая, согласно...

Privacy Manifest: что нужно о нём знать для внедрения в проект На работе я столкнулся с задачей внедрения Privacy Manifest в проект. Изучение вопроса началось с прочтения документации Apple, а продолжилось просмотром WWDC-сессии на тему конфиденциальности. После внедрения оказалось, что для корректной работы над задачей нужно изучить бо...

В России резко увеличивают качество автомобилей УАЗ. Что нового? На УАЗе началась обширная программа модернизации механосборочного производства, направленная на улучшение мостов для внедорожников УАЗ. Оптимизация процесса сборки, осуществляемого на участке, известном как «Галерея», включала в себя тщательный анализ потоко...

Новая версия Lada Niva Travel выйдет уже в июне АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с обновленной мультимедийной системой Lada EnjoY Pro в конце мая, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, Lada Niva Tra...

HTML и CSS ошибки, влияющие на доступность. Мой опыт и моего незрячего знакомого Ильи. Часть 6 Хабр, я снова пришёл к вам с практическими советами про доступность вместе с Ильёй. Мы показываем, как HTML и CSS могут улучшить или ухудшить её. Напоминаю, что Илья мой незрячий знакомый, который помогает мне найти наши косяки в вёрстке. Сегодня мы рассмотрим следующие а...

HTML и CSS ошибки, влияющие на доступность. Мой опыт и моего незрячего знакомого Ильи. Часть 5 Хабр, я снова пришёл к вам с практическими советами про доступность вместе с Ильёй. Мы показываем, как HTML и CSS могут улучшить или ухудшить её. Напоминаю, что Илья мой незрячий знакомый, который помогает мне найти наши косяки в вёрстке. Сегодня мы рассмотрим следующие ас...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Geely Monjaro и Zeekr 001 вошли в число самых ликвидных автомобилей в России Эксперты «Авито Авто» выявили самые ликвидные автомобили в возрасте 1-3 лет на российском рынке. Исследование проводилось по запросу контактов на объявление по каждой модели. По итогам аналитики выстроили два рейтинга — по автомобилям массового сегмент...

Завод «Микрон» увеличил производство продуктов для промышленного использования Резидент особой экономической зоны (ОЭЗ) «Технополис Москва» — завод «Микрон» — увеличил выпуск новых продуктов для промышленного использования. Микросхемы управления питанием, составные транзисторы с высокой нагрузочной способностью и RFID-метки для экстремальных условий эк...

Компактный iPad mini обзаведётся экраном OLED Со следующего года компания Apple постепенно начнёт оснащать почти все свои модели планшетов iPad экранами OLED. Об это сообщило корейское издание ETNews.  По данным источника, Apple планирует использовать панели  OLED не только в моделях iPad Pro диагон...

Производство OLED-дисплеев для iPad Pro может начаться в феврале Компании LG и Samsung планируют начать массовое производство OLED-панелей для iPad Pro следующего поколения примерно в феврале 2024 года. Об этом сообщили авторы южнокорейской газеты Chosun Ilbo со ссылкой на собственные источники.Читать дальше... ProstoMAC.com.| Постоянная...

QSAN представит новейшие решения на выставке COMPUTEX 2024 Искусственный интеллект шагает по планете, трансформируя целые отрасли и стимулируя предприятия к внедрению ИИ в свои бизнес-процессы. Для компаний, которые готовятся воспользоваться этой невероятной возможностью быстрого роста, первым шагом является модернизация их ИТ-инфра...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Минцифры: «Битвы роботов» могут доехать до Перми Второй этап "Битвы роботов", проходящей в Перми, мог стать лишь началом серии будущих мероприятий, заявил Максут Шадаев, министр цифрового развития, связи и массовых коммуникаций России. Это событие привлекло внимание как участников, так и зрителей, и в следующем году оно мо...

Смена профессии и мой первый опыт в IT: путешествие в мир фронтенда с Tauri, REST и Fetch API Привет, Хабр! Меня зовут Гармаев Энхэ. В течение длительного времени я работал в сфере розничной торговли, занимая должность менеджера. Это было увлекательное и насыщенное время, но, как говорится, в жизни всегда есть место переменам и новым вызовам....

Apple догонит рынок? Свой аналог ChatGPT в iOS может появиться уже в следующем году Компания Apple, похоже, наконец-то примкнёт к гонке генеративного искусственного интеллекта уже в следующем году.  Аналитик Haitong International Securities Джефф Пу (Jeff Pu) утверждает, что Apple может внедрить генеративный ИИ в iOS 18, которая выйдет ближе к ко...

«Госуслуги.Авто»: россияне оформили уже 2,5 млн электронных водительских удостоверений Министерство цифрового развития, связи и массовых коммуникаций Российской Федерации (Минцифры России) поделилось успехами по внедрению электронных водительских прав.  В приложении «Госуслуги.Авто» уже загрузили более 4,2 млн электронных СТС и почти 2,5...

После покупки Activision Blizzard за $69 млрд Microsoft начали массовые увольнения Microsoft объявила об отмене неанонсированного проекта survival-игры от Blizzard. Это произошло спустя чуть более четырех месяцев после приобретения компанией Microsoft компании Activision Blizzard за 69 миллиардов долларов и стало последним событием в череде массовых увольн...

Что такое полупроводники: из чего они сделаны, как работают и где применяются Появление полупроводников можно без сомнения назвать одним их главных событий XX века. Микросхемы и транзисторы можно найти почти в любом устройстве сложнее вентилятора, но скоро они столкнутся с пределом своих физических возможностей и производителям придется что-то с этим ...

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

История создания Civilization IV: избавление от унылости Историю четвёртой части «Цивилизации» можно начать с очередной смены владельца бренда Civilization: Atari (Infrogames) продала их 2К Games, дочерней компании Take-Two. Сумма сделки составила 22,3 миллиона долларов. На дворе стоял 2004-й год. Хотя на самом деле к тому моме...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Samsung вырывается вперёд огромным скачком. Компания готовит к анонсу 290-слойную память V-NAND, а за ней придёт 430-слойная Компания Samsung уже в следующем месяце анонсирует память NAND нового поколения. Это будет V-NAND 9-го поколения с 290 слоями.  Это будет самая многослойная память NAND на рынке, но пока неизвестно, когда на её основе появятся первые SSD. Вполне вероятно, что уже ...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Праздник для покупателей Lada Granta: новые цвета, 16-клапанный мотор и мультимедийная система EnjoY Pro Завод АвтоВАЗ согласовал производственный план на октябрь этого года, когда долгожданные изменения придут в линейку Lada Granta. Об этом сообщает паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте» "Обогащение" цветовой гаммы и вариантов ...

Рассекречен кроссовер GAC GS4 нового поколения. Он оказался похожим на Toyota RAV4 Китайский Минпром опубликовал изображения и технические характеристики кроссовера GAC GS4. Этот автомобиль является версией ранее представленного GAC Emkoo SUV, но с менее авангардным дизайном, заимствованным у… Toyota RAV4. Конечно, о полном копировании японског...

Как защитить бизнес при внедрении LLM (часть 1) Новый мир с LLM — прекрасен! Нам, инженерам, он открывает много перспектив. А тем, кто его незаконно использует — предоставляет новые страшные инструменты. Как же защитить свой бизнес от угроз нейросетей?Меня зовут Евгений Кокуйкин и я — руководитель AI продуктов компании Ra...

Разница между Huawei P70 и Huawei P70 Pro хорошо заметна на первых фотографиях защитных чехлов Опубликованные фотографии защитных чехлов для Huawei P70 и Huawei P70 Pro демонстрируют разницу между стандартной и старшей версиями. Источники подтверждают, что серия Huawei P70 будет выпущена в этом месяце, а защитные чехлы сторонних производителей в настоящее время н...

Apple перенесла дату анонса AirTag 2 Ранее известный аналитик Минг-Чи Куо утверждал, что массовое производство AirTag второго поколения начнется во второй половине следующего года. Это означало, что Apple могла представить свой обновленный трекер в конце 2024 или начале 2025 года. Однако теперь Куо скорректиров...

Microsoft представила новые ускорители для доминирования в области ИИ Microsoft обнародовала свое «секретное оружие»: ИИ-ускоритель Azure Maia 100 и процессор Azure Cobalt 100. Ускоритель Maia, созданный для совершенствования искусственного интеллекта, оснащен 105 миллиардами транзисторов и предназначен для больших языковых моделей, таких как ...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Космические туристические полёты каждый месяц. Основатель Virgin Galactic больше не намерен вкладывать деньги в компанию Миллиардер Ричард Брэнсон, который является основателем компании Virgin Galactic, осуществляющей суборбитальные коммерческие полеты, заявил, что больше не собирается вкладывать в нее деньги. «После COVID-19 мы не купаемся в деньгах, а у Virgin Galactic есть $1 млр...

Как защитить бизнес при внедрении LLM (часть 2) Новый мир с LLM — прекрасен! Нам, инженерам, он открывает много перспектив. А тем, кто его незаконно использует — предоставляет новые страшные инструменты. Как же защитить свой бизнес от угроз нейросетей?Меня зовут Евгений Кокуйкин и я — руководитель AI продуктов компании Ra...

Бренд Machenike официально пришёл в Россию: ноутбуки специально локализуют для российского рынка, Haier обеспечит полную техническую и сервисную поддержку Состоялся официальный старт продаж устройств бренда Machenike в России. Ноутбуки, мониторы и ПК под этой торговой маркой появились у нас ещё в начале 2022 года, но поставлялись они силами нескольких дистрибуторов. С ноября текущего года ввозом и распространением те...

У АвтоВАЗа есть свой 1,4-литровый турбированный 163-сильный мотор, но завод не видит спроса на такие Lada АвтоВАЗ прокомментировал судьбу турбированного двигателя, разработанного несколько лет назад инженерами тольяттинского автогиганта. На заводе заявили, что 1,4-литровый агрегат мощностью 163 л.с. и крутящим моментом 240 Нм пока не запускают в массовое производство, несмо...

Компания NVIDIA анонсировала чип Blackwell Согласно пресс-релизу NVIDIA, новые чипы Blackwell предлагают значительное улучшение производительности и энергоэффективности. Чипы Blackwell в 7-30 раз быстрее предшественников H100 и потребляют в 25 раз меньше энергии. Это достижение стало возможным благодаря использованию...

Черкаш-код: изобретение и внедрение Так вышло, что спустя более чем 20 лет работы связанной с IT мне захотелось заглянуть в другие области знаний и таковой стала юриспруденция. Поступление на заочку, учёба, множество открытий, о которых и не задумывался раньше, привели меня к очередному этапу - учебной практи...

Место крипты в реальном мире, или Куда придёт веб завтра? Криптовалюты пока не захватили мир, потому что мозг начинает кипеть от малейших попыток погружения в этот зоопарк токенов, майнинга, стейкинга, фарминга, мостов и прочей сложной терминологии — единицы готовы в этом разбираться. Проблема в том, что сегодня вся крипта сделана ...

Будущее микрочипов определит… способ нарезки кремния Нынешние процессоры работают в ориентации «001», когда транзисторы строятся на верхней грани кремниевой пластины. Но исследования IBM показывают, что переход к ориентации «110» — по сути, вертикальному срезу кристалла — может значительно повысить производительность транзисто...

Одним из победителей конкурса Global CIO стал проект по внедрению ВКС Vinteo в работу ЦИТ Мурманской области Проект по внедрению российского решения видеоконференцсвязи (ВКС) Vinteo в ГОБУ «Центр информационных технологий Мурманской области» одержал победу в номинации «Лучший проект в Северо-Западном федеральном округе» на 12-м конкурсе «Проект года-2023» профессионального сообщест...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Intel идёт по пути Apple. Компания показала процессор Lunar Lake с собственной оперативной памятью на подложке Компания Intel на CES 2024 не только представила остатки линейки процессоров Raptor Lake Refresh, но и показала совершенно новый CPU линейки Lunar Lake.  Эти процессоры появятся в текущем году, вероятно, в самом его конце, и в целом придут на смену Meteor Lake. Но...

АвтоВАЗ выпустит больше Lada Granta, чем планировалось Как сообщает инсайдерский паблик Avtograd News, АвтоВАЗ принял решение собирать Lada Granta по субботам. То есть в феврале машин будет выпущено больше, чем планировалось. Изображение: Lada «Принято решение сделать рабочими субботы 10 и 17 февраля, смена продлится...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

iPad mini 7 может получить 8,7-дюймовый OLED-дисплей На данный момент большинство аналитиков и инсайдеров уверены в том, что Apple взяла курс на перевод своих устройств на OLED-дисплеи. Первыми подобные экраны должны появиться в iPad Pro уже в следующем году. Однако компания планирует внедрить OLED-дисплеи и в другие свои план...

Мини-ПК Hiper ExpertBox D20 на процессоре Intel Core i5-1240P: типичное семейство рабочих лошадок — скромных, но очень востребованных Hiper ExpertBox D20 — массовый мини-ПК, использующий массовую же ноутбучную платформу, которая отлично упаковывается в компактный настольный корпус и никаких трудностей владельцу не создает. Купил, установил необходимое ПО (включая, возможно, и операционную систему), а дальш...

Невероятно, но факт: Lada Vesta NG тестируют в Китае Китайским фотошпионам удалось заснять на местных дорогах… Lada Vesta NG! Причем автомобиль даже частично обернули в камуфляж. Зачем «Весту» тестируют в Китае – большая загадка. И уж тем более непонятно, зачем скрывать от глаз элементы, которые ...

«Треугольный» Big Muff на транзисторах Привет, Хабр! Знаете, какую педаль использовал Дэвид Гилмор в классических альбомах The Wall и Animals группы Pink Floyd? Он играл на своём знаменитом Чёрном Стратокастере, который впоследствии продаст Джеймсу Ирсею почти за четыре миллиона долларов, через транзисторную в...

HTML и CSS ошибки, влияющие на доступность. Мой опыт и моего незрячего знакомого Ильи. Часть 4 Хабр, я снова пришёл к вам с практическими советами про доступность вместе с Ильёй. Мы показываем, как HTML и CSS могут улучшить или ухудшить её. Напоминаю, что Илья мой незрячий знакомый, который помогает мне найти наши косяки в вёрстке. Мы уже написали первую, вторую и тр...

Вся наша жизнь – игра: или как прижилась геймификация внутри мобильного приложения в ритейле Здравствуйте, меня зовут Амалия, я специалист по обеспечению качества в команде мобильного приложения «Пятёрочка». Хочу начать с небольшой истории. В школьные годы я любила онлайн-игру в жанре хоррор Requiem Online. В ней была пара игроков, которые участвовали в закрытом тес...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Сроки первого запуска корабля «Орёл» опять сдвинули, но «бесконечным долгостроем он не станет» Стало известно, что сроки полёта перспективного российского пилотируемого корабля «Орёл» снова сдвигаются. Напомним, изначально «Орёл» (старое название — «Федерация») должен был полететь ещё в 2015 году, затем речь шла о первом ...

Amazon начнет продавать автомобили в 2024 году А Hyundai начнет внедрять голосового помощника Alexa от Amazon в свои автомобили.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Как быстро протрезветь Простые и безопасные способы, которые помогут вам прийти в себя.

Apple Vision Pro придёт к «идеальной форме» только к четвёртому поколению Будем ждать.

Внедрение персистентной памяти: добро пожаловать в революцию? Следующим шагом эволюции компьютеров (который уже буквально на пороге) может стать постоянная энергонезависимая память большой ёмкости. Твёрдотельные накопители на несколько терабайт обеспечивают одновременно и длительное хранение данных, и функции оперативной памяти. Это...

Типичные задачи аналитика данных. Часть 1. Упала метрика В прошлой статье мы рассматривали неочевидные проблемы АБ тестирования и как можно с ними справляться [ссылка]. Но часто бывает так, что при внедрении новой функциональности АБ тестирование провести нельзя. Например, это типично для маркетинговых кампаний нацеленных на массо...

Тонким слоем по всему конвейеру. Раскрыт объем производства Lada Granta с «автоматом» Объявляя о возвращении в производство Lada Granta с «автоматом», говорилось о том, что машины будут выпускать в течение года. Сейчас появились подробности об объемах выпуска, и они могут удивить. Изображение: АвтоВАЗ По данным инсайдерского паблика Avtograd...

Россияне сообщают о проблемах с Telegram и YouTube. В ЦМУ ССОП это назвали «массовым сбоем» Россияне жалуются на проблемы с работой Telegram, YouTube и других сервисов, о чем сообщают различные источники. В частности, сотрудники центра мониторинга и управления сетью связи общего пользования (ЦМУ ССОП), подведомственного Роскомнадзору, зафиксировали сбой в рабо...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Серия смартфонов Xiaomi Mi 10 в апреле обновится до HyperOS Серия смартфонов Xiaomi Mi 10, представленная в 2020 году, начнет получать обновление HyperOS.

Nextorage начнет продажи накопителей NVMe "X Series", совместимых со стандартом PCIe Gen 5.0 В этом месяце компания Nextorage начнет продажи твердотельных накопителей NVMe "X Series", совместимых со стандартом PCIe Gen 5.0

Google начнет удалять старые пользовательские аккаунты с 1 декабря 2023 Рассказываем, как сохранить свои данные.

Крупнейший в США майнер Marathon начнет добывать биткоин на метане от мусора В США начнут добывать биткоин из мусора

Через два года Seagate начнет выпуск жестких дисков объемом более 40 ТБ Благодаря применению передовых технологий

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Легендарный Brown Sound на полевых транзисторах Привет, Хабр! Brown Sound — это специфическое звучание электрогитары, ставшее визитной карточкой Эдди Ван Халена. Повторить уникальный звук великого гитариста пытались и пытаются многие. У каждого получается что-то своё. Сегодня мы соберём и послушаем один из вариантов «ди...

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

«На ВАЗе всё стабильно». Инсайдеры рассказали, сколько в сутки с конвейера сходит Lada Granta и Lada Vesta в «дубовых» комплектациях и без подушек безопасности Инсайдерский паблик Avtograd News продолжает держать руку на пульсе конвейерной жизни АвтоВАЗа. Так, информаторы рассказали, сколько и каких машин сейчас собирает завод. Изображение: Lada «На ВАЗе всё стабильно. С первой линии сходят автомобили Vesta в две смены ...

Запуск первого спутника дистанционного зондирования Земли «Ресурс-ПМ» намечен на 2025 год По сообщению Роскосмоса, первый модернизированный спутник дистанционного зондирования Земли (ДЗЗ) из серии «Ресурс-ПМ» будет выведен на орбиту в 2025 году. Новые космические аппараты «Ресурс-ПМ» придут на смену спутникам «Ресурс-П», к...

Google полностью откажется от «печенек» Компания начнет постепенно отключать пользователей от файлов cookies с начала 2024.

На главной странице «Яндекса» начнут продвигать новости компании «Яндекс» начнет публиковать новости о компании на главной странице поисковика

Резервное копирование в WhatsApp начнет отъедать место в Google Диске Компании Google и WhatsApp сделали совместное объявление.

«Яндекс» начнет продавать умную колонку для геймеров Яндекс и разработчики Genshin Impact и Honkai: Star Rail совместно выпустят умную колонку

Samsung начнет производство OLED-дисплеев для компании Apple Samsung начинает закупать оборудование, чтобы начать производство OLED-дисплеев для компании Apple.

Гибридные транзисторы с использованием паутины и электронных компонентов: что это такое и как работает Учёные достаточно часто применяют инженерные решения, подсказанные природой, в науке и технике. Используются и вещества/соединения, создаваемые живыми организмами. Ну а сейчас команда учёных решила использовать биологический компонент в микроэлектронике. Речь идёт об использ...

Как оценить эффект от внедрения проекта? Целью любого проекта, будь то разработка сайта, внедрение искусственного интеллекта или модернизация оборудования, является получение бизнес-результата. Поэтому для клиента важно понимать, какую выгоду он получит от внедрения проекта и как это отразится на его прибыли. Кроме...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Запрет на популяризацию VPN-сервисов в России начнет действовать 1 марта Средства обхода блокировок в РФ запрещены

Samsung начнет рекламировать свои гаджеты с помощью популярных видеоигр Activision Blizzard Samsung Electronics объявила о новом партнерстве с Activision Blizzard.

15 апреля Realme презентует свои новые смартфоны P1 и P1 Pro 15 апреля Realme начнет продавать свои смартфоны P1 и P1 Pro на индийском рынке по привлекательной цене.

ChatGPT станет менее болтливым и начнет отвечать по существу ChatGPT, популярный чат-бот от OpenAI, получил серьезное обновление.

Samsung может начать выпускать дисплеи как у Apple Vision Pro через 3-4 года Гендиректор Samsung Display Чой Джу Сун считает, что соответствующий рынок начнет стремительно развиваться в 2027-2028 году

Motorola начнет использовать Corning Gorilla Glass во всех своих смартфонах Motorola объявила о заключении нового соглашения на MWC, согласно которому она начнет использовать стекло Corning Gorilla Glass во всех своих смартфонах вперед.

TSMC заявила, что начнет производство 1-нм чипов к 2030 году Компания также заявила, что к тому времени планирует иметь на одном кристалле 1 триллион транзисторов.

Бывший завод Mercedes начнет собирать китайские машины уже в мае Перезапуск производства на бывшем российском заводе Mercedes-Benz в Подмосковье состоится уже очень скоро — в следующем месяце. Об этом сообщил губернатор Московской области Андрей Воробьев. «[Завод] Mercedes с мая начинает работать, сойдут новые автом...

NVIDIA выпустит в Китае GeForce RTX 4090Dragon – видеокарта придёт на замену GeForce RTX 4090 GeForce RTX 4090D окажется медленнее действующего флагмана NVIDIA

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

В приложении «Тинькофф» теперь можно управлять SIM-картами Команда банка «Тинькофф» обновила мобильное приложение, добавив в него сервисы виртуального мобильного оператора «Тинькофф Мобайл».   Как отметила пресс-служба, теперь клиенты «Тинькофф» с SIM-картой «Тинькофф Мобайла&raqu...

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Как управлять распределённой системой, не привлекая внимания санитаров Привет! Меня зовут Александр Попов, я tech lead команды маркетплейса 05.ru. Сейчас мы занимаемся бэком маркетплейса и некоторыми другими сервисами на рынке Дагестана. При разработке серверной части маркетплейса мы сразу решили строить её в распределённой архитектуре. Эт...

Массовая сборка машин BYD в Узбекистане стартует в июне. Будут выпускать гибридный седан за 2,2 млн рублей и гибридный кроссовер за 2,7 млн рублей BYD серьезно подготовилась к покорению рынка Узбекистана: уже в июне компания запустит массовую сборку машин — гибридного седана BYD Chazor DM-i и гибридного кроссовера BYD Song Plus DM-i. Седаны BYD Chazor Седан оснащается 1,5-литровым атмосферным мотором м...

NVIDIA начнет раздавать подписку на Game Pass за покупку видеокарт RTX 40XX NVIDIA объявила о новой акции для покупателей своей серии видеокарт RTX 40ХХ.

Discord начнет показывать рекламу, но её можно будет отключить Разработчики дискорда волнуются за удобство пользователей, поэтому рекламу можно будет убрать.

Android может стать крупным конкурентом Windows и одновременно устранить Google ChromeOS Lenovo скоро начнет распространять устройства на базе ОС Esper Foundation

Начиная с 2025 года Microsoft будет брать $61 в год с пользователей Windows 10 Microsoft начнет брать деньги с пользователей за обновление старых версий своей операционной системы.

Compile Time Dependency Injection в С++: как обуздать зависимости не прибегая к позднему связыванию Начав свою карьеру в качестве C# разработчика, я постоянно сталкивался с использованием техники внедрения зависимостей (Dependency Injection). За то время, что я работаю с C++, я не заметил здесь такого же широкого распространения этой идеи.В то же время мне показалась весьм...

Politico: США и Европа не знают, кто будет платить за оружие для Украины На коллективном Западе не могут прийти к соглашению, кто будет оплачивать "помощь"

Классическое гитарное тремоло на транзисторах Привет, Хабр! Многие гитаристы и энтузиасты самодельных гитарных педалей обходят эффекты тремоло стороной, считая их устаревшими и неприменимыми в современных стилях, слишком простыми и грубыми. Однако умеренное тремоло без экстремальных настроек способно добавить живости ...

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

Яндекс вслед за Google начнет удалять неактивные аккаунты Учетные записи на «Яндексе», в которых более двух с половиной лет не происходило никаких действий, будут удаляться, сообщили в компании.

Wink.ru 16 мая начнет показ криминальной саги об успешном решале Премьера криминальной восьмисерийной драмы «Расплата» состоится в онлайн-кинотеатре Wink.ru 16 мая 2024 года.

Xiaomi представила стирально-сушильную машину Mijia Iwakawa стоимостью 629 долларов США Она начнет продаваться на китайском рынке уже в ближайшее время

«Мегафон» начнет продажи шести новых моделей телефонов под собственным брендом «МегаФон» расширяет линейку кнопочных телефонов под собственным брендом Fontel до семи моделей.

С 1 апреля Минцифры начнет проверять российские геоинформационные технологии Соответствующее постановление было принято Правительством России.

Apple может столкнуться с нехваткой запчастей для своего 11-дюймового OLED iPad Pro Apple может столкнуться с нехваткой запчастей для своего 11-дюймового OLED iPad Pro, поскольку один из поставщиков, как сообщается, начнет производство немного позже

Sapeon разработала новый полупроводник без памяти для обработки центров данных Sapeon X330 начнет массово производиться в первой половине 2024 года

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Алкогольный калькулятор: проверьте, насколько вы пьяны и когда придёте в себя Введите свои данные, и увидите, сколько этанола путешествует по вашему организму.

Через QR-код придёт кэшбек? А узнать данные моей карты по нему можно? И ещё пять сомнений в самом хайповом способе оплаты прямо сейчас.

Пришедшая на замену Binance в РФ криптобиржа CoinHub сообщила о скором прекращении работы По какой причине CoinHub уходит с рынка, выяснить не удалось

Экстренная поставка снарядов Украине, инициируемая Чехией, затянется до июня Обещанные 800 тысяч боеприпасов придут только к лету.

AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Компания AMD уже довольно давно выпускает процессоры, а с недавних пор и GPU, с чиплетной компоновкой. Но чиплетными являются либо настольные CPU, либо мобильные высокопроизводительные, которые технически представляют собой те же настольные. AMD говорит, что доступные ч...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Микросотовые IP-DECT-системы Yealink: сбор требований и проведение радиопланирования Вторая статья посвящена особенностям работы с микросотовой системой Yealink на этапе ее внедрения.Внедрение — важнейший этап построения стабильно работающей высоконагруженной микросотовой DECT-системы. В этой статье рассмотрим следующие вопросы:• что такое радиопланиров...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Из-за нового процессора Galaxy S25 Ultra, Galaxy Z Fold 7 и Galaxy Z Flip 7 могут подорожать Samsung начнет устанавливать в Galaxy S25 Ultra более дорогие чипсеты, что приведет к росту затрат и снижению прибыли

Авито может стать конкурентом таким маркетплейсам как Ozon, Wildberries и Мегамаркет Компания начнет продажу новых и "как новых" товаров на своей платформе

Google в тестовом режиме начнет отключать сторонние файлы cookie в Chrome c 4 января 2024 Во второй половине 2024 компания планирует отключить их полностью

Как мы построили свой лунапарк (с блекджеком, разумеется) или опыт внедрения системы управления ИТ-проектами Привет, Хабр! На связи команда Проектного офиса НЛМК-ИТ. В жизни каждого Проектного офиса наступает момент, когда населяющие его менеджеры и другие сотрудники задумываются о внедрении системы управления проектами. Манящая простотой и выгодами идея редко обходится без подводн...

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

«Мэра нет»: Глава Киева Виталий Кличко не пришёл на совещание с Зеленским Его заменил глава Киевской городской военной администрации, но для всех это стало неожиданностью.

Командир ЧВК Вагнер Ратибор вступит в подразделение специального назначения Ахмат Вместе с ним на службу придут 3000 музыкантов

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

«Яндекс» вдвое увеличит парк роботов-доставщиков и начнет сдавать их компаниям в аренду По информации компании, для охвата района в 5000 жителей достаточно 15 роботов-доставщиков

Humane AI Pin которая "заменит" смартфоны, начнет поступать в марте 2024 Устройство, разработанное бывшими сотрудниками Apple, работает на основе голосовых подсказок и проектора, отображающего информацию на руке пользователя

HMD Global начнет выпускать смартфоны под своим брендом вместо Nokia Самый известный лицензиат Nokia, компания HMD Global, планирует начать выпуск устройств под собственным брендом.

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

ИИ-функции Google Фото станут бесплатными Сегодня компания Google официально объявила, что инструменты на основе искусственного интеллекта в приложении Google Фото будут доступны для всех пользователей без необходимости оформления платной подписки. Речь идет об инструментах Magic Eraser, Photo Unblur и Portrait Ligh...

«Google Подкасты» закроются в апреле. На смену предлагают YouTube Music Переезжать можно уже сейчас.

В Сети опубликовали кадры поражения офицеров 128-й бригады ВСУ в Димитрово "Искандером-М" Под ударом оказались артиллеристы, пришедшие на построение за наградой от руководства

«Мэра нет»: Глава Киева Виталий Кличко не пришёл на совещание с Зеленским Его заменил глава Киевской городской военной администрации, но для всех это стало неожиданностью

Bloomberg: В США опасаются, что на Тайване к власти придёт оппозиция и улучшит отношения с КНР По мнению американских экспертов, выборы 2024 года могут стать решающими для острова

Компании Oppo и Nokia сумели прийти к соглашению о перекрестном лицензировании Теперь китайские смартфоны Oppo смогу продаваться на территории Германии

Планы на ПК Буквально через месяц придёт большая сумма денег, которые я планирую потрать на ПК, тбо сейчас только ноут с встройкой от Intel

Министр обороны Дании прогнозирует «проверку Россией солидарности НАТО» в течение 3—5 лет Министр обороны Дании, Вам бы интервью Путина посмотреть. А звать не надо — могут и прийти на приглашение-то.

Мэттью Вон готовит Kingsman-3 и перезагрузку фильма «Пипец» Предстоящий боевик «Аргайл» постановщик сравнил с «Гарри Поттером», если бы волшебник сам пришёл к Джоан Роулинг.

Запущены все линии сборки АвтоВАЗа, но темпы уже не ударные. Vesta собирают в одну смену, а по выходным производство Vesta и Granta останавливается АвтоВАЗ снова запустил все три линии по производству автомобилей Lada, о чём сообщает инсайдерский паблик Avtograd News. При этом инсайдеры подтвердили, что производство Lada Vesta и Granta не будет вестись по субботами и воскресеньям, как и сообщалось ранее. После длин...

АвтоВАЗ вдвое сократит производство Lada Vesta Несмотря на то, что АвтоВАЗ на этой неделе представил еще одну версию Lada Vesta (она называется Sportline), с производством «Весты» пока далеко не все гладко. Проблема прежняя – дефицит самых разных комплектующих. И по этой причине АвтоВАЗ с 9 октября...

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Высказывания 3-х известных людей о проблемах современной разработки ПО Думаю, что после прочтения статьи Никиты Прокопова «JavaScript Bloat in 2024» (рус. «Насколько потолстел JavaScript к 2024 году?») не я один стал с пессимизмом смотреть на будущее веб-разработки. Хотя тема раздутия JavaScript не нова (одним из первых на эту проблему обратил ...

Банк России начнет рассекречивать мошенников по-новому Резидент Экспертного клуба ЦСР Глеб Белавин в беседе с "Прайм" рассказал, что Банк России начнет рассекречивать мошенников по-новому. Правило начнет действовать с 1 октября 2023 года.

Российский автомат Калашникова в версии АК-12М1 начнет поступать в армию с 2024 года Было внесено множество изменений в конструкцию по ряду замечаний бойцов СВО

Армия Израиля объявила о точечной операции в крупнейшей больнице Газы Аль-Шифа Армия Израиля предупредила персонал, что начнет штурм «через несколько минут», сообщает телеканал Al Arabia со ссылкой на минздрав анклава.

Light Bio начнет продавать светящиеся в темноте цветы в 2024 году Биолюминесцентные петунии были генетически спроектированы так, чтобы излучать собственный неоновый зеленый свет.

В МИД РФ считают, что смена Зеленского на Залужного ничего не изменит И это справедливо. Обе фигуры совершенно несамостоятельные.

TikTok прикрыл лазейку со сменой региона Теперь совсем всё!

Небольшой конкурент Samsung по чипам памяти SK Hynix станет победителем в области искусственного интеллекта — Bloomberg Акции Samsung Electronics растут не так быстро, как акции её конкурента по производству чипов памяти SK Hynix. Как пишет Bloomberg, инвесторы делают ставку на то, что именно последняя станет победителем в области искусственного интеллекта. Акции SK Hynix выросли на 67% ...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Начнет ли OpenAI производство собственных чипов В последний год из-за растущего интереса к искусственному интеллекту спрос на специализированные чипы ИИ резко возрос. Особенно отчетливо это проявилось после запуска ChatGPT в прошлом году.

Windows 11 начнет помечать компьютеры без поддержки ИИ специальным водяным знаком Microsoft обновила системные требования для грядущего релиза Windows 11, версии 24H2, ожидаемого в сентябре или октябре 2024 года.

Яндекс ID будет удалять аккаунты, в которых не было активности 2,5 года С октября сервис начнет уведомлять пользователей о необходимости подтвердить актуальность профиля и повысить меры защиты

Пользуетесь неактивированной Windows 11? Microsoft начнет урезать функциональность браузер Edge В бета-версии Windows 11, которая скоро станет доступна большинству пользователей, обнаружена новая функция под названием «msEdgeLockSettingsInNonActivatedOS».

CVE-2024-1709 и массовая атака на медицинские учреждения США В данной статье рассказывается о 0-day уязвимости CVE-2024-1709, позволяющей обойти аутентификацию и получить неограниченный доступ к серверам и машинам, которые управляются с помощью ПО удаленного рабочего стола ConnectWise ScreenConnect. Данное ПО повсеместно используется ...

MG начнет продажи в России электрического родстера Cyberster В России представят китайский родстер MG Cyberster с дверьми как у Lamborghini.

Конгресс не сможет утвердить военную помощь Украине в этом году даже если придёт к согласию Процесс слишком затянулся и требует большего времени.

Заместитель руководителя организации «Хезболла» заявил о присоединении к движению ХАМАС По словам политика, группировка вступит в войну против Израиля когда придёт время

Terraform в России Сейчас в связи с санкциями публичный Terraform репозиторий https://registry.terraform.io блокирует доступ из подсанкционных регионов.Как я пришёл к тому, что сделал опенсорс зеркало. Читать далее

Beats готовится представить наушники Apple Beats Solo Buds и Solo 4 Компания Beats начнет продажи наушников Beats Solo 4 и Beats Solo Buds 30 апреля

В Китае анонсирован смартфон Redmi Turbo 3 на Snapdragon 8s Gen 3 Redmi Turbo 3 выходит на смену прошлогодней модели Redmi Note 12 Turbo

Внедрение CRM — неожиданный вариант тимбилдинга? На конференциях, на Хабре, на митапах и даже в горячих дискуссиях тематических чатов можно встретить сравнение стоимости внедрения корпоративного программного обеспечения (не обязательно CRM) с зарплатой сотрудника или со стоимостью корпоратива на компанию. В этом есть рацио...

NBC News опубликовало видео о первом в мире биометрическом «умном» пистолете Biofire Компания Biofire в марте начнет продавать в США первые в мире «умные» пистолеты.

ASUS ROG Ally получила поддержку AMD Fluid Motion Frames Обновление с поддержкой AMD Fluid Motion Frames начнет поступатьна устройства с 25 апреля, то есть с завтрашнего дня

За мониторинг сообщений о Зеленском и Залужном Киев заплатит 8,1 миллиона гривен Киев начнет следить за сообщениями о Зеленском и Залужном в TikTok

Android 15 начнет блокировать установку некоторых приложений, которые работали даже на Android 14 С выходом Android 15 установка некоторых Android-приложений станет практически невозможной.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

В России стартовала льготная ипотека под 2% годовых В России с 1 ноября начнет действовать льготная программа «Арктическая ипотека». Молодые семьи смогут оформить займ на покупку жилья под 2% годовых.

Индия начнет производство сверхскоростных поездов Самая густонаселенная страна в мире стремится развивать собственные высокоскоростные поезда, чтобы снизить зависимость от иностранных технологий

Комета Галлея удалилась на максимальное расстояние от Солнца Самая знаменитая комета в истории человечества достигнет афелия 9 декабря и после этого начнет свой путь к Земле

Wink.ru покажет все эпизоды сериала «ГДР» 16 февраля 16 февраля подписчикам Wink.ru станут доступны сразу все серии проекта, а с 26 февраля сериал начнет выходить на НТВ.

Netflix открывает данные по просмотрам Netflix начнет публиковать полугодовые отчеты об аудиторской активности, раскрывая часы просмотров всех своих тайтлов.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Принцип минимизации злобы Здравствуйте, меня зовут Дмитрий Карловский и я.. всё никак не могу решить, стоит публиковать эту статью или нет. Я долго думал об этой дилемме Эскобара и пришёл к выводу, что.. Почему?

Генеральным директором Binance стал бывший госслужащий из Сингапура Ричард Тенг имеет богатый опыт работы в центральном банке Сингапура и пришёл в компанию в 2021 году

Как сделать панель задач в Windows 11 полностью прозрачной Если вам нужно сделать так, чтобы панель задач не мешалась и была почти незаметной — на помощь придёт небольшая бесплатная утилита.

Breaking Defense: ВВС США и Boeing не могут договориться о цене на новые самолёты ДРЛО E-7 Wedgetail Американские военные и производитель авиационной техники всё никак не могут прийти к ценовому компромиссу в рамках оборонного заказа

Открыт предварительный заказ на карточную игру по «Русам против ящеров» Инди-игра «Русы против ящеров» всю осень потрясает интернет и грозится прийти в реальность. Ждать фанатам осталась совсем недолго

Презентация смартфона Honor 90 GT: Плоский экран, SD 8 Gen 2 и 47,700 рублей Китайский производитель провёл презентацию смартфона Honor 90 GT. У него плоский AMOLED-дисплей с диагональю 6,7 дюймов и частотой до 120 Гц. Сенсор отпечатка пальцев расположен под экраном. Под капотом находится Snapdragon 8 Gen 2, чипсет «ушедшего поколения», так как на ег...

Intel пояснила, почему не сочетает High-NA EUV и технологию Intel 18A Чтобы рисковать как можно меньше при смене техпроцесса.

Глава Минобороны РФ Шойгу: найдено решение с самолетами на смену Ан-72 и Ан-26 В России нашли решение с самолетами на смену Ан-72 и Ан-26

Оператор «Джокера-2» опроверг слухи о смене жанра. Фильм не будет мюзиклом Но это не значит, что музыка исключается.

Стандарт оперативной памяти LPCAMM приходит на смену SO-DIMM Так как последний безнадежно устарел

Парентификация: как ребёнок становится родителем для мамы и папы и к чему это приводит В такой смене ролей нет ничего хорошего.

Смартфон Xiaomi 14 появился на AliExpress. Стоит ли покупать китайскую версию? В конце прошлого месяца были представлены Xiaomi 14 и Xiaomi 14 Pro — флагманские смартфоны на Snapdragon 8 Gen 3. По сложившейся традиции китайская компания запустила продажи новинок у себя на родине, а жителям других стран предложила ждать выхода глобальной версии. Тем не...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

В банкоматах ВТБ можно будет снимать цифровые рубли ВТБ заявил, что первым среди российских банков разработал технологию снятия цифровых рублей через банкомат. Она была представлена на форуме инновационных финансовых технологий Finopolis-2023. Новая возможность доступна пользователям «ВТБ онлайн», участникам ...

Смартфон Nokia G22 выпущен в цвете So Peach Компания HMD Global в скором времени начнет выпускать смартфоны под собственным брендом, а тем временем представила смартфон Nokia G22 в новом цвете.

Человекоподобный робот Apollo начинает работу в компании Mercedes Подобно сотрудничеству BMW с Figure AI, Mercedes Benz объявил о партнерстве со стартапом Apptronik, специализирующимся на человекоподобных роботах. Apollo от Apptronik начнет работать в Mercedes

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)