Социальные сети Рунета
Пятница, 10 мая 2024

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Не стоит бояться, что новые процессоры AMD обеспечат незначительный прирост производительности. CPU на Zen 5 уже тестируются клиентами AMD Чистая прибыль AMD выросла на 1000% и сравнялась с чистой прибылью Intel Компания AMD уже располагает образцами процессоров на архитектуре Zen 5, а некоторые клиенты AMD уже успели опробовать такие CPU и остались довольны.  Глава компании Лиза Су (Lisa Su) говорит...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

GameChange Solar объявила о расширении до 35 ГВт годовой производственной мощности в США Компания GameChange Solar (GCS), ведущий мировой поставщик передовых, экономически эффективных систем слежения за солнцем для наземных фотоэлектрических (PV) установок, объявила о расширении до 35 ГВт годовой производственной мощности по ключевым компонентам, выпускаемым в С...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Группа компаний «Элемент» не справляется с растущим спросом на микроконтроллер MIK32 «Амур» Компания работает в полную мощность на своих производственных площадках, но этого недостаточно, чтобы удовлетворить спрос. Генеральный директор Юрий Коновалов подтвердил информацию и добавил, что компания рассматривает возможность строительства нового завода для увеличения м...

Тайваньский полупроводниковый гигант TSMC наймет в ближайшие годы 23 000 новых сотрудников Компания активно расширяет производственные мощности

Бельгийский производитель Simera Sense получил $15 млн инвестиций на расширение производственных мощностей для кубсатов с улучшенным разрешением Бельгийский производитель фотоаппаратов Simera Sense привлек почти $15 000 000 на расширение мощностей по сборке систем за пределами Южной Африки и ближе к производителям компонентов в Европе с целью разработки продуктов для кубсатов с более высоким разрешением. Генерал...

Poco готовит к релизу свой первый планшет Poco Pad Компания Poco является одним из брендов гиганта Xiaomi — то производитель уровня Redmi с примерно тем же набором продуктов, так как производитель обычно делает ставку на унификацию производственных процессов для снижения стоимости конечной продукции. И хотя компания Redmi уж...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Основатель TSMC считает, что компании необходимо продолжать расширение производственных мощностей Для удовлетворения растущего спроса на высокотехнологичные чипы, тайваньскому гиганту может понадобиться до 10 новых заводов

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Аэрокосмический гигант Lockheed Martin намерен приобрести Terran Orbital Компания Lockheed Martin, один из мировых лидеров в области аэрокосмической и оборонной отрасли, выступила с предложением о покупке Terran Orbital, ведущего производителя космических аппаратов. В письме, поданном в Комиссию по ценным бумагам и биржам (SEC) 1 марта, Lock...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Lockheed Martin заключил многомиллионный контракт с Terran Orbital на производство 36 спутников Terran Orbital создаст 36 спутниковых аппаратов для Lockheed Martin, который является стратегическим инвестором и важным клиентом компании. Художественный рендеринг спутника Lockheed Martin Transport Layer Tranche 2 Beta. Источник: Terran Orbital Lockheed Martin с...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

NVIDIA сократила время ожидания клиентов на поставку чипов для искусственного интеллекта Эксперты считают, что это может быть вызвано либо увеличением производственных мощностей, либо постепенным спадом количества заказов

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Началось производство нового Skoda Kodiaq: завод выпускает 410 машин в день Компания Skoda объявила о старте массового производства своего нового кроссовера Kodiaq второго поколения. Сборка автомобиля осуществляется на заводе бренда в чешских Квасинах, предыдущем месте производства седана Skoda Superb. Согласно информации от пресс-службы компан...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

В Японии прекратили продавать Toyota Land Cruiser 300 и Alphard Toyota не в состоянии удовлетворить спрос на домашнем рынке на гибридный хетчбэк Aqua (он же Prius C), внедорожники Land Cruiser и минивэн Alphard: не хватает мощностей для производства такого количества авто, которое бы покрыло все оформленные ранее заказы. По данным р...

Крупнейший в мире производитель микросхем TSMC построит второй завод в Японии Гигант по производству микросхем, Taiwan Semiconductor Manufacturing Company (TSMC), планирует увеличить свои производственные мощности в Японии, построив там второй завод

Выручка Foxconn в апреле увеличилась на 19% В апреле текущего года компания Foxconn, известная как основной сборщик продукции Apple, достигла рекордного уровня выручки, увеличив ее на 19% до $15,8 млрд. Этот успех отражает стратегическую адаптацию компании к меняющимся рыночным условиям и расширение ее производственны...

Samsung увеличит свои вложения в новое предприятие в Техасе на $44 млрд Южнокорейский гигант собирается расширить свои производственные мощности в Техасе

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

В iPhone 16 будет два вибромотора Taptic Engine Сетевые источники сообщают о том, что недавно компания Apple заказала у тайваньского производителя полупроводников увеличенную партию сенсорных кнопок. Точные объемы заказа неизвестны. Однако источники сообщают о значительном увеличении заказа. Предполагается, что именно сен...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Ещё один тайваньский вендор откроет завод по производству чипов с ИИ Ещё один тайваньский чипмейкер, компания Powerchip Semiconductor Manufacturing Corp. (PSMC) построит новый завод по производству чипов и микросхем памяти для широкого спектра приложений, включая технологии ИИ. Но для этой компании строительство завода скорее не переход на но...

Проблемы с запчастями не только у АвтоВАЗа, но и у Chevrolet. Сотни некомплектных Corvette Z06 2023 не могут доставить клиентам Многие покупатели, заказавшие Corvette Z06 2023 года, сталкиваются с задержками в доставке своих автомобилей из-за сохраняющихся производственных проблем на фоне нехватки запчастей. Клиенты подтверждают, что они до сих пор не получили точную дату поставки, хотя давно оп...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Apple разрабатывает складной планшет или даже ноутбуки Судя по последней информации, компания Apple в конечном итоге всё же выйдет на рынок складных устройств, так как на рынке уже достаточно качественных дисплеев и поставщиков. Например, если верить информации инсайдеров, компания Samsung перестраивает свою команду разработки д...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Россия готова выпускать Lada на новых мощностях в Казахстане По итогам переговоров между Россией и Казахстаном, президент РФ Владимир Путин заявил о готовности России создать новые производственные мощности для автомобилей Lada в Казахстане. Это могут быть дополнительные заводские сооружения, где начнется выпуск автомобилей от ко...

Dropbox взломали. Украдены данные клиентов и аутентификационные секреты Компания DropBox сообщила, что хакеры проникли в производственные системы платформы DropBox Sign eSignature и получили доступ к токенам аутентификации, данным многофакторной аутентификации (МФА), хешированным паролям и информации о клиентах.

Их делают на заводе в Калининграде: Kaiyi увеличил срок гарантии на свои авто в России до 5 лет Автомобильный бренд Kaiyi, за которым стоит китайская компания Chery, объявил о значительном увеличении гарантийного срока для российского рынка.  Как сообщила пресс-служба, в декабре 2023 года Kaiyi в России изменил гарантийные обязательства на все модели бренда:...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Китайская SMIC увеличила закуп тайваньского сырья в ожидании новых санкций Китайская SMIC (Semiconductor Manufacturing International Corporation) разместила у своих тайваньских партнеров значительные заказы на сырье, эквивалентные примерно двухлетнему запасу. Создание запасов материалов рассматривается компанией как упреждающая мера, направленная н...

MSI и Asus увеличили сроки гарантии на свои OLED-мониторы, а чем Gigabyte хуже? Новый гарантийный срок на QD-OLED мониторы Gigabyte Aorus — три года Об увеличении гарантийного срока на свои OLED мониторы ранее заявили Asus и MSI, а сейчас к ним присоединилась и Gigabyte: гарантий на модели с панелью QD-OLED увеличена до трех лет. «Расширенная гарантия подчеркивает уверенность компании Gigabyte в долговечности...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Micron построит заводы стоимостью 100 миллиардов долларов Сегодня появилась достаточно интересная информация о компании Micron Technology — она получила внушительное финансирование от Министерства торговли США в рамках Закона о микросхемах и науке, что позволит производителю существенно прокачать производственные мощности, но тольк...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Audi R8 — всё. Последний автомобиль сошёл с конвейера, замены ему не предвидится После того, как последний TT был выпущен в ноябре 2023 года, еще одна модель Audi уходит на покой. Последний R8 сошел с конвейера на заводе Audi Bollinger Hofe в Хайльбронне, Германия. Последней машиной стало купе Vegas Yellow в исполнении Performance Quattro Edition с ...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

MediaTek представит Dimensity 9400 уже в этом году Если верить информации инсайдеров, новый процессор Dimensity 9400 от MediaTek должен быть анонсирован уже в этом году, и похоже, что MediaTek планирует вести борьбу с Snapdragon 8 Gen 4 в плане производительности своего флагманского процессора нового поколения. Согласно инфо...

Королевская битва PUBG Mobile получит поддержку 120 FPS в обновлении 3.2 Сегодня корейская компания Krafton заявила, что в обновлении 3.2 для королевской битвы PUBG Mobile наконец-то появится поддержка 120 кадров в секунду. На данный момент в игре доступны максимум 90 FPS, и то не на всех устройствах. Вероятно, потребуется процессор не ниже Snapd...

Прояснилась ситуация с титановым Xiaomi 14 Pro — смартфонов хватит всем. Продажи начнутся уже сегодня в Китае Глава компании Xiaomi Лэй Цзюнь анонсировал смартфон Xiaomi 14 Pro Titanium Special Edition на прошлой неделе. Размер стартовой партии не уточнялся, и Xiaomi не говорила, каким вообще будет тираж данного издания. Многие решили, что это будет ограниченная серия...

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

Компания «АрктикТекс» увеличит производственные мощности в 3 раза Средства гранта будут направлены на развитие технологий создания электропроводящего текстиля и масштабирование производства гибких нагревательных элементов на территории Нанопарка «Гатчина».

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

SK Hynix получил заказы на свои производственные мощности вплоть до конца 2025 года Компания является одним из ключевых игроков в сфере искусственного интеллекта

Li Auto пытается повысить привлекательность своего самого спорного автомобиля. Li Mega получит обновление Li Auto официально объявила сегодня, что выпустит эксклюзивное обновление для своего самого спорного автомобиля Li Mega, который был выпущен этой весной. Данное обновление добавит управление боковой сдвижной дверью со смартфона, что должно облегчить посадку и высадку по...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

«Наша линейка в этом классе станет намного шире», — Suzuki подтвердила сразу два новых внедорожника Компания Suzuki работает над двумя новыми внедорожниками, о чем сообщил генеральный директор автопроизводителя в Индии, который уверен, что в линейке есть место для новых моделей. Итак, автопроизводитель работает над новым внедорожником длиной менее 4 метров и более кру...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Ломаем стереотипы – продаем оптом на маркетплейсах. Продали кабель на 3,4 млн и вошли в ТОП-5 из 679 селлеров Кейс по продвижению на маркетплейсах для собственников компаний, селлеров, руководителей по маркетингу и маркетологов. Маркетплейсы принято делить на 2 вида: В2С и В2В. Например, Ozon, Wildberries и Яндекс Маркет считаются В2С-площадками, где физлица покупают у ИП и ком...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Компанию Nvidia обвинили в том, что компания превратилась в картель GPU и не терпит, когда её клиенты обращаются к конкурентам Похоже, компания Nvidia действительно ведёт себя достаточно агрессивно со своими партнёрами, если речь заходит о сотрудничестве этих самых партнёров c конкурентами Nvidia.  создано DALL-E Компания Groq, недавно представившая впечатляющий своей производительностью ...

Huawei готовится к рекордным продажам Mate 70 Компания Huawei недавно вернулась на рынок смартфонов и показала приличные показатели продаж — бренд может похвастаться продажами, которых нет у крупных компаний топового уровня. И останавливаться на достигнутом компания не планирует — если верить инсайдерам, производитель з...

LG открывает завод по производству зарядных устройств для электромобилей Компания LG Electronics (LG) расширяет инфраструктуру решений для зарядки электромобилей (EV), открыв свой первый завод по производству зарядных устройств для электромобилей за пределами Южной Кореи, где в прошлом году компания запустила предприятие для изготовления зарядны...

80 000 автомобилей в год. Chery построит новый завод в Таиланде Нарит Тердстирасукди, генеральный секретарь Совета по продвижению инвестиций Таиланда, заявил, что компания Chery Automobile получила одобрение на строительство завода по производству электромобилей в Районге, Таиланд. Ожидается, что завод будет запущен в 2025 году, а г...

Производство композиционных материалов в Томске увеличилось в 1,2 раза Научно-производственная компания «Полимер-компаунд» в Томске увеличила производство композитных материалов благодаря поддержке Фонда развития промышленности. Новое отделение будет заниматься производством материалов для различных отраслей, таких как кабельная, электротехниче...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Бесшовность 2.0: как работает шардирование в Сбере Если у вас не просто большая компания, а целая экосистема с разными сервисами, IT-инфраструктура должна обеспечивать бесшовность. Клиенты и сотрудники могут входить в систему с разных устройств, но изменение информации в одном канале должно отображаться в других, а целостнос...

GEMBLA - Ваш Источник Знаний о Партнерском Маркетинге GEMBLA – это уникальная платформа, объединяющая обширную базу знаний о партнерском маркетинге и множество партнерок и сервисов для SEO, SMM и Affiliate marketing. С нами вы сможете получить доступ к огромному количеству ресурсов, помогающих в развитии вашего бизнеса и увелич...

Полмиллиона Lada за год: АвтоВАЗ настроен решительно Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что АвтоВАЗ планирует увеличить производство автомобилей по сравнению с 2023 годом и выпустить не менее полумиллиона машин. «Производственный план будет увеличен почти на четверть, сам „АвтоВАЗ&ldqu...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Резиденты ТОР «Приморье» получили цифровой ресурс для развития бизнеса Интернетизация промышленных предприятий поможет увеличить их производственный потенциал, а также даст импульс для привлечения в регион новых инвестиционных проектов.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

TSMC увеличивает производство корпусов CoWoS на 20%, чтобы удовлетворить растущий спрос TSMC увеличит свои мощности по производству корпусов ( CoWoS ) в ответ на стремительно растущий спрос со стороны таких крупных клиентов, как Nvidia, Apple, AMD, Broadcom и Marvell.

Samsung готовит к релизу смартфон Galaxy Z Fold 6 Если верить информации инсайдеров, компания Samsung уже начала работать над складными смартфонами Galaxy Z Fold 6 и Galaxy Z Flip 6. Соответственно, в ближайшее время мы должны получить достаточно много информации о том, как эти смартфоны будут выглядеть, какие у них будут ф...

Возникли проблемы: Nvidia откладывает запуск нового чипа H20, ориентированного на Китай Nvidia сообщила клиентам в Китае, что компания откладывает запуск нового чипа искусственного интеллекта, разработанного в соответствии с экспортными правилами США, до первого квартала следующего года. Речь идёт о чипе H20, самом мощном их трёх продуктов, ориентированных...

«Уверен, что мы увидим другой расклад», — главный редактор «За рулем» заступился за Lada Vesta NG Максим Кадаков, главный редактор журнала «За рулем», прокомментировал информацию о том, что Lada Vesta NG стала менее российской. «Завод смог увеличить количество операций, которые он выполняет при производстве Весты, и даже нашел новых российских пост...

Iris Energy увеличила производительность майнинга Согласно данным GlobeNewsWire, майнинговая компания Iris Energy Limited, зарегистрированная на Nasdaq под тикером IREN, существенно повысит свои производственные показатели

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Чипсеты Apple M4 и A18 увеличат производительность ИИ Тайваньская компания TSMC будет заниматься производством пластин для этих процессоров

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Apple отказалась от новых процессоров в iPhone В настоящее время компания Apple использует технологический процесс в 3 нм от компании TSMC для нескольких своих чипов, и компания вполне может использовать этот производственный процесс в течение нескольких последующих поколения смартфонов iPhone, так как особого смысла рва...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Microsoft и Oracle расширяют сотрудничество для удовлетворения спроса на Oracle Database@Azure Корпорация Microsoft и компания Oracle расширяют сотрудничество, чтобы удовлетворить растущий спрос клиентов на Oracle Database@Azure во всем мире. База данных Oracle Database@Azure будет расширена еще на пять регионов, в результате чего общая запланированная зона доступност...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Apple увеличит объем заказов на 3-нм чипы у TSMC на 50% в 2024 году Вероятно, компания снова станет крупнейшим клиентом TSMC в этом году.

FAQ: Ответы на часто задаваемые вопросы про маркет Некоторое время назад мы запустили наш замечательный маркет, который уже помог огромному количеству людей приобрести в играх то, чего они хотят. Мы собрали ответы на вопросы, которые регулярно возникают у людей при совершении покупок. Вопрос: Сколько ждать выполнения заказа...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

MediaTek заключила контракт с Samsung Согласно информации инсайдеров, корейский гигант Samsung согласился на подписание эксклюзивной сделки с MediaTek, благодаря которой чипы MediaTek могут быть использованы в предстоящих моделях смартфонов бренда в бюджетном и среднем сегментах. Если верить этому инсайду, компа...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

«Пожалуйста, не паникуйте и просто подождите», — Xiaomi заявила, что мегапопулярные титановые Xiaomi 14 Pro скоро появятся в магазинах Появились новые сведения относительно версии Xiaomi 14 Pro из чистого титана, которая оказалась в большом дефиците. Xiaomi официально заявила, что производственные мощности растут. Ван Хуа, генеральный менеджер отдела по связям с общественностью Xiaomi, прокомментировал...

Huawei Mate 70, который потягается с iPhone 16, получит очень быстрый чип Kirin: он уже набирает более 1,1 млн баллов в AnTuTu Компания Huawei выпустила свои последние флагманы Pura 70 с новым чипом Kirin 9010. Хотя SoC имеет тот же производственный процесс и базовую архитектуру, что и Kirin 9000, компания Huawei еще больше оптимизировала его, чтобы обеспечить производительность в своих последн...

Новинка vivo Y27s в продаже в России Компания vivo представляет новый смартфон Y27s c процессором Qualcomm Snapdragon 680, 50 Мп камерой для ночных портретов и 44 Вт быстрой зарядкой FlashCharge. Дизайн Y27s — это возможность отражения индивидуальности пользователя. Благодаря модным расцветкам, перламутровой о...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Transsion может стать четвертым по величине производителем смартфонов в мире Китайский производитель смартфонов Transsion в третьем квартале занял 8,6% мирового рынка, лишь незначительно уступив Oppo, которая занимает четвертое место с долей рынка 8,9%. При этом поставки смартфонов Transsion выросли на 35%, и, как ожидает IDC, можно ожидать выхо...

Tierra Biosciences привлекла $11,4 миллионов для коммерциализации технологии синтеза белков, основанной на Искусственном Интеллекте Калифорнийская компания Tierra Biosciences представила инновационную платформу, использующую искусственный интеллект, для индивидуального синтеза белков. Эта технология, которая может применяться в фармацевтической, промышленной и сельскохозяйственной сферах, позволяет ...

Wildberries сократил срок получения средств при возврате товаров до одного-двух дней Wildberries сократил временной интервал возврата средств покупателям до одного-двух дней. В компании заявили, что уменьшение времени на возврат средств приобретает особую важность в период праздничных распродаж. «Чтобы деньги быстрее приходили на карту клиент...

Nvidia утверждает, что AMD нечестно сравнивала свой ускоритель Instinct MI300X и H100 Nvidia только начала расти? Поставки серверов компании для ИИ в следующем году вырастут на 150% Компания AMD неделю назад объявила о запуске своих ускорителей Instinct MI300X и MI300A, заодно раскрыв подробности. В том числе компания заявила, что Instinct MI300X на 20%...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Итоги 2023-го года в 3DVision Год подходит к концу, и пришло время оценить и подвести итоги нашей работы. За прошедший 2023 год команда 3DVision проделала огромную работу и достигла впечатляющих результатов. В данной статье мы хотели бы представить обзор наших достижений и бросить взгляд на перспективы б...

Завод «Микрон» запустил линию по сборке микросхем в пластиковых корпусах Завод «Микрон», российский производитель микроэлектроники, запустил две производственных линии: новую линию по сборке микросхем в пластиковых корпусах и дополнительную линию сборки чип-модулей. Микросхемы в пластиковом корпусе – это новая для завода пр...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Когда будет следующая презентация Apple и что на ней покажут Все презентации Apple, намеченные на 2023 год, уже благополучно прошли. За это время нам показали MacBook Air 15, Apple Vision Pro, целую россыпь MacBook Pro на процессорах M3, линейку iPhone 15, две модели Apple Watch и, конечно же, новые версии операционных систем, которы...

Новый хит, но пока только в Китае. На дешевый люксовый кроссовер Li Auto L6 оформлено 20 тыс. заказов за 12 дней Продажи новейшего кроссовера Li Auto L6 в Китае стартовали 12 дней назад, и за это время на машину оформлено уже 20 тыс. заказов. Это официальная статистика. Также компания сообщила, что в течение 6 дней покупателям доставлено более 2 тыс. кроссоверов. В течение мая пр...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Блин TSMC N3 стоит более 20 000 долларов Компания Apple стала первой, кто стал использовать технологию TSMC N3 для своих процессоров, но даже для неё очень сложно справиться со столь высокой производственной стоимостью.

У нас отмена: на Wildberries появилась кнопка отказа от покупки Российский маркетплейс Wildberries запустил функцию отмены заказа: появилась кнопка, которая позволяет отменить доставку, но только в течение одного часа после оформления заказа или до момента начала его сборки (в зависимости от того, что наступит раньше). О тестировани...

Не одной Nvidia единой. Microsoft будет использовать чудовищные ускорители AMD Instinct MI300X, имеющие по 192 ГБ памяти каждый Компания AMD получила очень крупного клиента для своих ускорителей Instinct MI300X. Этим клиентом стала Microsoft.  фото: AMD Вчера Microsoft не только представила свои собственные процессоры Azure Maia 100 AI Accelerator и Azure Cobalt 100, но и объявила о том, ч...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Apple наращивает производство iPhone 15 Pro из-за высокого спроса В этом году компания Apple реализовала целый ряд эксклюзивных улучшений для смартфонов iPhone 15 Pro и iPhone 15 Pro Max, что объясняет, почему они пользуются таким большим спросом. Настолько большим, что компания Samsung увеличила производство OLED-панелей для 6,1-дюймовой ...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Оценка эффективности бизнес-моделей через анализ данных: от ROI до стоимости привлечения клиентов Привет, Хабр!Бизнес стал невероятно динамичным и конкурентным. Компании постоянно сталкиваются с вызовами и возможностями, их бизнес-модели постоянно эволюционируют. Однако, чтобы преуспеть, предпринимателям и руководителям необходимо оценивать эффективность своих бизнес-мод...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Daihatsu начала возобновлять производство авто после полутора месяцев простоя из-за скандала с краш-тестами Японский автопроизводитель Daihatsu (дочерняя компания Toyota Motor по выпуску малолитражных автомобилей) частично возобновил производство своих машин. Напомним, все четыре завода компании в Японии прекратили работу в конце декабря прошлого года, поскольку Daihatsu оказ...

«Погуляли с Оптимусом», — Илон Маск впервые показал робота Tesla Optimus «раздетым» Илон Маск опубликовал новый видеоролик, в котором робот Optimus просто прогуливается по тестовому ангару. В отличие от предыдущих демонстрацией, в данном случае робот оказался «раздетым», без красивого костюма. Гладкая металлическая оболочка, которая была у...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

Qualcomm очень сильно зависит от Samsung Недавно появилась достаточно интересная статистика относительно продаж процессоров для мобильных устройств, в рамках которой стало известно, что MediaTek продаёт наибольшее количество чипов в мире. Но изучая эти графики многие журналисты не обратили на потенциальную проблему...

«Обман приобрёл просто космические размахи». В России раскрыта новая схема обмана покупателей машин Развитие альтернативных схем ввоза автомобилей породило новые методы мошенничества и обмана среди россиян. Одна из таких схем, по словам специалиста по подбору автомобилей и создателя YouTube-канала AvtoRevizorro, Кирилла Чернова, приобрела «просто космические раз...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

«Это огромная аудитория, которую можно охватить», — Hyundai предложит свои машины 150 млн клиентов Amazon Prime Начиная с будущего года, в зависимости от вашего местоположения, пользователи смогут добавить Hyundai Ioniq 5 и другие машины в корзину на Amazon, наряду с обычными товарами, такими как домашние гаджеты, книги и часы. Генеральный директор Hyundai Motor America, Рэн...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Nvidia закупила память HBM3E на 1,5 млрд долларов для своих грядущих ускорителей для ИИ Компания Nvidia не может удовлетворить спрос на свои ускорители для ИИ и не сможет в ближайшее время. Но кроме того, что ей нужно как можно больше производственных мощностей у TSMC, ей нужно ещё и много памяти для этих самых ускорителей. Как сообщается, Nvidia заранее з...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

[Перевод] Футуристический план производства стали с помощью ядерного синтеза Крупнейшая американская сталелитейная компания делает ставку на то, что ядерный синтез поможет ей избавиться от выбросов углекислого газа и обеспечить энергией один из самых энергоёмких производственных процессов в мире.В рамках первого в своём роде партнёрства между крупной...

От ноубуков до видеокарт и геймпадов: в России запустили новый отечественный бренд OSiO с собственным производством Группа компаний ICL из Казани объявила о запуске одного из крупнейших в России заводов по производству радиоэлектронной продукции и нового бренда OSiO, под котором электроника будет продаваться в розницу.  Первыми в продаже появятся OSiO FocusLine в магазинах круп...

Сбер увеличит кэшбек для всех клиентов банка Клиентам Сбера отменят уровни лояльности бонусной программы и увеличат кэшбек

Разработчик ПО для удалённого рабочего стола предупредил о взломе своих серверов Компания AnyDesk, популярный разработчик ПО для удалённого рабочего стола сообщила клиентам о взломе своих производственных серверов.

Вот так Nvidia и зарабатывает миллиарды. Индийская компания Yotta заказала у Nvidia ускорители для ИИ на сумму около 1 млрд долларов Ускорители Nvidia для ИИ нужны всем. В том числе Индии, которая, как сообщается, закажет у калифорнийской компании продукции на невероятные полмиллиарда долларов.  Если точнее, заказ исходит от индийского сотового оператора Yotta, который заказал для своих ЦОД уск...

«Москвич» попробует ещё раз. Завод установил на 2024 год такой же план, который провалили в 2023 Директор по производству Московского автозавода Олег Масляков заявил, что «Москвич» планирует наращивать производство параллельно с развитием продаж и расширением дилерской сети. «Производственный план автозавода „Москвич“ на 2024 год &mdas...

Intel Core i9-14900KS будут продавать за 750 долларов Если верить информации из сети, процессор Intel Core i9-14900KS должен отправиться в релиз в самое ближайшее время, буквально на следующей неделе. К сожалению, никто так и не смог слить в сеть информацию о том, сколько будет стоить данный процессор, но сегодня магазин MicroC...

Какой аккумулятор получит Айфон 16 и как изменится его время работы До презентации линейки iPhone 16 остается еще пять месяцев, но про будущие смартфоны Apple уже, кажется, известно практически всё: как они будут выглядеть, какие фишки получат, и даже про увеличенные диагонали экранов мы тоже знаем. Единственной информацией, которая ранее б...

В Подмосковье запустили производство материнских плат, SSD и модулей оперативной памяти В подмосковном Фрязино компания «Инферит» начала производство компонентов для компьютерного и серверного оборудования на новой линии поверхностного монтажа. Новая линия поверхностного монтажа на собственном заводе компании позволяет осуществлять сборку матер...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

ГК Softline объявляет о включении решения для защиты контейнеров и Kubernetes Luntry от вендора «КлаудРан» в Softline Universe ГК Softline объявляет о начале партнерства с ООО «КлаудРан». С платформой Luntry клиенты Softline Universe смогут обеспечить надежную, бесперебойную работу своих сервисов и управлять их безопасностью, не замедляя производственный цикл.

NVIDIA GeForce RTX 3060 в ближайшем будущем должна подешеветь Некоторое время назад появилась информация о том, что производитель хочет заморозить выпуск видеокарты NVIDIA GeForce RTX 3060, чтобы дать пространство новому поколению видеокарт компании. Однако теперь ситуация в корне изменилась и инсайдеры уверены, что видеокарта в ближай...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Мобильная игра Merchant Guilds позволяет руководить торговой гильдией Разработчики игры Merchant Guilds из студии Retora Games проводят её пробный запуск в ряде стран, включая Филиппины и Бразилию. Поиграть можно на Android, скачав клиент через Google Play. Пока что количество скачиваний 1,000+, что очень хорошо для инди-игры — в ней есть элем...

iPad Pro получит OLED-дисплей и М3-процессор Если верить данным поставщиков секретной информации, компания Apple планирует модернизировать модели iPad Pro, iPad Air и MacBook Air в ближайшем будущем — вероятно, уже весной этого года (ранее поступали данные о том, что компания, вероятно, намерена выпустить новые устройс...

Компания Star Plast наращивает производство филаментов для 3D-печати Предприятие базируется в Безенчукском районе Самарской области. Новые производственные линии позволят нарастить производство в десять раз, до семидесяти килограмм в час. Параллельно планируется увеличить штат сотрудников в два раза.

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

AMD собирается «забросать» рынок своими ускорителями Instinct MI300X и занять 7% рынка Nvidia является неоспоримым лидером на рынке ускорителей для ИИ. Возможно, её позиции усилятся после выхода моделей на основе чудовищного GPU Blackwell с более чем 200 млрд транзисторов. Однако AMD тоже не спит и готовится фактически лишь одним своим продуктом занять до...

Для Tesla производство Cybertruck не станет прибыльным как минимум до 2025 года В большей степени модель нужна для привлечения клиентов к другой продукции марки.

Что такое 5,5G или 5G-Advanced. Будет ли он в России Пока мы ждем повсеместного распространения полноценных сетей 5G и особенно их прихода в Россию, Китай делает рывок вперед, внедряя следующее поколение беспроводных технологий. Назвать его полностью новым сложно, но это действительно улучшение стандартов связи, поэтому мы вс...

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Intel потратит на производства в США 100 миллиардов долларов Технологический гигант Intel готовится к масштабной экспансии, планируя потратить 100 миллиардов долларов на строительство и модернизацию производственных мощностей по выпуску микросхем в четырех штатах США. Этот шаг был предпринят после того, как компания получила 19,5...

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Huawei P70 получит невероятно мощный процессор Большой спрос на линейку смартфонов Mate 60 в Китае свидетельствует о том, что у Huawei есть достаточное количество потенциальных покупателей для запуска флагманского смартфона P70 в следующем году. Согласно информации, раскрытой китайскими источниками, компания планирует пр...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Еврокомиссия инвестирует €500 млн в производство боеприпасов для укрепления обороны ЕС Европейская комиссия объявила о выделении 500 миллионов евро для расширения производственных мощностей европейской оборонной промышленности, нацеленных на увеличение производства боеприпасов. Это решение является частью усилий ЕС по укреплению своего оборонного потенциала и ...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Как сделать аналог Wildberries на рынке кредитных и финансовых сервисов? В условиях, когда стоимость привлечения нового клиента на рынке зашкаливает, роль волшебной палочки могли бы сыграть финансовые платформы для знакомства потенциальных клиентов и банков. Однако революционных прорывов пока не наблюдается, и копирование западного опыта не очен...

Что нового появится в watchOS 11 и какие Apple Watch получат обновление На WWDC Apple ежегодно представляет новые версии операционных систем для всех своих устройств. Об ожиданиях от iOS 18 и macOS 15 мы вам уже подробно рассказывали, теперь можно остановиться и на watchOS 11. Информации про следующее крупное обновление для умных часов Apple Wa...

BMW X3, X4 и X5 отзывают из-за взрывающихся насосов Несколько сотен моделей BMW X3, X4 и X5 необходимо отремонтировать в Соединенных Штатах, поскольку они оснащены бракованными насосами для подушек безопасности Takata, которые могут разорваться. Компания сообщила, что 486 ее автомобилей были оснащены насосом Takata PSDI-...

HUAWEI представила HarmonyOS NEXT и отказалась от Android-приложений. Вот, что будет с вашим смартфоном После обвинения в промышленном шпионаже и последовавшим за ним вводом санкций со стороны США компания HUAWEI лишилась доступа ко многим западным технологиям. Одной из контрмер китайского производителя стал отказ от американской ОС Android и перевод своих мобильных устройств...

Мощности Huawei ограничены. Смартфоны Huawei Mate 60 не выйдут даже на тайваньском рынке Серия смартфонов Huawei Mate 60 вызвала ажиотаж после анонса, смартфоны активно раскупают. При этом официальные лица Huawei подтвердили, что серия Mate 60 не будет выпущена на зарубежных рынках. На вопрос о том, есть ли у серии Mate 60 шанс появиться хотя бы на тайваньс...

Какие процессоры чаще всего устанавливают в Android-смартфоны, и почему вы должны знать свой Процессор (чипсет) — это сердце смартфона. От него зависит не только производительность устройства, но и масса других показателей, включая автономность и качество фото. Но задумывались ли вы, какой процессор стоит в телефоне? Если нет, то абсолютно зря. Ведь информация о чи...

SK Hynix Inc. вложит еще $14.6 миллиардов в расширение своих производственных мощностей Компания планирует окупить свои вложения за счет дальнейшего развития технологий искусственного интеллекта

Купить новый кроссовер прямо на Amazon, не выходя из дома. Компания запустит продажи авто Hyundai в следующем году На торговой площадке Amazon можно купить очень много различных продуктов. В скором времени к ним добавятся даже автомобили.  Фото: Hyundai  Вчера Amazon объявила о сотрудничестве с Hyundai. Как можно догадаться, именно авто этой корейской марки вско...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Пять новых телевизоров Nokia на подходе StreamView GmbH является одним из многих лицензиатов бренда Nokia. Компания продает смарт-телевизоры и приставки под брендом Nokia в Европе, на Ближнем Востоке и в Африке. Эта австрийская компания собирается обновить линейку смарт-телевизоров Nokia. NokiaMob обнаружил в...

Китайцы попрощались с Mitsubishi. Вместо японской марки теперь Hunan Zhixiang Automobile Mitsubishi ушла из Китая, а единственное совместное предприятие, производившее машины для местного рынка, — GAC Mitsubishi Motors — официально сменило название Hunan Zhixiang Automobile. При этом Mitsubishi Motors Corporation и Mitsubishi Corporation не явля...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

iPhone 15 Pro Max останется самой продаваемой моделью, на неё придётся чуть ли не половина всех поставок iPhone в начале 2024 года, по прогнозу Минг-Чи Куо По прогнозу аналитика Apple Минг-Чи Куо, iPhone 15 Pro Max от Apple продолжит оставаться самой продаваемой моделью iPhone в первой половине этого года. Минг-Чи Куо утверждает, что на iPhone 15 Pro Max «по-прежнему будет приходиться 40–45% всех поставок iPhon...

Это новейший Tank 300 Hi4-T, и он может оказаться дешевле, чем ожидалось. Официальные фото и новые подробности Гу Юкунь (Gu Yukun), заместитель генерального директора бренда Tank, опубликовал в соцсети Weibo заметку, в которой коснулся ряда проблем, с которыми компании приходится сталкиваться при запуске в производство гибридного внедорожника Tank 300 Hi4-T. Свои слова он сопров...

Накрутка Бустов в Телеграм для быстрого старта Накрутка бустов в Телеграм - это явление, которое привлекает внимание многих пользователей данного мессенджера. Бусты в тг, или подписчики, играют важную роль в успехе канала или группы, поскольку показывают его популярность и привлекают новых подписчиков. Однако, стоит ли п...

Пора навёрстывать: Toyota возобновила производство автомобилей Компания Toyota смогла снова запустить все производственные линии на своих японских заводах, которые не работали после инцидента, случившегося 16 октября. Тогда на заводе, принадлежащем Chuo Spring, где производятся пружины подвески, произошёл взрыв. Компания Chuo Sprin...

Инвесторам приготовиться: Telegram собирается на IPO Клиенты БКС получили презентацию, в которой говорится, что они могут приобрести выпущенные в 2021 году облигации Telegram. Их можно будет конвертировать в акции, если компания проведет IPO (первичное публичное размещение акций). Покупатели смогут конвертировать номинал ...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Intel открывает свои производственные мощности для аренды другим производителям чипов Intel объявил о новой стратегии в сфере контрактного производства, предлагая аренду своего оборудования и производственных мощностей другим производителям чипов.

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Nvidia не хочет снижения цен на видеокарты RTX 40. Компания снизит производство GPU в преддверии запуска RTX 50 и высвободит мощности для H100 Компания Nvidia якобы уже готовится сокращать поставки GPU поколения RTX 40 в преддверии выхода линейки RTX 50.  Ресурс Quazarzone говорит, что Nvidia уже сообщила своим партнёрам, что поставки графических процессоров резко сократятся. Правда, не уточняется, каких...

АвтоВАЗу помогут рассчитаться с долгами АвтоВАЗ планируют избавить от долгов или заметно сократить их. Государство готово оказать дополнительную помощь российскому автопроизводителю для облегчения финансового бремени. Однако, как именно будет предоставлена эта поддержка, пока не определено в Минпромторге. Око...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

«В будущем нам придется работать с меньшим количеством людей», — Volkswagen сокращает расходы на персонал, многих досрочно отправят на пенсию Volkswagen опубликовал более подробную информацию о своем плане экономии в размере 10,8 миллиардов долларов, который должен вернуть его в нужное русло. Как сообщает Automotive News Europe со ссылкой на внутреннюю памятку, VW планирует сократить расходы на административн...

«Сделано на Дону». Производство планшетов и смартфонов запустят в Ростовской области Губернатор Ростовской области Василий Голубев заявил, что российская компания «Бештау» планирует начать производство планшетов и смартфонов в Ростовской области. «Если посмотреть немного дальше, в 2027 год, то компания перед собой ставит цели, пра...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Ryzen 9000 ближе, чем все думали? В Сеть попала рекламная брошюра, где упоминаются такие процессоры Процессоры Ryzen 8000G, как мы уже сообщали, могут появиться вместо Ryzen 7000G уже в конце текущего или в начале следующего года. Возможно, Ryzen 9000 тоже уже на подходе.  В Сеть попало фото рекламной брошюры Dell, где изображён игровой ПК Alienware, якобы оснащ...

Наконец-то AMD вернётся к наращиванию количества ядер у своих процессоров. В Сети засветился APU Ryzen поколения Strix Point с 12 ядрами В базе Geekbench засветился мобильный процессор AMD линейки Strix Point. Новинка пока не имеет имени и скрывается за кодом 100-000000994-14_N. ПО подтверждает последние слухи и утечки о том, что количество ядер у процессоров Strix Point будет увеличено с текущих восьми...

Blue Origin представила детали, характеристики и потенциал своего космического буксира Blue Ring В октябре прошлого года компания Blue Origin представила космический буксир Blue Ring, который, согласно заявлениям, должен стать инновационным решением в области космической логистики и доставки. На данный момент о техническом потенциале Blue Ring известно немного, одн...

PlayStation 5 Pro должны показать в самом ближайшем будущем Несколько дней назад в сети появились якобы слитые характеристики игровой консоли PlayStation 5 Pro, которую сама компания пока что официально не анонсировала. Однако специалисты уверены, что эти характеристики на деле являются выдумкой — на то есть очень много причин. Напри...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Тут все что должно быть в новых смарт-часах Самсунг, чтобы их захотелось купить Samsung Galaxy Watch 6 — одни из лучших смарт-часов, которые вы можете купить, хотя они и не являются принципиальным улучшением по сравнению с предшественником. Хотя до выхода новых часов еще более полугода, у нас уже есть немного слухов и много желаний. Есть даже тре...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Так и не подрались, зато просто неприлично разбогатели: Илон Маск и Марк Цукерберг стали гораздо богаче в 2023 году Свежие данные показывают, что самые богатые люди, включая Илона Маска и Марка Цукерберга, стали богаче в 2023 году. Из 50 богатейших людей мира лишь 12 потеряли деньги в 2023 году, а остальные богатели. Из 500 богатейших людей 77% стали ещё богаче, причем некоторые из н...

Дежурства должны оплачиваться Время от времени наш профсоюз получает истории подписчиков и других неравнодушных. Тема неоплачиваемых дежурств достаточно болезненная. Она встречается не только в IT-сфере, а повсеместно.Сами по себе дежурства — это стандартная практика. Есть сервисы и процессы, которые раб...

Очередь за памятью HBM3 и HBM3E производства SK Hynix выстроилась более чем на год SK Hynix недавно заявила, что все ее мощности по производству памяти HBM3 и HBM3E в 2024 году забронированы клиентами. По оценкам SK Hynix, в 2023 году доля серверов, оснащаемых ускорителями с памятью типа HBM и используемыми для работы с искусственным интеллектом, сост...

Оптимизация веб-приложений: на что стоит обратить внимание Привет, Хабр! Меня зовут Андрей, я веб-разработчик в МТС Digital. Сегодня поделюсь своим опытом, как и зачем оптимизировать веб-приложения. В конкурентном мире онлайн-сервисов производительность стала ключевым фактором успеха — пользователи ожидают мгновенного доступа к инф...

Boeing расширяет свой завод в Хантсвиле, чтобы увеличить производство ракет Patriot Компания Boeing объявила о расширении своего завода в Хантсвилле, штат Алабама, на котором производится ракетный комплекс Patriot PAC-3.

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

Каким будет самый ожидаемый Samsung 2024 года. Все, что известно о Galaxy А55 Серия ”A” от Samsung традиционно пользуется большой популярностью. В первую очередь из-за того, что эти смартфоны стоят относительно недорого, но предлагают близкие к флагманским возможности. На фоне существования этой линейки не удивительно, что у Samsung каждый раз возник...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Google представила свой новый процессор Axion, и это снова Arm Компания Google представила свой собственный процессор под названием Axion. Название, видимо, давно в честь аксионов — гипотетических элементарных частиц, которые, кроме прочего, могут составлять тёмную материю.  Google Axion создан на основе архитектуры Arm...

Как мы увеличили скорость обработки сервисных сообщений в 10 раз и еще 3 кейса про масштабирование проектов Сформировать 400 000 документов за рабочий день, одновременно загрузить информацию о тысячах доменов, в 10 раз увеличить скорость обработки данных сайта, обеспечить стабильность интернет-магазина при росте посещаемости — решать такие задачи помогает гориз...

Самый простой сервер Nvidia DGX GB200 Blackwell будет стоить 3 млн долларов. Массовое производство стартует во втором полугодии Nvidia уже представила ускорители Blackwell для ИИ, но на рынок они пока не поступили. Как сообщается, массовое производство серверов DGX GB200 стартует лишь когда-то во втором полугодии.  Успеют ли первые клиенты получить первые установки до конца текущего года, ...

США начнут развивать «экологический» рынок Вьетнама для торговой борьбы с Китаем США заключили с Вьетнамом сделку на $500 млн, чтобы увеличить экспорт «зелёной» продукции во Вьетнам — страну с третьим на сегодняшний день торговым дефицитом и быстро растущей производственной альтернативой Китаю.

Представлены китайские процессоры Montage Technology Jintide с количеством ядер вплоть до 48, внутри которых на самом деле скрываются CPU Intel Пока одни китайские компании разрабатывают собственные GPU, другие берут продукты глобальных гигантов и переделывают их в продукты для Китая. Компания Montage Technology представила линейку процессоров Jintide пятого поколения. Но это не собственная разработка Montage T...

Из-за брака обогревателя на Honda HR-V 2023 разбивается заднее стекло, но Honda не объявляет отзыв Производственная проблема в некоторых автомобилях Honda HR-V может привести к самопроизвольному разрушению заднего стекла, при этом осколки попадают как в салон, так и на дорогу. На данный момент эта проблема не привела к отзыву или официальному расследованию Национальн...

Apple вернет топовый процессор в iPhone 16. Он получит чип A18 как iPhone 16 Pro и 16 Pro Max До сентября 2024 года остается еще больше 9 месяцев, а мы уже знаем об iPhone 16 практически все. Нет, это не Apple допустила так много утечек: просто компания стала слишком предсказуемой, и мы за годы профессионального погружения в ее деятельность научились с высокой долей...

Какой блок питания выбрать для быстрой зарядки Макбука В 2021 году Apple выпустила MacBook Pro с экранами 14 и 16 дюймов с новым дизайном с челкой под веб-камеру на экране. Компьютеры получили мощные на тот момент процессоры Apple M1 Pro и M1 Max и поддержку быстрой зарядки. Причем на последнюю функцию обратили внимание далеко ...

Intel вообще будет чем ответить на такое? Ryzen 9 9950X приписывают производительность на 40-45% выше, чем у Ryzen 9 7950X В Сети появились свежие слухи о процессорах AMD Ryzen 9000 для настольного сегмента. Впрочем, в целом их можно проецировать и на другие CPU компании на основе архитектуры Zen 5.  создано DALL-E Автор канала RedGamingTech получил данные о производительности старших...

В России началось производство импортозамещенной электротехнической продукции Компания «Электрорешения» (бренд EKF) запустила производство электротехнической продукции в Собинском районе Владимирской области, при помощи которого планируется заменить импортные аналоги. Этот проект ориентирован на создание высокотехнологичного импортоза...

Покупатели стали чаще жаловаться на КамАЗ К5, уровень брака по вырос на 21,4% Редко бывает, когда автопроизводитель сам честно говорит о браке в своих автомобилях, но КамАЗ не намерен скрывать всю правду, даже если она не очень приятная. Как сообщает корпоративный ресурс «Вести КамАЗа», завод проанализировал рекламации ...

OnePlus Ace 3V получит процессор Snapdragon 7+ Gen 3 На протяжении достаточно длительного времени в сети появляется информация о смартфоне OnePlus Ace 3V, который должны официально представить в самое ближайшее время, но верить этим слухам или нет — выбор каждого. Ведь некоторые данные, которые сообщают инсайдеры, выглядят чре...

Не 7000, а 9000 машин на одном судне. SAIC обогнала BYD, заказав флот из 14 гигантских ролкеров Китайские автопроизводители нуждаются в новых судах, чтобы удовлетворить растущий спрос на автомобили во всем мире. По состоянию на ноябрь прошлого года китайским судоходным компаниям принадлежало всего 40 судов типа ро-ро (ролкер, судно для перевозки грузов на кол...

Выпущена первая отечественная серийная газовая турбина мощностью 170 МВт Российская энергомашиностроительная компания «Силовые машины» завершила изготовление первой серийной газовой турбины большой мощности ГТЭ-170 в комплекте с генератором и котлом-утилизатором, она была заказана для Нижнекамской ТЭЦ. «Силовые машины»...

Аналог Toyota Highlander от Huawei с запасом хода 1300 км произвёл настоящий фурор: оформлено 100 000 оплаченных заказов на Aito M7 Компания Huawei объявила, что она получила 100 000 твердых заказов на свой внедорожник Aito M7, через два с половиной месяца после начала приема заказов. Aito — это новый бренд электромобилей Huawei, которые производятся силами Seres. Seres — это бренд госу...

Узбекистанское предприятие UzAuto Motors выпустило в 2023 году 370 тыс. авто Chevrolet — почти столько же Lada в 2023 году выпустил АвтоВАЗ Стала известна производственная статистика за 2023 год узбекистанского автомобильного завода UzAuto Motors, возглавляемого шведом Бу Андерссоном (в 2014–2016 годах он руководил АвтоВАЗом). Изображение: Chevrolet Оказалось, что за прошлый год UzAuto Motors вы...

США заявили, что программа истребителя F-35 вышла на максимальную производственную мощность По данным профильных ресурсов, производственная программа самолёта пятого поколения F-35 выедена на максимальную мощность

Глава Роскосмоса: в России будут производить до восьми тяжёлых ракет «Ангара-А5» в год Производственное объединение «Полёт» (входит в Роскосмос) при работе на максимальной мощности должно быть способно выпускать до восьми тяжёлых ракет-носителей «Ангара-А5» в год. Об этом сообщил генеральный директор Роскосмоса Юрий Борисов во врем...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

«Очередь бешеная. Люди хотят покупать этот автомобиль». Александр Лукашенко потребовал увеличить производство автомобилей Geely в Белоруссии Вчера Александр Лукашенко посетил завод «БелДжи», расположенный под Минском: предприятие выпускает автомобили Geely как для внутреннего рынка, так и для России. Президент Белоруссии подтвердил то, о чем неоднократно сообщали местные СМИ – в соседней ст...

Всё никак не договорятся: завод Hyundai в Санкт-Петербурге продлил режим простоя Южнокорейская компания Hyundai продлила период простоя своего завода в Санкт-Петербурге до 30 ноября 2023 года. Эту информацию предоставили в Центре занятости населения Петербурга в ответ на запрос ТАСС. «ООО "Хендэ мотор мануфактуринг рус" сообщило о ре...

Процессор Apple M4 сделал iPad Pro 2024 мощнее новых макбуков. Насколько он круче других чипов от Apple? 7 мая компания Apple представила iPad Pro 2024, неожиданным компонентом которого стал новый процессор Apple M4. Внезапным это появление стало по двум причинам. Во-первых, предшествующий Apple M3 вышел меньше года назад. Во-вторых, процессоры M-серии, будучи самыми производи...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Прощайте, классические Jaguar. Компания снимет с производства седаны Jaguar XF и XE, а также купе F-Type уже летом Британский автопроизводитель Jaguar сегодня сообщил о сокращении модельного ряда вдвое: уже летом производственную гамму компании покинут классические седаны Jaguar XF и XE, а также купе F-Type. Все эти модели выпускаются на заводе в Бирмингеме, но после прекр...

Pixel 8 Pro снимает видео, а затем искусственный интеллект в ЦОД Google его улучшает. Представлена функция Video Boost Компания Google представила сегодня свои новые смартфоны Pixel 8 и Pixel 8 Pro, но, как обычно, интересны не только сами аппараты, но и программные решения. Одно из них — Video Boost.  Эта функция стоит отдельного внимания, так как она и реализована необычно...

Лавочка закрылась. Nvidia перестала принимать заказы на чипы от китайских компаний Американская технологическая компания Nvidia прекратила принимать заказы от китайских компаний на свои передовые чипы на базе технологий искусственного интеллекта. Как пишет ТАСС со ссылкой The Wall Street Journal, это решение связано с недавними экспортными ограничения...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Это успех — фанаты раскупили Apple Vision Pro, оформлено до 180 000 предзаказов, сроки ожидания выросли до 7 недель Предварительные заказы на Apple Vision Pro начались в прошлую пятницу, 19 января. Продажи начнутся 2 февраля, а пока что аналитик Минг-Чи Куо сообщил, что гарнитура смешанной реальности пользуется огромным успехом и раскрыл приблизительные объемы продаж. Куо оценивает т...

Стартап Lumen Orbit получил $2,4 млн финансирования для революционизации нисходящей связи в космосе Стартап Lumen Orbit, преследующий цель увеличить скорость нисходящей связи путем обработки данных в космосе, получил финансирование в размере $2,4 миллиона.  Основанная в декабре компания из Сиэтла объединила усилия трех соучредителей — Эзры Фейлдена, Филипа ...

Как YouTube борется с блокировщиками рекламы, и что это значит для России YouTube решила сделать шаг, который многим не понравится. Изначально информация об этом появилась на Reddit. Если коротко, то суть заключается в том, что компания начала резко выступать против блокировщиков рекламы. Каждое второе сообщение на этом ресурсе выражает полное ра...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Samsung Galaxy S25 получит сразу два процессора По информации инсайдеров, компания Samsung нацелена в следующем году использовать свою стандартную стратегию с двумя процессорами в серии, представив на различных рынках флагманы на базе процессора Qualcomm Snapdragon 8 Gen 4 или Samsung Exynos 2500. Если верить данным запад...

Экраны вырастут, Dynamic Island, а аккумулятор останется прежним? Появились свежие данные об iPhone 16 Новая линейка iPhone 16, возможно, и не принесёт никаких кардинальных изменений, но всё же изменения будут. К примеру, согласно свежим данным, уменьшится вырез Dynamic Island.   Как мы уже не раз говорили, модели iPhone 16 Pro и 16 Pro Max вырастут в размерах отно...

5 важных отличий iPhone 16 от iPhone 15. Большое обновление смартфонов Apple 2024 года До выхода новых Айфонов остается еще 8 месяцев, но уже сейчас появляется все больше информации о том, что они будут из себя представлять. С высокой долей вероятности мы уже знаем дизайн Айфонов 2024 года, а теперь всплывает все больше подробностей о внутренностях смартфонов...

Apple решила урезать iPhone 16 Plus, чтобы он не конкурировал с iPhone 16 Pro Max? Новая модель получит менее ёмкий аккумулятор, чем iPhone 15 Plus В Сети появляется всё больше данных о будущих смартфонах iPhone 16. К примеру, инсайдер Majin Bu поделился данными об аккумуляторах.  Согласно его данным, iPhone 16 получит элемент ёмкостью 3561 мА·ч, у iPhone 16 Plus это будет 4006 мА·ч, а iPhone 16...

Starlink начали раздавать со скидкой 50%. Клиенты жалуются, что им продают подержанные тарелки под видом новых При стартовой цене в 599 долларов установка Starlink является недешевым приобретением. Однако теперь SpaceX предлагает большую скидку на свое оборудование, если вы готовы приобрести отремонтированное устройство. В Австралии бывшая в употреблении тарелка Starlink для ряд...

AMD упакует 32 процессорных ядра в один чиплет. Такими будут уже CPU на архитектуре Zen 6 Несмотря на то, что в Сети ещё достаточно мало информации об архитектуре AMD Zen 5 и продуктах на её основе, сегодня мы получили достаточно подробные данные о CPU на основе Zen 6.  Информация касается в основном серверных CPU, но она всё равно важна, потому как ко...

Стандарт Wi-Fi 7 официально сертифицирован Организация Wi-Fi Alliance, как и ожидалось, официально сертифицировала новый беспроводной стандарт Wi-Fi 7 (IEEE 802.11be) и опубликовала его спецификации. Wi-Fi 7 поддерживает технологию Multi-Link Operation (MLO), которая позволяет устройствам передавать и принимать ...

Кейс: использование 3D-Принтера Volgobot CUBE 600 на ПАО «Тяжпрессмаш» Volgobot продолжает активно сотрудничать с различными организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов — ПАО «Тяжпрессмаш». Давайте познакомимся с деятельностью предприятия ближе.ПАО «Тяжпрессмаш» — одно из динамично развивающихся ...

Каким будет iPhone 16: больше ОЗУ, мощнее процессор и новая нейросеть из iOS 18 Уже ни для кого не секрет, что в iOS 18 на Айфоне появится большое количество новых функций, связанных с искусственным интеллектом. Однако мало его добавить в операционную систему. Необходимо обеспечить еще и бесперебойную работу. Для этого Apple установит в iPhone 16 новый...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Lada Niva Sport получит 120-сильный мотор от Lada Granta Sport Нынешняя Lada Niva Legend оснащается 1,7-литровым 83-сильным мотором, а будущая Niva Sport получит мотор меньшего объема, но большей мощности. Такой двигатель сейчас устанавливается на Lada Granta Sport. Об этом рассказал гендиректор «Лада Спорт» Владислав Н...

Забудьте о титановых смартфонах. Их важность сильно переоценена, как и они сами Вы не могли не заметить, что в последнее время как-то много стали говорить про титановые смартфоны. Конечно, данный тип корпуса имеет некоторые преимущества перед другими, но есть у него и минусы. А главное, насколько одновременно они появились. Сейчас речь не о том, что из...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Ядро Cortex-X5 от ARM потребляет слишком много энергии Сегодня появилась интересная информация о том, что производительные ядра Cortex-X5 от ARM испытывают серьёзные проблемы с высоким энергопотреблением при повышенных частотах и демонстрируют незавидную производительность в многоядерном режиме при снижении максимального предела...

Илон, твой ход. Honda начала принимать BTC, Shiba Inu, Ethereum и Cardano и ещё 40 криптовалют Известный японский конгломерат по производству автомобилей, мотоциклов и силового оборудования Honda Motor теперь принимает в виде оплаты огромное количество флагманских криптоактивов. Недавно Honda установила партнерство с FCF Pay, платежной системой на основе блокчейн...

Новые iPad Pro появятся уже в марте По имеющейся информации, компания Apple выпустит новые модели iPad Pro к концу следующего месяца с большим количеством изменений. Например, инсайдеры уверены в том, что компания внесёт значительные апдейты в дизайн и форм-фактор планшета, так что планшеты получат большую диа...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Ажиотажа нет, спрос нулевой. «Москвичи 6» уже несколько дней стоят в салонах, но покупатели не торопятся Новые автомобили «Москвич 6» появились в дилерских центрах России ещё на прошлой неделе, но при этом они не вызвали ажиотажа у покупателей, а спрос на них пока нулевой, о чем пишет Autonews. В одном автосалоне с новинкой ознакомились три человека, но оказало...

Samsung Galaxy S23 FE на Exynos или Snapdragon — какой смартфон лучше купить и почему В 2023-м компания Samsung отказалась от процессоров Exynos во флагманской серии Galaxy S23. Все устройства линейки, включая базовую модель, Plus и Ultra, работают на классном чипе Snapdragon 8 Gen 2. Однако отказ не коснулся смартфона Galaxy S23 FE, который был представлен ...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Toyota готовит мини-Land Cruiser и соверешенно новый внедорожник 340D Toyota начала разработку нового внедорожника для индийского рынка, который будет выпущен в начале 2026 года и станет ключевым продуктом для нового завода, о чем сообщает Reuters со ссылкой на осведомленных информаторов. Новый внедорожник C-сегмента под кодовым названием...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Кейс: использование 3D-принтера Volgobot А4 PRO в ООО «ШЕВРЕТ» Volgobot продолжает активно сотрудничать с организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов – ООО «Шеврет».Познакомимся с деятельностью предприятия ближе.ООО «Производственное объединение «Шеврет» – бывший Волгоградский кожевенный з...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Asus и Acer начнут развивать производство в Индии из-за ужесточения импорта Тайваньские компании Acer и Asustek Computer намерены всерьёз «застолбиться» в Индии и наладить полноценные производственные цепочки. Это связано, среди прочего, с тем, что Индия ужесточает условия импорта ради развития внутренней промышленности и рынка.

Это непохоже на Apple, но компания продлила бесплатный доступ к службе Apple Emergency SOS для владельцев iPhone 14 ещё на год Выпуская смартфоны iPhone 14 в прошлом году, компания Apple первой в этом сегменте рынка наделила свои аппараты спутниковой связью. Тогда компания заявила, что покупатели новых iPhone смогут пользоваться этой услугой бесплатно целый год, но подробностей о платном вариан...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Xerox полностью ушла из России, но сотрудники сохранили рабочие места, а ООО «Ксерокс (СНГ)» продолжит работу под другим названием Корпорация Xerox завершила работу в России и продала российский бизнес местному руководству, о чем сообщает пресс-служба ООО «Ксерокс (СНГ)». Тем временем ООО «Ксерокс (СНГ)» продолжает работать как независимая организация, планируя в ближайшее в...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

АвтоВАЗ «даст ответ на внешние вызовы». В следующем году завод собирается собрать полмиллиона машин Глава АвтоВАЗа Максим Соколов на итоговом расширенном заседании челябинского ООО «СоюзМаш России» сообщил, что в 2024 году завод ставит своей целью собрать полмиллиона автомобилей. Изображение: Lada «Наш производственный план в этом году около 400 тыс...

Консультанты FTX по банкротству передали данные о клиентах биржи ФБР Агентство Bloomberg со ссылкой на материалы следствия по делу FTX Group сообщает, что консультанты по банкротству компании Alvarez & Marsal предоставили Федеральному бюро расследований США конфиденциальную информацию о транзакциях и персональных данных клиентов торговой...

ИИ-бум увеличил выручку конкурента Samsung — SK Hynix — на 144% Южнокорейский гигант по производству памяти SK Hynix сообщает о «возрождении», чему способствует рост спроса на микросхемы памяти в приложениях искусственного интеллекта. Компания объявила об увеличении операционной прибыли на 288% в первом квартале, что превзошло ожидания а...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Nvidia не против заполучить третьего партнёра для производства своих GPU, и это намёк на Intel Компания Nvidia снова заговорила о возможности производить свои GPU на мощностях Intel. Точнее, напрямую об Intel сейчас никто не заявлял, но подразумевается именно эта компании. Сейчас современные графические процессоры компании производит только TSMC, хотя ранее этим...

Стоит ли покупать iPhone 13 Pro Max в 2023 году С момента как Apple впервые разделила линейку своих смартфонов на базовые и Pro-модели, не было еще ни одного года, чтобы последние полностью не снимались с производства после выхода новинок. В 2022 году, когда компания из Купертино презентовала линейку iPhone 14, iPhone 13...

Из-за упора на ИИ мы получим более слабые процессоры? AMD передумала добавлять в APU Strix Point SLC-кеш ради увеличения блока NPU Поворот AMD и Intel в сторону искусственного интеллекта, видимо, негативно скажется на будущих процессорах компаний, если говорить о классической производительности.  Инсайдер Uzzi38 на форуме AnandTech рассказал, что AMD якобы отказалась от идеи добавить мобильны...

50 вопросов для опроса по метрике CES (Customer Effort Score), для вашего вдохновения В современном мире бизнеса, где конкуренция за внимание и лояльность клиентов невероятно высока, ключевую роль играет понимание и улучшение клиентского опыта. Одной из центральных метрик, оценивающих этот опыт, является Customer Effort Score (CES). CES измеряет усилия, котор...

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Как полностью очистить Android и удалить все с телефона Смартфоны хранят огромное количество информации о нас, которая включает в себя медиафайлы, аккаунты и настройки системы. Сложно переоценить их важность, однако в определенный момент обязательно возникнет ситуация, когда потребуется полностью очистить Андроид. Например, если...

Кейс: использование 3D-принтера Volgobot А4 PRO в НПК «ГЕРМЕТИКА» Volgobot продолжает активно сотрудничать с различными организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов — НПК «ГЕРМЕТИКА».Давайте познакомимся с деятельностью предприятия ближе.НПК «ГЕРМЕТИКА» — машиностроительная научно-производстве...

Как мы искали понятный термин для учеток и доступов, но так и не нашли В удивительном мире информационных технологий, где айтишники и клиенты встречаются регулярно, царствует терминологический Хаос! Казалось бы, все говорят на одном языке, но когда речь заходит про «данные для авторизации», клиенты впадают в ступор...

«Через 10 лет компьютеры будут делать это в миллион раз быстрее». Глава Nvidia не считает, что нужно вкладывать триллионы долларов в производство чипов для ИИ Несмотря на то, что Nvidia сейчас является чуть ли не основным выгодополучателем от роста интереса к ИИ, глава компании Дженсен Хуанг (Jensen Huang) не считает, что в отрасль нужно вкладывать дополнительные триллионы долларов.  Если вы просто предположите, что ком...

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Компания LG представляет первый в мире беспроводной прозрачный телевизор LG OLED Компания LG Electronics (LG) представляет первый в мире беспроводной прозрачный телевизор LG OLED на выставке CES 2024. LG SIGNATURE OLED T — настоящее технологическое чудо, сочетающее в себе прозрачный 4K OLED экран и беспроводную технологию передачи видео и аудио от LG, п...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Клиенты Wildberries смогут отменить покупку сразу после заказа Wildberries объявил о запуске новой функции: возможности отмены покупки непосредственно после заказа. Это предложение будет полезно, если покупатель ошибся в выборе товара, адреса доставки или случайно заказал большее количество товаров, чем планировал.

Для Armor Attack проходит закрытый альфа-тест В китайском маркете 3839 появилась информация о том, что для игры Armor Attack проходит «зарубежный тест» на iOS и Android. Редакция AppTime не смогла найти прямых ссылок в App Store и Google Play, поэтому разработчики должны были разослать приглашения. Это сходится с информ...

Запустите продвижение PBN-ссылками и получите 50% от расходов обратно на счёт Используйте кешбэк, чтобы привлечь больше клиентов и увеличить продажи.

Илон Маск планирует отправить на Марс около миллиона человек на ракетах Starship 3. Миллиардер рассказал, как человечество будет колонизировать Марс На базе SpaceX состоялось небольшое мероприятие, в рамках которого Илон Маск (Elon Musk) коротко, в течение 40 минут, рассказал о том, как SpaceX собирается покорять космические просторы.    По словам Маска, фундамент будущих серьезных космических миссий комп...

Глава АвтоВАЗа предупреждает о необходимости защитить рынок РФ от агрессивной экспансии китайских автопроизводителей Глава АвтоВАЗа Максим Соколов заявил, что правительству РФ нужно защитить российский автопром от китайской экспансии.  создано DALL-E Соколов говорит, что предприятиям российского автопрома придется сокращать производство и переходить на односменный режим работы, ...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Volkswagen Golf GTI 2024 получит более мощный ДВС и «механику» Прототипы обновленного Volkswagen Golf давно тестируются на дорогих общего пользования, но только в начале октября фотошпионы впервые увидели GTI. Как сообщает Autocar со ссылкой на собственных инсайдеров, обновленный Volkswagen Golf GTI выйдет в начале 2024 года с неко...

Смартфоны iPhone 17 могут получить совершенно новые аккумуляторы, которые будут более ёмкими, выносливыми и с более быстрой скоростью зарядки Смартфоны Apple в последние годы могут порадовать автономностью, но не могут скоростью зарядки. Возможно, в обозримом будущем всё изменится. Как сообщается, компания работает над технологией, которая сделает аккумуляторы более выносливыми, плотными, а заодно увеличит ск...

Windows 12 выйдет в следующем году? Intel говорит, что ожидает рост доходов из-за выхода новой версии операционной системы Компания Intel снова указывает на выход новой версии Windows в следующем году. Об обновлении на конференции Citi заявил финансовый директор Intel Дэвид Зинснер, отметив, что на этом фоне компания ожидает роста своих доходов.  создано DALL-E в Bing В данном случае ...

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

От октябрьской атаки на Okta пострадали все клиенты компании, а не 1%, как сообщалось ранее Компания Okta завершила расследование по факту взлома системы поддержки клиентов, произошедшего в прошлом месяце. Как оказалось, хакеры получили доступ к данным всех клиентов компании, а не 1% пользователей, как сообщалось изначально. Напомним, что в результате этой атаки уж...

Продажи Honda в Китае снижаются Honda сегодня объявила о продажах автомобилей в Китае. С января по март 2024 года совокупные продажи Honda в Китае составили 206 907 единиц, что на 6,1% меньше, чем за тот же период годом ранее. Совокупный объем продаж автомобилей Guangqi Honda с января по март 2024 год...

«Илон Маск, я думал о вас». Глава Intel пригласил миллиардера на экскурсию по заводу компании Компания Intel имеет амбициозный план стать вторым после TSMC крупнейшим производителем полупроводниковой продукции в мире к 2030 году. А для этого ей нужны клиенты. Глава Intel Пэт Гелсингер (Pat Gelsinger), видимо, видит в качестве потенциального клиента компанию Tesl...

«Неконкурентоспособный» Volkswagen резко сокращает время разработки новых машин и количество тестовых прототипов Volkswagen хочет сэкономить дополнительные 10 миллиардов евро к 2026 году. Чтобы добиться этого, компания сокращает расходы везде, где это возможно. В рамках программы Accelerate Forward/ Road to 6.5 компания принимает меры на всех уровнях. Генеральный директор Томас Ше...

Космический телескоп «Джеймс Уэбб» запечатлел экзопланеты, подобные гигантам из Солнечной системы, которые обращаются вокруг белых карликов — будущей формы нашего Солнца Учёные пришли к выводу, что умирающие звёзды способны вызывать извержения и выброс огромных масс в пространство. Это относится и к Солнцу, которое в будущем превратится в красного гиганта, простирающегося до Марса, перед тем как катастрофически потерять свою внешнюю обо...

Boston Dynamics показала совершенно нового электрического андроида Atlas Технологическая компания Boston Dynamics вышла на новый уровень развития с выходом электрической версии своего знаменитого робота-андроида Atlas. Сейчас планируется использовать новинку на производственных линиях автомобильного гиганта Hyundai. Электрический Atlas стал более...

Процессор Snapdragon 8 Gen 3 протестировали на базе ASUS ROG Phone 8 Ultimate Если верить информации крупных инсайдеров, компания Qualcomm объявит о релизе своего нового флагманского процессора Snapdragon 8 Gen 3 уже через месяц. И, что вполне ожидаемо, прямо сейчас процессор проходит различного рода тесты в бенчмарках на различных платформах, так как...

Стали известны первые подробности о Samsung Galaxy Z Fold 6. Он станет более современным Когда только вышел первый складной смартфон, нам казалось, что буквально через пару лет они станут массовым продуктом. Пусть они набирают популярность, но массовыми они так и не стали. Несмотря на это, мы уже прошли большой путь от фактической монополии Samsung до некоторог...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Apple платит Arm менее 30 центов за каждый произведённый процессор, и это меньше любого другого клиента Arm Компания Apple уже давно разрабатывает собственные платформы для смартфонов, а последние несколько лет и для ПК. Эти SoС построены на CPU собственной разработки Apple, но в основе лежит архитектура Arm. Как сообщается, Apple платит Arm менее 30 центов за каждый произвед...

Huawei только начала, а уже в пятёрке лидеров. Компания заняла пятое место в списке крупнейших производителей чипов Компания Huawei вернулась к выпуску собственных однокристальных систем Kirin, и благодаря этому сразу же очутилась в пятёрке крупнейших производителей чипов.  фото: Bloomberg Согласно данным аналитиков Counterpoint, HiSilicon, принадлежащая Huawei, заняла пятое ме...

Представители Virgin Galactic уверены, что инцидент во время полёта Galactic 06 не повлияет на график запуска Galactic 07 Virgin Galactic сообщила, что не ожидает задержки следующего суборбитального запуска, который мог быть вызван расследованием проблемы, которая возникла в их последнем полёте. Компания подчеркнула, что в настоящее время её внимание сосредоточено на разработке самолётов н...

KAMA TYRES запустил производство два новых типоразмера КАМА FLAME M/T На производственных мощностях KAMA TYRES стартовало производство новых моделей шин повышенной проходимости КАМА FLAME M/T. Размерный ряд линейки пополнили модели в типоразмерах 215/75R15 и 215/65R16. Стоит отметить, что ранее производитель отмечал, что данная линейка являетс...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

«Это верный способ довести GM, Ford и Chrysler до банкротства», — требования бастующих прокомментировал Илон Маск Масштабная забастовка United Auto Workers против General Motors, Ford и Stellantis продолжается уже вторую неделю, а генеральный директор Tesla Илон Маск высказался о требований профсоюза рабочих. В ответ другому пользователю, который сказал, что президент Байден соглас...

Новый BMW M5 будет очень мощным, а его масса составит почти 2,5 т. Но Mercedes-AMG GT 63 SE Performance будет мощнее BMW M5 следующего поколения будет одновременно очень мощным и очень тяжелым, как сообщает Сarscoops. При этом у него будет не настолько мощная силовая установка, чтобы превзийти Mercedes-AMG GT 63 SE Performance. Согласно последним данным, новый BMW M5 получит ту же баз...

Производство «российских Rolls-Royce» полностью загружено. Все машины Aurus «расписаны пофамильно» Директора проекта «Единая модульная платформа» ФГУП «НАМИ» Александр Лебедев рассказал о кратном увеличении госзаказа на люксовые российские автомобили Aurus: если раньше госорганы заказывали по 1-2 автомобиля, то сейчас уже по 10-20. Соответстве...

Apple может выпустить в 2024 году сразу два iPhone SE 4 с Face ID, Dynamic Island и по низкой цене С 2020 года Apple выпускает ежегодно четыре разных модели Айфона, и покупатели уже к этому привыкли. В линейку входят два базовых смартфона и два Pro. В каждой паре есть устройство с большим и маленьким экраном, поэтому выбор у потребителей очень простой. Однако в этом году...

16 устройств Apple, которые выйдут в 2024 году В этом году Apple готовится выпустить сразу 16 новых мобильных устройств. В этот перечень входят не только смартфоны, но и планшеты iPad. Узнать эту информацию получилось из утечки, в которой сообщается, какие процессоры компания из Купертино планирует использовать в каждом...

Сокет AM5 будет сменён уже в этом году? Данные о новых процессорах AMD говорят о том, что они будут иметь немного иное исполнение В этом году компания AMD должна представить множество новых процессоров на архитектуре Zen 5. Кроме прочих, там будут и настольные Granite Ridge. Интересно, что они могут получить исполнение не AM5, а AM5+.  По крайней мере именно такое название сокета значится в ...

Google придумала свою нейросеть, которая лучше Chat GPT Еще в мае 2023 года компания Google объявила о том, что планирует использовать две свои исследовательские группы — Brain Team и DeepMind — для одного большого проекта. Компания хотела объединить их в единое подразделение под названием Google DeepMind. Эта новая команда по з...

Статьи в интернете: как превратить текст в источник дохода Каждый, кто решил заняться копирайтингом, столкнется со следующими проблемами – как именно писать и кому предлагать свои услуги? Чтобы найти клиентов, нужно уделить особенное внимание тексту. Он должен быть: понятным – заумные фразы здесь ни к чему, отказаться нужно и от с...

Kia приостанавливает поставки, чтобы не выглядеть слишком успешной в Канаде На фоне рекордных продаж Kia стало известно, что новые автомобили, заказанные канадскими покупателями, задерживаются на складах в стране до 2024 года. Причина заключается в том, что Kia Canada не хочет выглядеть слишком успешной в глазах корпоративного офиса в Южной Кор...

Как поиграть в Once Human и на каких платформах доступна игра? Бета-тест для игры Once Human начался 6 декабря. Участвовать в нём могут только ПК-геймеры, изначально их максимальное количество составляло 20,000, но после хайпа вокруг проекта это число увеличили до 50,000. Вместе с этим разработчики признали, что нагрузка на сервера по...

Названа самая продаваемая модель Suzuki в истории. Это не Vitara и не Jimny Suzuki, производитель автомобилей и мотоциклов со штаб-квартирой в Сидзуоке, Япония, достиг важной вехи, достигнув к концу августа 2023 года совокупного объема продаж автомобилей по всему миру в 80 миллионов единиц. В настоящее время производитель производит автомобили,...

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

Новые смартфоны HUAWEI Pura 70 — это фиаско. Такую халтуру не оценят даже в Китае 18 апреля в КНР состоялась презентация сразу четырех смартфонов HUAWEI Pura 70, и это — отличный повод для разговора не столько о новых устройствах китайского технологического гиганта, сколько о перспективах компании в целом. Линейка «Pura» — правопреемница P-серии, которая...

Тайваньские производители захотели «перенести» штаб-квартиры за пределы острова Тайваньские производители-гиганты рассматривают возможность создания штаб-квартир за рубежом, чтобы обеспечить непрерывность бизнеса в случае «конфликта с Китаем», пишет FT. Этот шаг отражает более широкую тенденцию диверсификации цепочки поставок, поскольку компании «стремя...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Стартап из Великобритании Space Forge готовит спутник для производства полупроводников в космосе Компания Space Forge потеряла свой первый экспериментальный спутник в январе во время неудачного запуска ракеты LauncherOne от Virgin Orbit. Новый спутник, названный ForgeStar-1, отправится в США для запуска в конце 2023 года или в начале 2024 года. Space Forge подписал...

King Price — новый «российский» бренд SSD, блоков питания, корпусов для ПК и других комплектующих. Он принадлежит «Мерлиону» В условиях ухода многих западных брендов электроники с российского рынка, отечественные дистрибуторы ищут новые возможности для развития бизнеса. Одним из перспективных направлений становится выпуск компьютерных компонентов под собственными торговыми марками (СТМ). Так,...

OnePlus 12 получит существенный апгрейд системы камер Официальная презентация смартфона OnePlus 12, если верить информации инсайдеров, состоится позднее в этом году в Китае, а в начале 2024 года смартфон появится уже и на глобальном рынке. Благо, задолго до официального анонса устройства появилась информация о том, что новый см...

Флагманы на Snapdragon 8 Gen 4 получат АКБ на 6000 мАч Известный информатор Digital Chat Station поделился инсайдами о будущем флагманских смартфонов. Утверждается, что некоторые флагманы нового поколения, построенные на процессоре Qualcomm Snapdragon 8 Gen 4, будут оснащены аккумуляторами ёмкостью 6000 мАч. Прогресс будет дости...

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

Санкции США против Китая угрожают Nvidia, AMD и ASML Как пишет Seekingalpha, новые правила США об экспорте чипов в Китай вряд ли окажут сильное краткосрочное влияние на такие компании, как Nvidia и AMD, но в долгосрочной перспективе картина может быть совсем иной. Nvidia и ASML заявили, что эти правила окажут минимальное ...

Первая производственная база в Китае, достигшая углеродной нейтральности при вводе в эксплуатацию — запущен новейший завод Audi На прошлой неделе в Чанчуне, провинции Цзилинь, состоялась церемония запуска Audi FAW New Energy Vehicle Co., Ltd., первого завода по производству полностью электрических моделей Audi в Китае. Согласно официальным сообщениям, новая площадка планирует иметь годовую произ...

OIS, много памяти, быстрая зарядка и мощные процессоры. POCO готовит три отличных и доступных смартфона Если вы выбираете смартфоны по соотношению цены, качества и возможностей, которые получаете при покупке, то точно знаете, что нельзя проходить мимо устройств компании POCO. Выбирая их, за вменяемые деньги вам достается отличное железо и неплохой дизайн. Так что если вы нахо...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Microsoft готовит ноутбуки на базе ИИ Если верить информации иностранных журналистов, компания Microsoft намерена провести фирменное мероприятие в Сиэтле уже 20 мая, чтобы подробно изложить своё видение «AI PC» (речь про компьютер на базе искусственного интеллекта). Это событие состоится за день до начала «Конфе...

iPad Pro получит наиболее качественные OLED-дисплеи Если верить информации инсайдеров, осталось всего несколько дней до долгожданного мероприятия от компании Apple, на котором должны официально представить новый планшетный компьютер iPad Pro. Есть данные, что iPad Pro будет поставляться с лучшим OLED-дисплеем на рынке, что, б...

Игру Pet Fever Fever про гостиницу для животных выпустили на Android Мобильная игра Pet Fever Fever про зоо-бизнес вышла 1 марта в Google Play по всему миру, включая Россию. В App Store есть такая же игра, но немного под другим названием — Pet Shop Fever. К сожалению, она доступна только в Великобритании. В Pet Fever Fever игроки участвуют в...

Крупнейший разработчик ПО SAP наносит последний удар по России: доступ к облачным сервисам отключат 20 марта Немецкая компания SAP продолжает уходить из России, ужесточая антироссийские санкции и в этот раз обещая закрыть доступ россиян к своим облачным сервисам. С 20 марта пользователи больше не смогут пользоваться сервисами компании и даже получить доступ к ранее загруженным...

Volkswagen показала совершенно новый полноприводный Golf R 2025. У него должно быть больше 300 л.с. Volkswagen представила последний бензиновый Volkswagen Golf (это обновленная модель восьмого поколения) четыре дня назад, но тогда о самой мощной версии – Golf R – не было сказано ни слова. И вот сейчас компания показала «самый злой» Golf. Несмо...

Спрос на электромобили оказался не таким, как ожидали в Ford. Компания резко сократила масштабы нового завода и численность персонала Компания Ford сообщила, что новый завод по производству аккумуляторов в Маршалле, штат Мичиган, будет значительно меньше, чем было объявлено первоначально. Ранее в этом году Ford объявил о планах строительства BlueOval Battery Park в Мичигане, завод должен был начать пр...

Раскладушка Xiaomi уложит на лопатки Samsung Galaxy Z Flip и всех остальных конкурентов? Смартфону приписывают огромный для этого класса аккумулятор Компания Xiaomi уже какое-то время выпускает складные смартфоны, но все они имеют форм-фактор книжки. Согласно данным инсайдера Digital Chat Station, компания готовит свою первую раскладушку.  Смартфон якобы получит SoC Snapdragon 8 Gen 3, то есть будет флагманом....

Компания «Симпреал» организовала экскурсию на производство для студентов Орского техникума Студенты Орского технического техникума посетили производственную площадку ПАО «Симпреал» — ведущего российского производителя каолинитового керамического сырья. С лучшими учащимися компания заключит договоры целевого обучения. ПАО «Симпреал», представитель отечественн...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

T-Force представила очень стильную память DDR5 XTREEM Достаточно известный на рынке геймерских компонентов бренд T-Force (входит в состав компании Team Group) официально представил свои новые модули оперативной памяти DDR5 XTREEM с частотой до 8200 MT/сек (не стоит путать с МБ/сек) и внушительными возможностями к разгону. Предс...

Почему Galaxy S24 Ultra мощнее любого другого Android-смартфона на Snapdragon 8 Gen 3 Компания Samsung открыла 2024 год выпуском новых смартфонов Galaxy S24. На фоне других Android-флагманов, представленными на рынке, главной фишкой корейских устройств стал всеобъемлющий искусственный интеллект. Но есть и еще одна уникальная особенность. Старшая модель Galax...

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

Авторы Helldivers 2 хотят расширить число участников рейдов до 16 игроков Командный шутер Helldivers 2 с видом от третьего лица только вышел в релиз несколько дней назад, а разработчики уже планируют будущие обновления. Студия Arrowhead Game внимательно следит за откликом комьюнити, которое оказалось очень заинтересовано в совместном прохождении э...

6000 мА·ч, без AMOLED и всяких излишне мощных платформ. Представлен смартфон Vivo Y38 Компания Vivo анонсировала потенциально дешёвый смартфон с огромным аккумулятором. Модель Y38 получила элемент питания ёмкостью 6000 мАч.  С автономностью тут всё должно быть отлично, так как кроме большого аккумулятора тут ещё и экран HD+, что снижает потребление...

На заводе «Амурсталь» увеличит выпуск черной металлургии на 30% Металлургический завод «Амурсталь» в Комсомольске-на-Амуре готовится к значительному увеличению производства. По словам министра промышленности и торговли региона, Евгения Романова, завод планирует увеличить выпуск металлической продукции на 30% по итогам текущего года, пише...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Skoda официально будет покорять Казахстан с Octavia, Kodiaq, Kamiq и Karoq. Компания планирует выпускать 200 000 машин ежегодно Компания Skoda официально подтвердила предыдущую информацию о том, что она собирается начать производство автомобилей в Казахстане. Крупнейший производитель автомобилей в Чешской Республике планирует вернуться в Казахстане, откуда компания ушла в 2021 году, сразу с четы...

«Мы больше не конкурентоспособны, как бренд Volkswagen». Глава компании таким образом объяснил грядущую программу экономии Компания Volkswagen не считает себя конкурентоспособной. Об этом на совещании персонала заявил лично генеральный директор компании Томас Шефер (Thomas Schäfer).  Из-за многих из наших ранее существовавших структур, процессов и высоких затрат мы больше не конку...

Huawei уже заменила компанию Nvidia в Китае: она поставляет ИИ-чипы для Baidu Китайская компания Baidu заказала чипы, предназначенные для использования с ИИ-приложениями, у Huawei, вместо традиционного американского поставщика Nvidia. Это решение было принято после введения США санкций, запрещающих американским компаниям поставлять подобные проду...

Tenis: как загнать все мячи на один корт, или Как мы решились на создание своего алерт менеджера Мы в Ivinco помогаем нашим клиентам строить, развивать и поддерживать инфраструктуру. C некоторыми из них мы работаем уже более 10 лет, с другими только начинаем. Все это естественным образом предполагает, во-первых, гетерогенную среду для работы и, во-вторых, соседство лега...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Россияне наели почти на 1 трлн рублей: сколько потратили на заказ продуктов в интернете в 2023 году, рассказали «Ведомости» В 2023 году россияне увеличили свои расходы на заказ продуктов через интернет на 47%, сумма достигла 917,5 млрд рублей, согласно данным исследования Infoline, о чем сообщила газета «Ведомости». Аналитическая компания Data Insight оценила объем доставки скоро...

Гонка за нанометры: TSMC, Samsung и Intel стремятся как можно быстрее выпустить 2-нм чипы Для электронной промышленности значение имеет каждый нанометр, когда речь заходит о современных производительных чипах. Работа в направлении модернизации техпроцессов, на базе которых выпускаются эти компоненты, — передний край научно-технического прогресса. Заниматься решен...

Процессоры Intel Xeon Granite Rapids получат до 480 МБ кэш-памяти L3 Увеличение кеша должно повысить производительность будущих процессоров Intel и помочь сократить разрыв с конкурирующими решениями от компании AMD

Sony хочет побить рекорд продаж PS4 Sony: «Мы хотим, чтобы PS5 превысила 14,8 млн продаж PS4 за второй год , но нехватка запчастей может порушить все планы Sony. Sony планирует продать более 14,8 миллиона единиц PlayStation 5 в течение второго финансового года продаж, с апреля 2021 по март 2022 года. Эт...

В новом тесте 24-ядерный Core i9-14900K сильно уступил восьмиядерному Ryzen 7 5800X3D. Новинки Intel протестировали в игре Factorio Официально ещё не представленные процессоры Intel Core 14-го поколения протестировали в игре Factorio. В данном случае в тесте поучаствовало сразу три новинки: Core i5-14600K, Core i7-14700K и Core i9-14900K. К слову, именно их Intel может представить 18 октября, тогда ...

Смартфоны Honor 100 уже доступны для заказа в Китае, официальная премьера – на следующей неделе В Китае начали принимать предварительные заказы на будущие смартфоны Honor линейки 100, одновременно раскрыта дата премьеры – 23 ноября. Технических подробностей пока очень мало: аппараты будут поддерживать зарядку мощностью 100 Вт, а также получат SoC Qualcomm S...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

В «Альфа-банке» назвали фейком информацию об утечке данных пользователей В ряде Telegram-каналов появилась информация о том, что хак-группы KibOrg и NLB публикуют в открытом доступе данные, которые, по их словам, принадлежат клиентам «Альфа-Банка». Представители банка заявили, что «это фейк» и данные клиентов в безопасности.

Kia просит дилеров продавать новейший топовый кроссовер без наценок, но не факт, что у них это получится Первый электрический семиместный автомобиль Kia начнет поступать в салоны США до конца года. Клиенты уже могут оформить предварительный заказ на базовый EV9 Light по цене 56 395 долларов. Как стало известно, южнокорейский производитель хочет защитить своих клиентов от н...

По Cyberpunk 2077 снимут полноценную экранизацию У нас просто отличные новости — появилась информация о том, что в ближайшем будущем будет запуск разработки экранизации видеоигры Cyberpunk 2077 от CD Projekt Red. Польский разработчик игр сотрудничает с медиа-компанией Anonymous Content, которая работала над сериалами «На п...

Исследование обнаружило необходимость вложений в $2 млрд для расширения порта Канаверал Недавнее исследование компании Space Florida рекомендует инвестиции в размере более $2 миллиардов для расширения порта Канаверал, чтобы удовлетворить растущие потребности компаний, участвующих в запусках космических миссий. В настоящее время порт Канаверал используется ...

50 вопросов для опроса о клиентской лояльности, для вашего вдохновения Когда дело доходит до устойчивого роста и успеха бизнеса, один из ключевых аспектов, который нельзя игнорировать, — это клиентская лояльность. Этот невидимый, но мощный ресурс является жизненно важным компонентом, который отличает процветающие компании от тех, кто борется за...

Китайцы готовы разрабатывать автомобили специально для России С довольно неожиданным заявлением выступил посол КНР в России Чжан Ханьхуэй во время проведения второго Российско-китайского автопромышленного форума. Он сказал, что Китай готов разрабатывать автомобили специально для России. Несмотря на наличие собственного завода в Р...

Проверка компании Boeing выявила десятки проблем в производстве Boeing 737 Американское Федеральное управление гражданской авиации (ФАУ) провело проверку производственных линий Boeing, результаты которой выявили, что авиационный гигант мог сознательно игнорировать нормы безопасности производства и тем самым поставить под угрозу жизнь пассажиров.

ЕвроХим ввел в эксплуатацию современный комплекс по производству фосфатных удобрений в Бразилии ЕвроХим запустил в промышленную эксплуатацию новое предприятия по производству фосфорных удобрений в городе Серра-ду-Салитри, Бразилия. Новый комплекс – крупнейшая за последнее время инвестиция Группы в создание новых производственных мощностей за пределами Российской Федера...

crm для фитнес клуба crm для фитнес клуба— это программа, которая помогает управлять отношениями с клиентами. Она собирает данные о посетителях, ведет запись на занятия, контролирует оплату абонементов и многое другое. CRM для фитнес-клуба должна обладать следующими функциями: 1. Ведение клие...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Apple купит канадский ИИ-стартап для наблюдения за производством По информации издания Bloomberg, компания Apple добавила в свой список покупок еще одну стартап-компанию в области искусственного интеллекта — канадскую DarwinAI, специализирующуюся на технологиях компьютерного зрения для наблюдения за производственными процессами с целью по...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Ford отзывает машины чаще всех, Volkswagen значительно улучшила своё положение, а Tesla «наградили» за крупнейший отзыв в этом году По данным Национальной администрации безопасности дорожного движения (NHTSA), по состоянию на 20 декабря, компания Ford произвела 54 отзыва автомобилей, которые потенциально могут затронуть 5 692 135 автомобилей в 2023 году, больше, чем у любого автопроизводителя. Ford ...

Как направить трафик из инстаграм на сайт Сегодня инстаграм – самая быстрорастущая социальная сеть. Стоит только представить, что число пользователей инстаграм только за последние 9 месяцев увеличилось на 100 млн, и станет понятно, что без своего аккаунта ежедневно вы теряете сотни потенциальных клиентов. И если вы...

Московские производства увеличили выпуск комплектующих для электротранспорта Министр правительства Москвы Владислав Овчинский сообщил о росте производства комплектующих для электротранспорта в столице. По его словам, московские компании активно развивают производство инновационных зарядных станций и новых видов аккумуляторных батарей. По итогам прошл...

Подъехал к станции, заменил аккумулятор за три минуты и поехал дальше. Nio расширяет инфраструктуру по замене батарей у электромобилей Китайская компания Nio вкладывает средства в расширение сети станций по замене батарей у электромобилей, чтобы получить преимущество в развитии этой инфраструктуры.  фото: Bloomberg/Getty Images С ноября 2023 года Nio заключила партнерские отношения минимум с четы...

Пока Intel отказывается от Hyper-Threading, AMD создаёт мобильных монстров. Компания подтвердила основные параметры новых APU Ryzen Strix Point Компания AMD подтвердила основные характеристики своих грядущих мобильных гибридных процессоров Strix Point.   Они выйдут в этом году и будут полностью новой разработкой. Процессорная часть будет представлена ядрами Zen 5, графическая — архитектурой RDNA 3+ ...

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Это последний базовый Bugatti Chiron мощностью 1500 л.с. Автомобиль доставлен заказчику Bugatti объявила, что Chiron, наконец, подходит к концу своего жизненного цикла, через восемь лет после его появления в 2016 году. Это последний автомобиль, покинувший завод Bugatti в Мольсхайме и финальная версия Chiron 1500 PS. Автомобиль полностью доработан собственн...

Как правильно выбрать смартфон на процессоре Snapdragon и не прогадать После прочтения текста о главном обмане процессоров MediaTek у многих людей могло возникнуть впечатление, будто в чипах MTK сложно разобраться, ведь тайваньская фирма то и дело перевыпускает старое железо. Однако путаница возникает и при беглом взгляде на процессоры Snapdra...

Новые флагманы на Android держат заряд дольше предшественников. И вот почему Посредственная автономность продолжает оставаться главным недостатком смартфонов. Прожорливость процессоров, которые с каждым годом становятся только мощнее, растет, а самая распространенная емкость АКБ вот уже несколько лет держится на отметке 5000 мАч. У дорогих моделей ф...

Huawei триумфально возвращается. Стартовая партия Mate 60 включает более 10 млн смартфонов, ожидаются рекордные продажи за последние годы Huawei планирует отгрузить не менее 10 миллионов устройств серии Mate 60, о чем сообщает Huawei Central со ссылкой на инсайдерскую информацию. Издание подтверждает, что компания пытается удовлетворить большой спрос со стороны потребителей. По имеющимся данным, компания ...

MediaTek показала Dimensity 8300. Дешевый чип с флагманскими возможностями ИИ Компании Qualcomm и MediaTek уже анонсировали флагманские процессоры для смартфонов с поддержкой функций генеративного искусственного интеллекта. Как обычно, технологии заходят в наш мир с верхних сегментов, но рано или поздно опускаются в средний и бюджетный. В этот раз эт...

Появились первые упоминания Windows 11 версии 24H2 В недавно выпущенной инсайдерской сборке Windows 11 для канала Canary энтузиасты обнаружили новую групповую политику под названием «Enable Delegated Managed Service Account», для которой требуется Windows 11 24H2 или более новая версия ОС. Это вполне можно считать подтвержд...

iPhone 16 Pro получит чип Apple A18 Pro, улучшенный специально для работы искусственного интеллекта По словам Джеффа Пу из Haitong International Tech Research, Apple планирует внести изменения в чип A18 Pro специально для искусственного интеллекта. Пу также пишет, что Apple наращивает производство чипов A18 Pro раньше обычного. Согласно данным из цепочки поставок, мы ...

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

«Это просто неправда», — Илон Маск опроверг информацию о привлечении инвестиций на полмиллиарда долларов в xAI Илон Маск опроверг информацию о том, что его компания xAI получила инвестиции в размере 500 миллионов долларов. «Это просто неправда», — заявил он в ответ на сообщение пользователя о соответствующей статье Bloomberg в социальной сети X. Стартап искусст...

Кейс: использование 3D-Принтера Volgobot A4 PRO компанией 3DREX Volgobot продолжает активно сотрудничать с различными организациями и предприятиями. Мы работаем не только с крупными, но и c молодыми развивающимися компаниями. Сегодня хотим рассказать об одном из таких клиентов – компания 3DREX. Познакомимся с деятельностью организации.К...

Toyota приостановила производство на четырех заводах из-за фальсификации данных Японский автомобильный концерн Toyota Motor временно приостановил работу шести производственных линий на четырех заводах в Японии из-за фальсификации данных о мощности дизельных двигателей, предоставленных подрядчиком Toyota Industries Corporation. Эту информацию переда...

iPhone 16 получит уникальный дизайн системы камер Смартфоны iPhone 15 и iPhone 15 Plus от Apple получили весьма сдержанные комментарии от журналистов и покупателей, так как обе модели представляют весьма скудный перечень изменений по сравнению с iPhone 14. Однако Apple продолжает работать над улучшением дизайна своих будущи...

Стоит ли ждать iPhone 16 Pro или лучше купить iPhone 15 Pro прямо сейчас Когда приходит пора заменить старый Айфон на какую-нибудь новую модель, то возникает закономерный вопрос: а стоит ли делать это прямо сейчас или лучше немного подождать и купить более свежий аппарат. Сторонники каждого из этих подходов обычно делятся поровну, и сделать выбо...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Для BarbarQ 2 проведут тестирование на Android Разработчики BarbarQ 2 собираются протестировать данную мобильную игру в Китае. Поиграть смогут геймеры на Android. Как и с Tarisland и Need for Speed Assemble, нужно будет не просто скачать клиент игры, но также и зарегистрироваться, чтобы получать квалификацию. Предзагруз...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Snapdragon 8 Gen 4 вернёт Qualcomm лидерство? Компания Arm якобы испытывает проблемы с суперъядром Cortex-X5 Согласно свежим данным, у Arm имеются проблемы с разрабатываемым ей суперъядром Cortex-X5.   Проблемы касаются энергоэффективности. Инсайдер Revegnus говорит, что при работе на высокой частоте ядро потребляет слишком много энергии, а при ограничении лимита мощност...

Apple откажется от технологии Touch ID С выходом iPhone X в 2017 году компания Apple отошла от технологии аутентификации при помощи сканера отпечатков пальцев Touch ID. Смартфон получил совершенно новую систему распознавания лица под названием Face ID и с тех пор Apple не возвращалась к технологии Touch ID, несмо...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Сделаем для вас биометрию. Отказаться не получится Сейчас стало модно везде использовать биометрические данные для авторизации и иногда мы не замечаем как и куда такие данные передаются. Так как у биометрических данных есть такая проблема, что их вообще никак нельзя сменить в случае компрометации, то направо и налево раздава...

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

Asus обновила BIOS материнских плат на чипсетах AMD 600 для поддержки будущих AMD Ryzen 8000: список моделей Asus обеспечила совместимость своих материнских плат на чипсетах AMD серии 600 c будущими процессорами Ryzen 7000 и Ryzen 8000 — для этого была выпущена новая версия BIOS. Одновременно компания обновила приложение USB BIOS FlashBack, позволяющее прошить материнск...

Стартап Evolution Space открывает центр производства твердотопливных ракетных двигателей в NASA Stennis Space Center Стартап Evolution Space, занимающийся разработкой твердотопливных ракетных двигателей, подписал соглашение о создании производственно-испытательных операций на космическом центре NASA в Стеннисе. 10 октября компания объявила, что достигла соглашения о создании производс...

Apple в прошлом финансовом году собрала iPhone в Индии на $14 млрд Агентство Bloomberg, ссылаясь на свои источники, оставшиеся инкогнито, поскольку информация носит непубличный характер, сообщило о том, что Apple на начало 2024 календарного года вывела из КНР в Индию 14% производственных мощностей для производства iPhone.

Tesla купит огромное количество ускорителей Nvidia H100. Вероятно, на сумму в несколько миллиардов долларов Компания Tesla собирается потратить на ускорители для ИИ компании Nvidia огромную сумму. Речь, видимо, о нескольких миллиардах долларов только в этом году.  Илон Маск, отвечая на комментарий в социальной сети X, рассказал, что сумма будет намного больше, чем 500 м...

В iPhone 16 появится дополнительная емкостная кнопка Capture, экран увеличится до 6,3 дюйма, а камеры расположат вертикально, как у iPhone 12 Ожидается, что серия iPhone 16 получит дополнительную емкостную кнопку Capture, которая проходит под кодовым названием Project Nova. Она станет одним из главных новшеств линейки iPhone 16, если она успешно пройдет этап тестирования. Инсайдерскую информацию предоставило ...

Индия укрепляет свою позицию в космической отрасли: Изменения в правилах привлекут глобальных инвесторов Индия обновила правила в космическом секторе, чтобы привлечь глобальных инвесторов и компании, после того как четыре года назад открыла его для частных компаний. Новая политика прямых иностранных инвестиций повышает ограничения на иностранные инвестиции, что должно прос...

Huawei Pura 70 на 90% состоится из китайских деталей. Huawei движется к 100% Новый флагман Huawei, серия Pura 70, получил более 90% компонентов китайского производства, за исключением процессора и основной камеры самой дорогой модели. Разборка, проведенная японской исследовательской фирмой Fomalhaut Techno Solutions, показывает, что китайские по...

В России начали серийно выпускать встраиваемые в стену зарядные станции В пресс-службе торгово-производственного холдинга (ТПХ) «Русклимат» сообщили, что компания «Национальные зарядные системы» запустила массовое производство новой зарядной станции, встроенной в стену, предназначенной для электромобилей. Устройство, разработанное собственными с...

Range Rover'ов с 24-дюймовым колесами не будет Chevrolet Silverado EV получил первые заводские 24-дюймовые колеса, в то время как другие автопроизводители по мере необходимости переходят на 22- и 23-дюймовые колеса. Но некоторые компании слишком боятся устанавливать на свои автомобили колеса больше 23 дюймов. В неда...

Владельцы топовых процессоров Intel массово пытаются вернуть CPU в магазины из-за проблем в играх. Только в Южной Корее это более 10 человек в день Похоже, проблема с мощнейшими процессорами Intel в играх имеет более масштабный характер, чем могло бы показаться. Как сообщается, в одной только Южной Корее в среднем каждый день более 10 пользователей обращаются в магазины, пытаясь вернуть CPU Core из-за проблем в игр...

Тут, все что известно о Galaxy Watch 7. Стоит ли ждать, или купить сейчас Galaxy Watch 6 Samsung Galaxy Watch 6 — одни из лучших смарт-часов, которые вы можете купить для любого телефона. Хотя до выхода новых часов еще более полугода, я бы хотел, чтобы в 2024 году Samsung сделала несколько более смелых шагов с новыми Galaxy Watch. В моем списке желаний Samsung ...

Почему в телефонах до сих пор нет спутниковой связи. В этом виноваты мы сами Помните, как нам говорили, что мы получим телефоны со спутниковой связью от всех компаний, которые их вообще выпускают? По началу в это верилось, хотя и сомнения тоже были. Была даже сделка между Iridium и Qualcomm, которая должна была обеспечить спутниковую связь, но и тут...

Классификация экзопланет (часть I обработка данных) В космосе есть нечто завораживающее и прекрасное, в то же время человек устроен так, что ему если ему что то не известно, то стоит этого бояться (спасибо нашим мамам папам в n-ном поколении за столь широкий диапазон восприятия информации и реагирования на неё), тем не менее ...

«Это потрясёт мир», — глава PayPal заинтриговал общественность и уволил 2500 человек Несколько дней назад генеральный директор PayPal Алекс Крисс заявил, что компания сделает важный анонс, добавив: «Это потрясет мир». Речь шла об улучшениях сервиса, основанных на искусственном интеллекте, включая уменьшение задержки при оформлении заказ...

10 миллионов флагманов в год. Xiaomi ввела в строй передовой самообучающийся завод по производству смартфонов Сегодня Xiaomi показала свой новейший флагман Xiaomi 14 Ultra и раскрыла дату его официальной премьеры. Одновременно глава бренда Лю Вейбинг (на этой позиции он сейчас заменяет Лея Цзуня) рассказал о новом заводе, на котором, следует полагать, и будет производиться Xiao...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Тайваньская TSMC стала флагманом производства чипов по объёму выручки Тайваньский финансовый аналитик Дэн Нистедт привёл статистику, согласно которой один из мировых производителей полупроводников, компания TSMC, стала лидером отрасли не только по объёму поставок, но и выручки.

Всего 1000 евро, и вы получаете карту с нитями ДНК, на которой можно хранить... 1 КБ данных Не секрет, что молекулу ДНК можно использовать для хранения данных. Всего один грамм ДНК способен хранить сотни петабайт данных. И хотя это сугубо теоретические расчёты, компания Biomemory уже сейчас предлагает готовый продукт на основе такого метода.  Biomemory п...

В России будет больше дешёвых машин: АвтоВАЗ значительно увеличит производство самых бюджетных Lada Granta Волжский автомобильный завод (АвтоВАЗ) планирует существенно нарастить выпуск автомобилей Lada Granta в базовой комплектации Standard в 2024 году. Об этом сообщил источник на заводе порталу Авто Mail.Ru. По словам собеседника, речь идет о нескольких десятках тысяч автом...

MediaTek легко обходит Apple и Qualcomm. Компания продала больше всех SoC для смартфонов в прошлом квартале Компания MediaTek в прошлом квартале продала больше однокристальных систем для смартфонов, чем любая другая компания, причём с огромным отрывом от конкурентов.  Согласно данным Canalys, MediaTek за прошлый квартал поставила 117 млн SoC, что на 21% превышает показа...

Samsung Galaxy S25 и прочие будущие флагманы могут подорожать из-за «удивительного уровня производительности» Snapdragon 8 Gen 4 На состоявшемся на этой неделе мероприятии под названием Snapdragon Summit 2023 компания Qualcomm представила топовые однокристальные системы Snapdragon 8 Gen 3 (для смартфонов) и Snapdragon X Elite (для ноутбуков под управлением Windows). Также в компании сообщили, что...

Стартап Hivemapper устремился против Google Street View с новым видеорегистратором Bee Стартап Hivemapper, специализирующийся в области картографии, представит новый видеорегистратор в текущем году. По мнению соучредителей, это  ускорит усилия по отвоеванию доли рынка у Google. Камера Hivemapper Bee, которая была анонсирована на прошлой неделе, стане...

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

Нужно больше Lada Granta. АвтоВАЗ увеличит производство своей самой популярной модели АвтоВАЗ планирует увеличить производство своей самой массовой и доступной модели, Lada Granta, о чем сообщил инсайдерский паблик Avtograd News в соцсети «ВКонтакте». АВТОВАЗ планирует увеличить выпуск автомобилей LADA GRANTA в последний месяц 2023 года. Для ...

Раскрыты характеристики HEDT процессоров Intel Xeon W3500/W2500 Обновленные линейки процессоров получат увеличенное количество ядер и схожий уровень мощности

Xiaomi добавит в свои машины ДВС для увеличения запаса хода Компания Xiaomi, по сообщению китайских СМИ, работать над системами увеличения запаса хода (EREV) для электрифицированных моделей. Производитель уже набирает инженеров-разработчиков с соответствующим опытом. Согласно описанию должностей, инженеры будут отвечать за разра...

Nvidia теперь уступает только Apple. Компания стала вторым по величине клиентом TSMC Компания Nvidia так разрослась благодаря буму на искусственный интеллект, что в итоге стала вторым по величине клиентом TSMC.  Согласно свежим данным, в 2023 году на долю Nvidia пришлось 11% всей выручки TSMC — крупнейшего в мире производителя полупроводнико...

Hyundai строит первый южнокорейский автомобильный завод на Ближнем Востоке Южнокорейская компания Hyundai Motor Group построит автомобильный завод в Саудовской Аравии совместно с Государственным инвестиционным фондом (PIF), суверенным фондом благосостояния Саудовской Аравии, о чем заявил президент Южной Кореи Юн Сок Ёль. Юн выступал в Эр-Рияде...

Похоже, Xiaomi знает, как сделать Snapdragon 8 Gen 3 еще лучше Xiaomi 14 и Xiaomi 14 Pro — первые флагманы, выпущенные на базе нового процессора Qualcomm Snapdragon 8 Gen 3. Это позволяет отнести эти устройства к числу лучших телефонов на базе Android, при условии, что в ближайшие недели они поступят в международную продажу. Одна...

Мощность, как у 52 Nvidia H100, 1 ТБ ОЗУ, и всё это за 5000 долларов? Tachyum обещает выпустить рабочую станцию Prodigy ATX Platform на своём суперпроцессоре Компания Tachyum, которая ещё в 2020 году представила 128-ядерный универсальный процессор Prodigy с какой-то запредельной производительностью, анонсировала рабочую станцию, которая будет по карману многим обычным пользователям.  ПК называется Prodigy ATX Platform ...

Новые MacBook Pro и iMac уже доступны для предзаказа в России. Названы цены Новые iMac и MacBook Pro, которые были представлены этой ночью в 3:00 по московскому времени, можно заказать эксклюзивно на платформе CDEK.Shopping. На платформе для заказа товаров из-за рубежа CDEK.Shopping доступны для заказа MacBook Pro на базе SoC Apple М3 стоимость...

6 отличий MacBook Pro 14 M3 от MacBook Pro 13 M2, кроме процессора В этом году Apple не стала заморачиваться и решила навсегда избавиться от базового MacBook Pro 13. На последней презентации его место занял MacBook Pro 14 с процессором M3. Ранее такая модель комплектовалась только чипсетами Pro и Max. Вот только чем эти ноутбуки отличаются...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

Поезд «Jira – Kaiten». Путь Х5 Около года назад мы начали миграцию с системы Jira, которая использовалась для управления задачами, на российскую платформу Kaiten. Проект миграции – весьма амбициозный, ведь всего в системе у нас работают около 7 500 пользователей с огромным количеством сложных процессов. В...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Bing Chat получил поддержку DALL-E 3 Система генерации изображений в Bing Chat, которая работает на базе искусственного интеллекта, сегодня получила значительное обновление — компания Microsoft объявила, что модель DALL-E 3 от OpenAI теперь доступна для всех пользователей Bing Chat и Bing Image Creator. Стоит о...

V8 и V12 останутся еще надолго: Aston Martin будет выпускать машины с ДВС пока это будет разрешено законом Aston Martin отложила свои планы по выпуску своего первого электромобиля с 2025 на 2027 год и соответственно увеличила инвестиции в гибридную технологию. По словам исполнительного председателя Aston Martin Лоуренса Стролла, «всегда будет спрос» на автомобили...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Apple Watch Series X получат новое крепление ремешков Если верить информации западных инсайдеров, умные часы Apple Watch Series X будут уникальным в своём роде продуктом — компания отметит этим релизом 10-летний юбилей с момента запуска своих первых умных часов. С тех пор компания Apple упорно работает, выпуская новые модели с ...

Unisoc сделала то, что пока не могут сделать Samsung, MediaTek и Qualcomm. Компания обеспечила поддержку Android 14 на всех своих смартфонных платформах с модемами 5G и LTE Платформы Unisoc – простые и маломощные – используются в бюджетных смартфонах, которые не могут похвастаться выдающимися характеристиками. До недавнего времени и переход на новую версию Android для этих устройств был чем-то очень редким. Но сейчас все измени...

В каких случаях умная колонка хуже обычной, и вам лучше сэкономить деньги Многие люди ошибочно полагают, будто умная колонка отличается от обычной только наличием голосового ассистента, который и превращает ее в смарт-устройство. Но на самом деле это два непохожих друг на друга прибора, предназначенных для совершенно разных целей. Чтобы вы смогли...

Почему складные телефоны такие дорогие и станут ли они дешевле Что вы знаете о складных смартфонах? Наверное, первое, что приходит в голову — их стоимость. Они действительно очень дорогие на фоне остальных устройств, и поэтому до сих пор не стали массовыми. Но, как говорится, еще не всё потеряно, и знаком того, что они скоро подешевеют...

OnePlus сделала топовый смартфон до 30000 рублей. После него POCO X6 Pro уже не кажется таким крутым В конце прошлого месяца, когда на AliExpress проходила очередная распродажа, я рассказывал о смартфоне OnePlus Nord CE3, который на тот момент казался лучшим предложением в категории до 25 тысяч рублей. И с этим было сложно спорить, ведь за относительно небольшую сумму нам ...

Искусственный интеллект оказался тысячью индийцев. Amazon закрывает проект бескассовых магазинов Just Walk Out Компания Amazon неожиданно заявила о том, что отказывается от своей концепции магазинов Just Walk Out. Напомним, в этих магазинах нет никакого обслуживающего персонала, включая кассиров.  Система Just Walk Out опирается на огромное количество датчиков и камер, а т...

Характеристики Intel Core i9-14900KS окончательно слили в сеть Сегодня в сети появилась детальная информация о процессоре Intel Core i9-14900KS — ритейлер представил страницу продукта и указал все характеристики нового чипа, который в ближайшее время должен поступить в продажу. Чип Intel Core i9-14900KS будет первым в мире процессором, ...

Tele2 стал, первым, кто из операторов адаптировал мобильное приложение под Apple Vision Pro Tele2, российский оператор мобильной связи, объявил об адаптации своего мобильного приложения "Мой Tele2" для шлема смешанной реальности Apple Vision Pro. В связи с растущей долей цифровых клиентов в своей абонентской базе, Tele2 внесла дополнительные настройки в последнее...

На АвтоВАЗе появился первый «Идеальный участок ремонта» Полгода назад на АвтоВАЗе стартовал проект «идеального» ремонтного участка. В производстве двигателей новый участок открыли с опережением графика, о чем пишет «Лада.Онлайн». В производстве двигателей не просто сделали новый полимерный пол и уста...

Кому Zeekr, а кому Sollers: российский бренд открыл первый официальный дилерский центр в Белоруссии Российский автопроизводитель Sollers сделал важный шаг в укреплении своих позиций на рынке Белоруссии, открыв первый официальный дилерский центр в стране. Новый автосалон, расположенный в Минске, призван обеспечить белорусских потребителей качественными автомобилями и с...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)