Социальные сети Рунета
Суббота, 11 мая 2024

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

В Intel раскрыли некоторые особенности новых процессоров Arrow Lake и Lunar Lake Arrow Lake будет производиться на технологического процесса N3 от TSMC, а уже Lunar Lake будет создан на N3B

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

В 2025 году компания Seagate планирует начать выпуск жестких дисков ёмкостью свыше 40 ТБ Хранители информации будут производиться с использованием технологии магнитной записи с термоусадкой

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Выпущена Orange Pi Neo – портативная консоль на AMD Ryzen 7 за $500 Компания Orange Pi представила свой первый игровой портативный компьютер Orange Pi Neo в феврале этого года. Хотя на презентации производитель раскрыл большую часть подробностей об устройстве, тогда компания не раскрыла информацию о стоимости консоли. ОсобенностиOrange…

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Утечка: Характеристики и дизайн Samsung Galaxy S24 раскрыли Инсайдер Эван Бласс, который выкладывает достоверную информацию о новых Android-смартфонах, раскрыл характеристики и дизайн Samsung Galaxy S24.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Apple готовит процессор на 2 нанометрах В сентябре текущего года на фирменном мероприятии компания Apple анонсировала новые модели iPhone 15 Pro с флагманским чипом A17 Pro. Это было достаточно интересное решение, так как стандартные модели без индекса Pro всё ещё оснащены чипом A16 Bionic и производятся по старом...

Mitsubishi выпустит две модели на базе автомобилей Renault Mitsubishi добавит еще две модели от Renault Group, чтобы расширить свой ассортимент в Европе, начиная с компактного полностью электрического кроссовера от подразделения Renault Ampere EV в 2025 году. Японский автопроизводитель вслед за компактным кроссовером выпустит е...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

Бывший руководитель Meizu поделился информацией об AR-гарнитуре Huawei Vision Pro В 2021 году компания Huawei представила Vision Glass - накладное устройство с MicroOLED-дисплеем 1080p

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Intel Core i9-14900KS будут продавать за 750 долларов Если верить информации из сети, процессор Intel Core i9-14900KS должен отправиться в релиз в самое ближайшее время, буквально на следующей неделе. К сожалению, никто так и не смог слить в сеть информацию о том, сколько будет стоить данный процессор, но сегодня магазин MicroC...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

ZOTAC представила линейку видеокарт GeForce RTX 40-серии SUPER Компания ZOTAC Gaming сообщила о своих картах GeForce RTX 40-серии SUPER, раскрыв новую информацию о ценах на некоторые модели

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Крупная утечка раскрыла детали чипов грядущих моделей iPad и iPhone Утечка информации о следующих iPhone и iPad, которые Apple представит в 2024 году, и процессорах, которые они будут использовать.

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Meta* должна раскрыть ЕС всю информацию о принципах работы в кратчайшие сроки Похоже, вслед за Apple Еврокомиссия всерьёз взялась за американскую компанию Meta*, которая обязана до 15 марта раскрыть полную информацию о принципах своей работы.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Nubia Z60 Ultra готовится улучшить производительность широкоугольной камеры На этот раз компания раскрыла ключевую информацию о широкоугольной камере устройства

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Amazon теряет второго руководителя AWS в Индии и Южной Азии менее чем за год Вайшали Кастуре, временный руководитель Amazon Web Services (AWS) в Индии и Южной Азии, покинула компанию всего через семь месяцев после назначения.

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

R-Style Softlab представила решения для интеграции с ГИС Компания R-Style Softlab, разработчик программного обеспечения для финансовой сферы и системный интегратор, входящий в группу Россельхозбанка, провела вебинар «Как быстро проверить информацию о клиентах», адресованный представителям банковской сферы и руководителям микрофина...

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Офис больше не «король»: компании отдали предпочтение гибридной работе Руководители компаний решили сдаться перед идеей с полным возвращением к офисной работе. Новый опрос KPMG, проведенный среди руководителей крупнейших американских компаний, свидетельствует о резком переходе к гибридной работе.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

На авиасалоне FIDAE компания Boeing раскрыла детали обновления самолета P-8 Poseidon Во время авиасалона FIDAE 2024 компания Boeing представила обновленную информацию о модернизации патрульного противолодочного самолета P-8 Poseidon.

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

ИИ модель GPT-4 можно обманом заставить раскрыть личную информацию GPT-4 от OpenAI, преемник GPT-3.5, по результатам исследования, проведенного при поддержке Microsoft, показал более высокую надежность в плане защиты частной информации и предотвращения токсичного или предвзятого контента. Однако он также более уязвим для «взлома».

Взлом компании Sisense может раскрыть информацию ряда крупнейших компаний мира Агентство по кибербезопасности и защите инфраструктуры США (CISA) заявило, что расследует недавний взлом компании Sisense, занимающейся анализом данных. CISA предупреждает, что атака затронула организации критической инфраструктуры страны и призывает клиентов Sisense срочно ...

AMD Radeon RX 7600 XT выходит в продажу 24 января Сообщается, что AMD не будет выпускать эталонную модель, и все карты будут производиться партнерами компании

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

В сеть утекла информация о новом фитнес-трекере Samsung На официальном сайте Samsung UAE была случайно опубликована информация о предстоящем фитнес-трекере Galaxy Fit 3. Утечка раскрыла несколько ключевых деталей, сообщили Samsung Community и Gadgets & Wearables.

Стали известны характеристики возможного чипсета в смартфоне POCO F6 Новые утечки теперь раскрыли больше информации о чипсете, который, как ожидается, будет использоваться в следующем "убийце" флагманов — Poco F6

Honor официально представит Magic6 на выставке Mobile World Congress в феврале Компания Honor раскрыла дату презентации серии флагманских смартфонов Magic6 на международном рынке. Соответствующая информация появилась на официальном тизере, демонстрирующим место, дату и время предстоящего мероприятия

Записи в драйверах раскрыли информацию о линейке процессоров Snapdragon X Процессоры будут разделены на две линейки: Elite и Plus

Проблема непонимания существующего кода, или Как руководству делать не надо Бывает так, что в продуктовой IT-компании выстраивается иерархия, в которой верхние уровни работников компании совершенно не понимают как производится продукт, который компания производит и продаёт. По сути руководители знают как продать, но не знают как произвести. Для прои...

Rocket Lab выиграла контракт на 515 млн долларов на создание 18 спутников для правительства США Компания пока не раскрыла информацию о клиенте. Источники указывают, что спутники предназначены для Агентства космического развития

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Китайцы в последний момент отказались от покупки завода Ford, который «дорого заплатит» за увольнение сотрудников Компания Ford надеялась, что сможет продать свой завод в Саарлуисе, Германия , но переговоры с потенциальным инвестором провалились, и сейчас завод находится на этапе тщательной реконструкции. Как пишет carscoops со ссылкой на собственные источники, Ford провел перегово...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Глава Xiaomi Redmi раскрыл характеристики смартфонов серии Redmi K70 В преддверии ожидаемого анонса новой серии смартфонов Redmi K70, запланированного на 29 ноября, компания Xiaomi раскрыла некоторые ключевые характеристики этих устройств.

От создателей самого продаваемого китайского электромобиля. Появились подробности о седане Wuling Starlight Компания Wuling раскрыла более подробную информацию о дизайне своего будущего электрического седана. Starlight будет доступен в шести различных цветах (Star White, Star Violet, Star Phantom Green, Star Wild Grey, Star Curtain Black и Star Glow Curry). Помимо цвета, клие...

iPhone 16 Pro может получить цвета Desert Titanium и Titanium Grey. Опубликованы новые рендеры Инсайдер Majin Bu раскопал информацию о том, что iPhone 16 Pro может получить, как минимум, два новых цвета. Судя по информации, которую мне удалось найти, новыми цветами iPhone 16 Pro могут быть Desert Yellow (Desert Titanium), похожий на золотой iPhone 14 Pro, но боле...

В России вновь официально продают моторное масло Motul, причем дистрибутор снизил цены с 1 апреля На недавно прошедшей в Москве выставке «Мотовесна-2024» был представлен стенд Motul. Как узнал корреспондент «АвтоВзгляда», моторные масла этой французской компании вновь официально продаются в России. Поставляют их из Вьетнама. Фото портала Авт...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Польское агентство вооружений накануне раскрыло информацию о своих закупках оружия По имеющимся данным, 123 самоходных орудия "Рак" и более 200 бронетранспортеров "Росомак" в скором времени будут поставлены в войска

У Samsung Galaxy S24 Ultra не будет 10x телеобъектива: инсайдер утверждает, что это не проблема В недавнем откровении известный инсайдер Ice Universe раскрыл информацию о камере долгожданного Galaxy S24 Ultra. Вопреки предыдущим слухам, отсутствие телеобъектива с 10-кратным оптическим зумом не станет катастрофой для качества фотографий.

Продукция Logitech будет производиться из переработанного пластика Компания Logitech объявила, что все ее продукты для конференц-залов будут производиться из переработанного пластика и других материалов нового поколения с низким уровнем выбросов углекислого газа

Заметки руководителя проекта: советы начинающим, факапы для бывалых Привет, Хабр!Меня зовут Кристина Спирина, я руководитель проектов в IT.Сегодня мы пройдем по жизненному циклу проекта, на каждом этапе поговорим о важных моментах, о факапах, с которыми мы с командой сталкивались на практике, и о том, как мы их исправляли.В своей работе я оп...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Впервые в истории Kia возглавил руководитель некорейского происхождения. Компания уже опередила Hyundai по продажам Kia назначила бывшего руководителя Ford, Volkswagen и Toyota Марка Хедрича генеральным директором своих европейского подразделения. Впервые автопроизводитель назначил на эту должность руководителя некорейского происхождения. Ранее европейские руководители поднимались ли...

«Я был шокирован», «Решение Apple было абсолютно правильным». Руководители Tesla, Xiaomi и Li Auto по-разному отреагировали на неожиданное устранение конкурента в лице Apple После появления информации о том, что Apple отказалась от разработки беспилотных электромобилей, последовала бурное реакция пользователей, а также руководителей крупных компаний. Комментарий Илона Маска был самым коротким, он опубликовал два смайлика, на первом из котор...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Антимонопольный спор продолжается: Федеральная торговая комиссия США усилила давление на Amazon из-за переписки в Signal  Федеральная торговая комиссия (FTC) США усилила давление на Amazon, требуя раскрыть детали использования зашифрованного мессенджера Signal руководителями компании. Требование выдвинуто в рамках продолжающегося антимонопольного расследования в отношении технологиче...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Маркетинговые материалы раскрыли подробности о смартфоне Xiaomi 14 Pro Серия смартфонов Xiaomi 14 будет анонсирована в ближайшие недели, поэтому количество информации о будущих новинках увеличивается с каждым днем.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

AMD сдержала обещание и раскрыла все карты относительно своих малых ядер Zen 4c Компания AMD выполняет обещание и раскрывает больше подробностей о ядрах Zen 4c в некоторых своих мобильных APU.  Напомним, эти ядра технически отличаются от Zen 4 только размером, но также работают на более низких частотах. Именно частоты ранее AMD нигде не указы...

Инсайдер раскрыл данные о чипах, которыми могут быть оснащены планшеты Apple Портал MacRumors со ссылкой «на малоизвестного», но зарекомендовавшего себя как «автора точной информации о планах Apple» инсайдера сообщил о чипах, которыми могут быть оснащены устройства Apple.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Toyota инвестирует $1,4 млрд в создание еще одного электрического внедорожника в США Автомобиль будет производиться на заводе компании в Индиане.

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Бывший руководитель Binance Чанпэн Чжао может быть осуждён Недавно появилась информация, что экс-руководитель площадки Binance Чанпэн Чжао предложил суду залог на сумму $4,5 млрд в обмен на то, что он временно сможет покинуть территорию Соединенных Штатов и отправиться в ОАЭ

Зачем нужен проектный офис, если компания работает в продуктовом подходе? Привет! Это Оля Муттер, руководитель проектного офиса в СберМаркет Tech. Сегодня я хочу рассказать о проектном подходе в продуктовой разработке. Нужны ли проджекты, если в компании уже есть продакты? Как построить синергию между проектным офисом и продуктовой командой и каку...

Базовый iPhone 17 будет производиться в Индии Компания Apple начала производство iPhone в Индии еще в 2016 году, стараясь с каждым годом снижать свою зависимость от Китая.

Qualcomm нанесет серьезный удар по MediaTek. Новая SoC Snapdragon среднего уровня покажет производительность на уровне Snapdragon 8 Plus Gen 2 Инсайдер Digital Chat Station раскрыл подробности о будущей однокристальной системе Qualcomm, известной под каталожным номером SM8635. По данным информатора, эта SoC будет производиться по 4-нанометровому техпроцессу, а смартфоны на ее базе будут набирать 1,7 млн балло...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

Gigabyte случайно раскрыла информацию о видеокартах RTX 4000 Super Первая модель серии выйдет 17 января.

Разработчик микросхем Faraday получил заказ на 1,8-нм чип Arm Neoverse Процессоры будут производиться на мощностях Intel Foundry Services и станут одними из первых чипов на архитектуре Arm для ЦОДов, созданных компанией Intel.

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

Инсайдер раскрыл информацию о ремастере Until Dawn для ПК и Playstation 5 Until Dawn для PS4 до сих пор является самым популярным проектом от Supermassive Games.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

У Twitter в третий раз сменился руководитель службы безопасности… за два года Соцсеть X, ранее известная как Twitter, вновь попала в новостные сводки. Во вторник компания объявила о назначении Кайли МакРобертс новым руководителем отдела безопасности, что стало третьим назначением на эту должность менее чем за два года.

Escape from Tarkov: старт бета-тестирования Ожидание подошло к концу, и игроки смогут сыграть в Tarkov Arena начиная с 17 декабря. Информацию подтвердили EFT и руководитель студии Battlestate Games.

Realme раскрыла первую информацию о смартфоне Realme GT Neo6 Realme GT Neo6 получит LTPO-дисплей с яркостью до 6000 нит, чипсет Snapdragon 8s Gen 3 и до 1 ТБ памяти

После заявления Илона Маска главе X рекомендуют уволиться, чтобы спасти свою репутацию По данным Financial Times, Линда Яккарино, руководитель X, столкнулась с давлением со стороны рекламодателей, которые рекомендует ей уволиться. Многие крупные бренды отказались от рекламы после заявления Илона Маска. Некоторые из ближайших сторонников Яккарино из р...

Наконец-то новый дизайн. iPhone 16 Pro можно будет сразу узнать по камере, как утверждает инсайдер Инсайдер Majin Bu получил информацию о том, что iPhone 16 Pro будет иметь новый дизайн модуля камеры, при этом он опубликовал рендер, демонстрирующий дизайн, который в данный момент тестирует Apple. Позже его источник, который попросил сохранить его анонимность, добавил...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Уроки форензики. Анализируем логи Windows и таблицу MFT на примере HTB Jinkies Для подписчиковСегодня мы будем учиться анализировать логи Windows и смотреть полную информацию о файле в таблице MFT. Это поможет нам восстановить последовательность действий злоумышленника в системе и раскрыть его никнейм.

Быть жестким, но не жестоким: как разойтись с сотрудником по хорошему? Спустя года наблюдений за hr’ами и руководителями в стартапах и корпорациях я нашел достаточный путь к тому, чтобы расставаться с сотрудниками и в большей степени сохранять их лояльность ко мне как к руководителю и не оставлять плохие отзывы о компании. Читать далее

Подмосковный завод Mercedes-Benz собираются перезапустить в 2024 году Министерство промышленности и торговли раскрыло свои планы относительно этой простаивающей площадки, выразив намерение возобновить производство автомобилей на бывшей сборочной площадке немецкого концерна. «В этом году должны запустить», — заявил Денис ...

Northrop Grumman понесла убытки в размере $100 миллионов на программе создания модуля для станции NASA Lunar Gateway Компания Northrop Grumman понесла убытки в размере более $100 миллионов в программе создания модуля для лунной станции, контракт на которую был заключен с американским космическим агентством NASA. В отчёте о прибыли за четвёртый квартал и весь 2023 год, опубликованном 2...

Судебные документы раскрыли убеждённость Google в преследовании со стороны властей Минюст США в рамках антимонопольного расследования в отношении Google раскрыл часть судебных документов, свидетельствующих об уверенности компании в подавлении её инноваций и помощи таким образом конкурентам.

Cертификация MIIT раскрыла дизайн смартфона Polestar В сертификации пока нет информации о характеристиках устройства, но он может иметь те же внутренние компоненты, что и Meizu 21 Pro.

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Власти США запросили у Google сведения о 30 000 зрителях, просмотревших ролик YouTube Федеральные власти США заставляют Google раскрыть личную информацию о зрителях видео на YouTube.

Россиян предупредили о мошенничестве через видеозвонки в мессенджерах Руководитель команды разработки приложения Gem Space, Ваге Закарян, отмечает, что мошенники все чаще используют видеоконференции для сбора личной информации о пользователях, такой как местоположение, доход и родственники. Эти данные затем могут быть использованы для различны...

Новые Toyota Land Cruiser Prado и седан Crown будут выпущены силами совместного предприятия FAW Toyota в Китае Toyota продолжает расширять свою линейку автомобилей, которые официально продаются на территории Китая, анонсировав скорый выход нового Land Cruiser J250 и седана Crown. Модели появились в официальных тизерах FAW Toyota перед их дебютом на автосалоне в Гуанчжоу 2023 год...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

В Сети оказались обои Google Pixel 8a задолго до презентации смартфона Авторитетные инсайдеры SmartPrix и Камила Войцеховска, которые часто предоставляют сливы данных о смартфонах серии Google Pixel, раскрыли данные об эксклюзивных обоях, которыми будут украшены флагманы Google Pixel 8a. Информация появилась за несколько месяцев до презентации ...

Документация PS5 Pro раскрыла существование консоли и ее характеристики Журналист Том Уоррен из The Verge получил доступ к документации, предназначенной для разработчиков и поделился информацией о PS5 Pro.

В официальном блоге OpenAI «случайно» раскрыли информацию о GPT-4.5 Turbo Самым интересным моментом является упоминание о «прекращении сбора данных» о модели в июне 2024 года.

Смартфон Xiaomi 15 Pro получит перископический объектив и изогнутый экран с разрешением 2K Надёжный инсайдер Digital Chat Station раскрыл некоторые подробности о характеристиках грядущего флагманского смартфона Xiaomi 15 Pro. Источник заявил, что ему удалось изучить ранний прототип устройства. Сообщается, что аппарат получит экран с небольшим изгибом и разреш...

Официально: смартфон Honor Flip выйдет в этом году Руководитель компании Honor подтвердил информацию о разработке складного смартфона Honor Flip, релиз которого состоится уже в этом году. Характеристики устройства не раскрываются, но источники сообщают об аккумуляторе ёмкостью 4500 мАч, что для раскладушек является очень выс...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

Fintech-компания бывшего руководителя X Джека Дорси увольняет 1000 сотрудников Финансовая компания Джека Дорси Block увольняет около 1000 сотрудников, что затронет персонал дочерних компаний компании Cash App, Afterpay и Square.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Dell подтверждает выход ускорителя NVIDIA B200 «Blackwell» в 2025 году Кроме того, один из руководителей компании намекает, что графический процессор будет иметь мощность 1000 Вт

Microsoft поделится подробностями о будущем Xbox в специальном подкасте 15 февраля Microsoft приняла решение самостоятельно раскрыть информацию во избежании ложных слухов

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

APA: экс-руководитель НКР Араик Арутюнян задержан Азербайджаном Бывший руководитель Нагорно-Карабахской республики задержан Азербайджаном

Такой водонепроницаемости нет даже у Samsung Galaxy S24 Ultra и iPhone 15. «Середнячок» Oppo A3 Pro получит защиту IP69 Компания Oppo подтвердила, что смартфон Oppo A3 Pro поступит в продажу 12 апреля в Китае. Наряду с датой запуска компания также раскрыла варианты дизайна и цвета смартфона. При этом Digital Chat Station раскрыл характеристики телефона. По словам информатора, телефон буд...

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Должен ли QA уметь писать код Привет! На связи Антон Тарасов, руководитель группы тестирования мобильного приложения Тинькофф. В течение последних десяти лет я был инженером и руководителем в направлениях QA, Scrum-Master, Delivery Manager и Project Manager. Постараюсь ответить на вопрос: должен ли ...

PlayStation 5 Pro выйдет совсем скоро В прошлом месяце появилась информация о том, что игровая консоль PlayStation 5 Pro уже близка к релизу, а сегодня появились новые данные о том, что устройство на самом деле уже почти готов — компания на финальной стадии разработки. Кроме того, компания Sony уже обращается к ...

Инсайдеры раскрыли характеристики складного смартфона vivo X Fold 3 Pro Если эта информация подтвердится, по части железа гаджет сможет на равных соперничать с традиционными флагманами

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Проблемы с зарядкой iPhone 15? Раскройте всю мощь быстрой зарядку с USB-C Добро пожаловать в мир быстрой зарядки по USB-C, владельцы iPhone 15. Но разобраться с новой настройкой может быть непросто. В руководстве 9to5Mac попытались помочь вам раскрыть потенциал зарядки вашего смартфона.

РКН рассказали о новых целях мошенников: руководители СМИ В Роскомнадзоре заявили о поступлении фальшивых писем, представленных как официальные документы от ведомства, к руководителям российских СМИ.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Reddit продала данные для обучения ИИ от Google Сегодня появилась официальная информация о том, что компания Google будет использовать контент, доступный на Reddit, для обучения своих моделей искусственного интеллекта. Компании даже сообщили о том, что эта сделка позволит Google отображать контент в более понятном формате...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Появилась информация о характеристиках Samsung Galaxy Z Flip6 Инсайдер раскрыл впечатляющие характеристики Galaxy Z Flip6: мощный процессор, улучшенные экраны и камеры.

Инсайдер раскрыл новые подробности о «Фантастической четвёрке» и «Мстителях-5» Новая информация может разочаровать некоторых фанатов Marvel.

Из Apple ушёл ведущий инженер компании Сегодня западные журналисты сообщили, что ещё один ключевой руководитель компании Apple покинул гиганта из Купертино после долгосрочной карьеры. Этим руководителем по аппаратному обеспечению был Джей Джей Новотни, который проработал в фирме из Купертино 25 лет и сыграл ключе...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Geekbench раскрыл характеристики и мощность нового процессора Intel Core Ultra 9 185H Intel Core Ultra 9 185H, новый процессор от Intel, недавно был обнаружен в базе данных Geekbench, которая раскрыла его ключевые характеристики.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Vivo V30 Pro получит три камеры по 50 Мп и OLED-дисплей с частотой обновления 120 Гц Новая утечка информации от Судханшу Амбхора раскрыла дополнительные характеристики V30 Pro, подчеркнув различия между ним и S18 Pro.

Создатель GTA: Vice City раскрыл неизвестный ранее секрет о полиции в игре Бывший технический директор Rockstar Games и создатель GTA: Vice City, Оббе Вермей, поделился уникальной информацией о системе появления полицейских машин в игре.

Для вымогателя Rhysida появился бесплатный дешифровщик Южнокорейские исследователи обнаружили уязвимость в шифровальщике Rhysida, что позволило им создать бесплатный дешифратор для восстановления файлов в Windows. Другие специалисты считают, что исследователи зря раскрыли информацию о баге. 

Минг-Чи Куо раскрыл сроки анонса флагманского Huawei P70 с гибридной линзой из стеклопластика Известный аналитик Минг-Чи Куо поделился информацией о предстоящем запуске нового флагманского смартфона Huawei P70.

Паван Давулури — новый руководитель Windows и Surface Компания Microsoft назначила Павана Давулури (Pavan Davuluri) новым руководителем Windows и Surface, поскольку Михаил Парахин перешёл в новое подразделение Microsoft AI. Об этом сообщает портал The Verge со ссылкой на внутреннюю служебную записку от Раджеша Джа, где сообщае...

Сбербанк упростил прием наличных в своих банкоматах Сбербанк представил новую возможность для клиентов — прием наличных без использования банковской карты. Такой информацией поделилась Татьяна Галкина, старший вице-президент и руководитель блока «Сеть продаж» в Сбербанке, пишет ТАСС.

Пыль Судного дня: как уничтоживший динозавров астероид погрузил Землю во тьму Исследование, опубликованное в журнале Nature Geoscience, раскрыло информацию об астероиде, погубившем динозавров 66 миллионов лет назад. В то время как предыдущие теории указывали на серу и сажу, вызвавшие глобальную зиму, новое исследование предполагает, что настоящим вино...

Boeing попрощалась с руководителем программы 737 Max после отрыва двери в воздухе Boeing объявила об уходе Эда Кларка, руководителя программы 737 Max, в связи с недавним «инцидентом» в воздухе, который вызвал новые опасения по поводу безопасности самолета.

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Сегодня OpenAI раскрыла информацию некоторых партнерствах с независимыми создателями контента Свободные кинематографисты, сценаристы/режиссеры, креативные агентства и цифровые художники - все рассказали о том, что они создали с помощью Sora, и некоторые результаты действительно захватывают дух.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Microsoft представила собственные AI-чипы: Azure Maia 100 и Cobalt 100. Что значат эти чипы для ИИ-отрасли В начале года появилась информация о том, что корпорация Microsoft почти закончила разработку собственного чипа, предназначенного для работы в ИИ-отрасли. Проект получил название Athena, а основное его предназначение — обеспечение работы чат-ботов с ИИ.Саму разработку компан...

В автопарк Думы поступили десятки новых Lada Vesta, но парламентарии предпочитают 10-летние Hyundai. На какие отечественные машины пересядет власть Стало известно, на какие автомобили пересядут депутаты и руководители Госдумы после обновления парка машин. Собственный источник в аппарате нижней палаты парламента поделился с «Ведомостям» некоторыми подробностями. Согласно его информации, в автопарк Думы п...

Lenovo раскрыла планы по выпуску нового поколения портативных устройств Legion Go Компания Lenovo раскрыла планы по выпуску нового поколения портативных устройств Legion Go, заявив, что компания будет вкладывать значительные средства в этот сегмент.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Что если сильный ИИ живёт среди нас уже месяц? 17 ноября 2023 года стало известно, что был уволен руководитель OpenAI Сэм Альтман. В последующем была целая эпопея с его возвращением. Но что если увольнение было связано с тем, что в недрах OpenAI было завершено обучение новой ChatGPT 5.0 и после первых тестов руководство ...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

ASUS раскрыла дизайн смартфона ROG Phone 8 Компания ASUS опубликовала новое изображение флагманского игрового смартфона ROG Phone 8, официальный релиз которого состоится уже 8 января на выставке CES 2024. На этот раз производитель полностью раскрыл дизайн грядущей новинки. Отметим неправильной формы блок основной ка...

Способы сохранения технической экспертизы для руководителей Многих IT-руководителей ценят за их инженерный опыт: зачастую до менеджерской позиции они занимались разработкой и были техлидами в командах. Но, к сожалению, с течением времени любой специалист, не программирующий ежедневно, будет терять уровень экспертизы. Предлагаю ряд сп...

Экран 2К, металлическая рамка, 120 Вт, Snapdragon 8 Gen 3. Redmi K70 Pro представят в ноябре Инсайдер Digital Chat Station раскрыл новые подробности о линейке смартфонов Redmi K70. В составе серии будут три модели: Redmi K70 Pro, а также Redmi K70 и Redmi K70E. Изображения Redmi K70 ранее появились в Сети Старшие модели получат корпуса с металлической рамкой и...

Денис Мантуров не подтвердил приостановку проекта Lada X-Cross Вице-премьер - глава Минпромторга РФ Денис Мантуров не подтвердил слухи о приостановке проекта Lada X-Cross в партнерстве с китайским производителем. «Мне о таком неизвестно», — заявил Денис Мантуров, который является председателем совета директор...

«Мы спасли Белвидир. Мы снова сделали это…», — 1200 рабочих вернутся на завод собирать новый автомобиль Stellantis В конце февраля компания Stellantis приостановила сборочный завод в Белвидире, штат Иллинойс, где раньше производился Jeep Cherokee. Это радикальное решение означало, что 1200 рабочих пришлось уволить без каких-либо обещаний о будущей работе. После подписания предварите...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Xiaomi раскрыла цену Redmi A3 спустя неделю после анонса Xiaomi наконец-то выпустила Redmi A3 в Индии, раскрыв полные характеристики и цену новинки.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Официально: Nothing Phone 2a выйдет в феврале Бренд раскрыл планы по запуску смартфона, а инсайдеры раскрыли все характеристики.

Phison собирается раскрыть дополнительную информацию о своем новом SSD-контроллере PS5031-E31T Однако, в отличие от контроллера Phison E26 который используется в современных твердотельных накопителях, E31T потребляет гораздо меньше энергии и более эффективен.

В Apple считают, что новым MacBook будет достаточно 8 ГБ ОЗУ Руководители Apple защищают решение компании оснащать новые модели MacBook оперативной памятью объемом 8 ГБ

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Дешёвый и с 5G. Раскрыты характеристики смартфона Redmi 13C 5G Смартфон Xiaomi Redmi 13C 4G за 100 долларов был представлен месяц назад, а вскоре на рынок должен выйти Redmi 13C 5G. И сегодня Xiaomi раскрыла его основу.  фото: Xiaomi Рекламный постер говорит нам, что сердцем новинки послужит Dimensity 6100+. Если раньше бюдже...

Разговор с руководителем платформы BI.ZONE Bug Bounty Андреем Лёвкиным о результатах работы его платформы за год Продолжаем выкладывать материалы с OFFZONE 2023. На этот раз я решил чуть подробнее расспросить про BI.ZONE Bug Bounty её руководителя Андрея Лёвкина. У нас был материал по этой платформе, но в формате новости. Однако подробного расска...

Один из руководителей Xbox покинет пост после 26 лет работы Давний руководитель Xbox Карим Чоудри покидает Microsoft после 26 лет работы. Чоудри, который играл центральную роль в инициативах Xbox по обратной совместимости и облачным играм, покидает свой пост в то время, как Microsoft реструктурирует свои внутренние команды.

Samsung внедрит ИИ-технологии в смартфоны Galaxy S24 По информации инсайдеров, смартфоны серии Galaxy S24 будут выпущены в январе следующего года. Эти данные уже давно доступны в сети, и на самом деле никто не сомневался в том, что релиз состоится именно в январе. Но теперь у нас есть более точная информация, согласно которой ...

Xiaomi показала фото смартфона Redmi Turbo 3. А вместе с ним раскрыла характеристики и дату анонса Xiaomi начала рекламную кампанию нового смартфона Redmi Turbo 3, раскрыв его дизайн, характеристики и дату анонса.

После запуска Apple Vision Pro один из руководителей компании, Дэн Риччио, собирается на пенсию По словам Марка Гурмана из Bloomberg, бывший вице-президент и руководитель отдела разработки аппаратного обеспечения Apple Дэн Риччио сообщил коллегам, что он «близок к выходу на пенсию» после более чем 25 лет работы в компании. Джон Тернус сменил Риччио на ...

Бриллианты - лучшие друзья… данных: новая технология хранит информацию на одном атоме Ученые раскрыли секрет превращения алмазов в идеальное решение для хранения данных, позволяющий им хранить данные на одном атоме.

Компания Hisense подвела итоги 2023 года На конференции в Москве руководители и эксперты компании поделились результатами работы и планами на будущее.

Обсуждается закрытие завода, который выпускает самый продаваемый автомобиль в США: противостояние Ford и бастующих вышло на новый уровень Профсоюз UAW бастует уже больше месяца, и исполнительный председатель Ford Билл Форд призвал прекратить забастовку, поскольку профсоюз наносит вред «десяткам тысяч американцев», а также подвергает риску американскую автомобильную промышленность. Билл Форд ск...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Первый автомобиль Xiaomi показали во всех цветах Компания Xiaomi уже завтра, 28 декабря, проведёт пресс-конференцию, на которой представит свой первый автомобиль Xiaomi EV. Теперь же у нас появились изображения новинки в шести цветах. Руководитель Xiaomi Лей Цзюнь (Lei Jun) подтвердил, что презентация будет идти 3 час...

Операторы шифровальщика BlackCat подали жалобу в Комиссию по ценным бумагам и биржам США Вымогатели из группировки BlackCat (ALPHV) выводят шантаж на новый уровень: хакеры подали жалобу в Комиссию по ценным бумагам и биржам США, сообщив властям, что одна из их жертв не соблюдает правило четырех дней и не раскрыла информацию о кибератаке.

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Сэм Альтман: создатель искусственного интеллекта, который боится восстания машин Если к вам неожиданно подойдет на улице блогер с видеокамерой, и попросит назвать имена основателей или руководителей крупнейших технологических корпораций, вы наверняка вспомните Билла Гейтса, Стива Джобса, Сергея Брина, Илона Маска, Марка Цукерберга, и, возможно, даже Дже...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Самый дешёвый Li Auto оказался почти пятиметровым. Бюджетный Li Auto L6 впервые показали со всех сторон вживую Кроссовер Li Auto L6 был замечен в Китае во время съемок рекламных роликов. Это кроссовер начального уровня с аккумулятором LFP и запасом хода на электротяге 172 км. Он выйдет на рынок в апреле этого года и будет предлагаться по цене от 34 700 до 41 600 долларов. В 202...

AMD раскрыла тактовые частоты «малых» ядер Zen 4C в процессорах Ryzen Выполняя своё обещание, чипмейкер раскрыл подробности о технических характеристиках фирменных чипов с гибридной архитектурой

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Redmi K70 — это самая мощная стандартная версия в истории Redmi. У смартфона такие же камера и экран, как у Redmi K70 Pro Компания Redmi раскрыла информацию о стандартной версии K70. Официальные лица подчеркнули, что K70 — это самая мощная стандартная версия в истории Redmi и эталон производительности флагмана нового поколения. По внешнему виду Redmi K70 полностью соответствует верси...

Генетическую компанию 23andMe с возможными данными Маска взломали: иск подан Биотехнологическая компания 23andMe столкнулась с проблемой утечки данных, из-за чего информация о пользователях появилась в даркнете. Вскрытые данные содержат информацию о более чем миллионе пользователей: имена, пол, дни рождения, географическое положение, фотографии профи...

Разбираемся, почему идея «Руководитель продукта как Mini-CEO» может быть вредной В свежем материале я погружаюсь в одно из самых вредных заблуждений в мире продуктового управления. Многие считают руководителя продукта мини-версией CEO, но насколько это соответствует действительности? На основании 15 лет консалтинга я постарался коротко проанализировать ...

Epic Games увольняет 16% сотрудников из-за низкой прибыли По информации издания Bloomberg, компания Epic Games, разработчик крайне популярной виделигры Fortnite, планирует сократить 16 процентов своего персонала. Компания уже подтвердила эту информацию — в общей сложности эти сокращения затронут примерно 830 сотрудников. В заявлени...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Geely Emgrand уже официально появились в салонах России. «Авилон» прогнозирует большой спрос Седаны Geely Emgrand уже доступны для приобретения у официальных дилеров в России, о чем сообщил Илья Петров, директор розничных продаж АГ «Авилон», который поделился информацией с «Российской газетой». Он отметил, что новая модель вызывает больш...

Что такое Data Service и почему он может быть вам полезен Привет, Хабр! На связи группа экспертов по управлению данными из МТС.А именно: Патрисия Кошман — руководитель группы (управление метаданными) и Аксинья Ласкова — эксперт по практикам качества данных.Сервисы МТС собирают огромное количество данных разных типов и качества, нач...

СЕО с вашей прошлой работы: к тебе придет ФСБ Это небольшой пост про очередной вид мошенничества и способы борьбы с ним.Для человека информационно чистоплотного я ничего нового не скажу. Но все-таки считаю важным об этом рассказать и предложить способы проверки. В целом типичный телефонный развод, но удивила таргетирова...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Бывший сотрудник OpenAI посоветовал компании раскрыть исходных код своего ИИ Бывший специалист по ИИ в OpenAI Джои Берчлер призывает компанию полностью принять принципы открытого исходного кода для своих моделей ИИ, таких как GPT-4. Хотя компания OpenAI была основана с целью принести пользу всему человечеству, в настоящее время она держит свои самые ...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Lada Aura не будет производиться в Санкт-Петербурге Сборка Lada Aura точно не будет осуществляться на заводе в Санкт-Петербурге, о чём пишет инсайдерский телеграм-канал «Автопоток». Вчера на некоторых ресурсах появилась информация о том, что Lada Aura (длиннобазная Веста) будет собираться в Петербурге. Это не...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Мошенники в мессенджерах подделывают голоса руководителей для авторизации перевода средств Специалисты FACCT предупредили, что с начала года в России наблюдается рост использования звуковых дипфейков в мошеннической схеме FakeBoss. То есть мошенники звонят жертвам, используя подмену голоса и выдавая себя за руководителя организации.

«Cложный игрок, вероятно, государство»: Cloudflare рассказала о недавнем взломе Cloudflare раскрыла информацию об «инциденте безопасности», произошедшем в День благодарения, в котором участвовал «сложный игрок, вероятно, государство». Хотя злоумышленнику удалось получить доступ к внутренней вики и базе данных ошибок компании, Cloudflare утверждает, что ...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Нью-Дели приобретет 97 боевых самолетов Tejas отечественного производства на $7,8 млрд Индийские военные хотят приобрести 97 боевых самолетов Tejas, которые производятся государственной компанией

Gartner: руководители включают генеративный ИИ в повестку дня бизнеса Согласно Gartner, все больше организаций начинают тестировать генеративный искусственный интеллект (GenAI), и эта технология становится все более важным пунктом повестки дня для руководителей компаний, сообщает портал ComputerWeekly. В августе Gartner ...

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

Apple рассказала, сколько будет стоить ремонт гарнитуры Vision Pro Одновременно со стартом предзаказов на Apple Vision Pro компания раскрыла стоимость ремонта гарнитуры при негарантийных поломках

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Британский министр обороны раскрыл информацию о поставках Италией ракет Storm Shadow на Украину По сообщениям британских СМИ, министр обороны Великобритании первым сообщил, что Италия, наряду с Францией и Соединенным Королевством, поставила крылатые ракеты Storm Shadow Киеву.

AMD убрал тайваньскую маркировку с процессоров и оценил причастность Китая Удивив многих, AMD удалила все маркировки, указывающие на то, что ее процессоры Ryzen 7000 для настольных ПК производятся в Тайване. Впоследствии компания пояснила, что это решение было принято не для того, чтобы «умиротворить» КНР, которая уже давно пытается заставить компа...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Стартап бывших руководителей SpaceX и Amazon готовится к запуску собственного космического буксира на солнечной тепловой тяге Supernova Портал стартапов Space Systems от бывших руководителей SpaceX и Amazon раскрыл планы по созданию космических аппаратов с новой технологией движения, предназначенной для быстрого перемещения между орбитами. Компания официально «вышла из тени» 30 апреля, объяв...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Глава OpenAI уволен. Сэм Альтман стоял у истоков создания ChatGPT OpenAI совершенно неожиданно сообщила о том, что компанию покидает ее нынешний руководитель – Сэм Альтман (Sam Altman). Он также исключен из совета директоров. Временным генеральным директором OpenAI назначена технический директор Мира Мурати (Mira Murati). ...

Яндекс раскрыл секреты, как не быть заблокированным в Яндекс.Такси Компания Яндекс рассказала о ключевых моментах, которые могут привести к ограничению доступа пассажиров к их сервису такси.

В компании AMD настоятельно рекомендуют использовать двухканальный режим при работе с Ryzen 8000 По словам представителей компании, только при таком условии можно будет раскрыть весь потенциал графических ядер новых процессоров

Асбестоцементные электротехнические доски Асбестоцементные электротехнические доски (АЦЭД) являются одним из важных материалов в электротехнической промышленности. Они применяются в различных областях, включая строительство электроустановок, распределительные щиты, оборудование для переключения и управления электроп...

AMD "Zen 5c" производятся на более продвинутом 3 нм узле, чем "Zen 5" По сообщениям китайского издания UDN, компания AMD создает свои грядущие процессорные ядра "Zen 5" и "Zen 5c" на двух разных литографических узлах

ИИ научили взламывать другие ИИ-чатботы с помощью «мастер-ключа» NTU сделал революционное, но в то же время опасное открытие: они нашли способ «взломать» чат-боты с помощью другого ИИ. Этот метод, получивший название «Masterkey», использует слабые места в защите чат-ботов, чтобы заставить их раскрыть конфиденциальную информацию или выполн...

Baykar построит завод на Украине в течение 18 месяцев В цехах предприятия будут производиться и обслуживаться БПЛА Bayraktar

Microsoft раскрыла стоимость подписки на обновления для Windows 10 по программе ESU Microsoft опубликовала информацию о стоимости подписки на обновления безопасности для Windows 10, которые будут выпускаться с октября 2025 года по программе Extended Support Updates (ESU). Планируется, что обновления на платной основе будут выпускаться в течение трёх лет. П...

Вы — новый руководитель. Как успешно пройти адаптацию в сложившемся коллективе? Обычно компаниям выгодно взращивать линейных руководителей, а не нанимать. Это помогает создать команду менеджеров и тимлидов, которые глубоко погружены в специфику проектов, разделяют культуру компании, и одновременно с этим даёт возможность для естественного карьерного раз...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Лидеры Tesla и SpaceX обеспокоены тем, что Илон Маск употреблял наркотики —The Wall Street Journal В субботу авторитетное издание The Wall Street Journal опубликовало статью, в которой говорится, что Илон Маск употреблял запрещенные наркотики, вызывая беспокойство руководителей и инвесторов Tesla и SpaceX. Илон Маск и его сторонники предлагают несколько объяснений ег...

Leapmotor и BYD идут разными путями: новейший внедорожник с 800-вольтовой зарядкой Leapmo C16 представят в апреле Руководители Leapmotor сообщили, что Leapmotor C16, как ожидается, будет представлен на Пекинском автосалоне в апреле этого года, а его поставки начнутся в середине 2024 года. Этот автомобиль станет первой моделью Leapmotor, поддерживающий 800-вольтовую зарядку. Чжу Цзя...

NASA определило трёх ключевых партнёров для разработки луноходов программы Artemis Опубликованные документы NASA раскрывают процесс выбора трёх компаний, которые будут продолжать работу над разработкой лунохода для программы Artemis. Сбалансированные затраты, возможности и опыт оказались решающими факторами при отборе. 3 апреля NASA объявило о выборе ...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Ремейк про управленческие поединки Понятие "ремейк" плотно вошло в наш обиход. Буду в тренде и скажу, что наиболее известный ремейк это месяца это "Дюна", потому что первый фильм 1984 года.Но не будем уходить от вопроса, назвал статью ремейк, потому что в 2014 году уже была статья про управленческие поединки ...

Apple могла купить поисковик Bing у Microsoft В 2020 году руководители компаний Microsoft и Apple провели переговоры о возможной продаже поисковой системы Bing компании из Купертино. Однако эти переговоры не вышли за рамки предварительных обсуждений, что говорит о том, что Apple не рассматривала эту идею всерьез.

Qualcomm Snapdragon 8 Gen 4 будет производиться эксклюзивно TSMC Ее первоначальные планы по использованию двойного источника от Samsung рухнули из-за проблем с производительностью и емкостью.

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Европейский марсоход IDEFIX готовится к путешествию на Марс Европейский марсоход IDEFIX, разработанный совместно Немецким аэрокосмическим центром (DLR) и французским космическим агентством Centre National d'Etudes Spatiales (CNES), прибыл в Японию в рамках подготовки к миссии исследования спутников Марса MMX. Целью этой мисс...

Mini Clubman сняли с производства Mini Clubman был снят с производства спустя 17 лет, поскольку Mini уступил место электрическому Aceman, о чем пишет Autocar. Впервые Clubman поступил в продажу в 2007 году как версия универсала Mini с новой вертикально разделенной задней дверью. Теперь он уступил место ...

Россиянам раскрыли тайные уловки торговых сетей для неосознанных покупок Директор департамента взаимодействия с потребительским рынком Роскачества, Дарья Ширяева, раскрыла секреты уловок, применяемых маркетологами крупных торговых сетей для стимулирования неосознанных покупок, пишет «[Лента.ру](https://lenta.ru/news/2023/12/01/marketing/)».

Разница ролей QA Lead, QA Manager и QA Head Привет! Я Люда, QA Lead в компании TrendTech.За 2,5 года в компании наше направление сильно выросло, а у меня сменился спектр задач. И я задалась вопросом, в какой момент лид перестает быть лидом и становится, например, менеджером. В данной статье я попробую рассказать, каки...

Глава OnePlus раскрыл подробности о цветовых вариантах грядущего флагмана OnePlus 12 Компания OnePlus готовится к запуску своего нового флагмана, OnePlus 12, и глава китайского подразделения компании Ли Жэ раскрыл некоторые подробности о цветовых вариантах устройства.

Google опубликовал информацию об Asus Zenfone 11 Интересно, что информация о смартфоне появилась очень рано, учитывая, что Zenfone10 был выпущен только в 2023 году

Автоматизация рутинной деятельности с помощью Security Vision SOAR: практика Андрей Амирах, руководитель отдела технического пресейла Security VisionТимур Галиулин, менеджер по развитию продуктов Infowatch В этой статье мы расскажем о процессе автоматизации рутинной деятельности в одном из подразделений ИБ крупной компании. Исходные данные: подр...

Microsoft хочет избавиться от зависимости от Nvidia. Компания разрабатывает собственный сетевой адаптер для серверов Компания Microsoft, как и многие другие сейчас, сильно зависит от ускорителей Nvidia для ИИ. Но в другом направлении Microsoft собирается избавиться от аналогичной зависимости. Как сообщается, компания разрабатывает собственный сетевой адаптер, чтобы не закупать у Nvidi...

Xbox скоро будет блокировать "неавторизованные" периферийные устройства на консолях Microsoft он начинает блокировать неавторизованные контроллеры Xbox и другие аксессуары, которые не производятся Microsoft или аккредитованным партнером Microsoft.

Истребитель шестого поколения Tempest будет производиться тремя странами Самолет будет оснащен гиперзвуковым и лазерным оружием, а также искусственным интеллектом.

В России способны модернизировать танк советских времен Т-80УД В России, похоже, планируют модернизировать танк Т-80УД, который никогда не производился серийно и был разработан в 80-х годах.

Google перенести производство чипсетов Tensor из Кореи на Тайвань Samsung может лишиться крупного заказчика уже в будущем году, но Tensor 4 для Pixel 9 будет производиться в Корее.

В сети появились рендеры Samsung Galaxy Z Flip6 Буквально вчера в сети появились первые рендеры смартфона Galaxy Z Fold6, которые показали, что производитель не планирует существенно менять дизайн своего гаджета, а теперь пришло время раскрыть дизайн Galaxy Z Flip6. Здесь стоит напомнить, что в прошлом году у Samsung прои...

Суд раскрыл доходы Instagram* с рекламы: больше, чем у YouTube Новая информация из судебного документа Meta* проливает свет на удивительные финансовые показатели Instagram*. В документе, являющемся частью защиты от монопольных претензий FTC, говорится, что в 2021 году Instagram* получил рекламный доход в размере 32,4 миллиарда долларов,...

Свежие фото раскрыли цветовые варианты сразу двух новых смартфонов Oppo В прошлом месяце сертификация TENAA раскрыла два грядущих смартфона Oppo: A2x и A2m. Недавно же обе новинки вновь утекли, на этот раз в виде изображений.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Создавшая ChatGPT компания OpenAI лишилась сразу двух ключевых руководителей В течение нескольких часов.

Qualcomm готовит к релизу Snapdragon 8s Gen 3 Флагманский процессор Snapdragon 8 Gen 3 от компании Qualcomm достаточно длительное время был невероятно популярным решением и является самым мощным чипом для Android-смартфонов на текущий момент. Однако появилась информация о том, что в ближайшее время может появиться облег...

Хотите устроиться на работу в Apple? Глава компании раскрыл некоторые секреты, как это сделать Мечтаете о карьере в Apple? Тим Кук, генеральный директор Apple, поделился ценными советами о том, что компания ищет в кандидатах.

Samsung представила два QD-OLED-монитора для геймеров Сегодня компания Samsung официально анонсировала сразу два совершенно новых игровых монитора на базе технологии QD-OLED — речь про модель диагональю 31,5 дюйма и модель диагональю 27 дюймов, которые будут выпущены в продажу следующем году. Стоит сразу отметить, что это не сл...

Ядро Cortex-X5 от ARM потребляет слишком много энергии Сегодня появилась интересная информация о том, что производительные ядра Cortex-X5 от ARM испытывают серьёзные проблемы с высоким энергопотреблением при повышенных частотах и демонстрируют незавидную производительность в многоядерном режиме при снижении максимального предела...

AMD Zen 5: новая утечка информации о мощных процессорах Утечка информации о поставках раскрыла секреты грядущих процессоров AMD, включая долгожданную архитектуру Zen 5. Эта утечка позволяет заглянуть в планы AMD по выпуску настольных и мобильных процессоров следующего поколения, намекая на значительный прирост производительности ...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Как мы разгрузили руководителей проектов и освободили время для «профильных» задач Руководители IT-проектов (РП) на рынке труда в остром дефиците: по данным hh.ru на 1 вакансию приходится 1,9 резюме. Поэтому часто в компаниях один РП ведет по 5-6 проектов. При такой загрузке успеть все и сохранить качество практически невозможно.Решить проблему с загрузкой...

Очень быстрые смартфоны серии Huawei P70 могут выйти в любой момент Смартфоны Huawei P70 будут очень быстрыми, при этом они могут появиться на официальном сайте производителя в любой момент, о чем сообщил известный инсайдер под ником Digital Chat Station. Ранее он раскрыл информацию о том, что производитель ориентировочно планируется вы...

Илон Маск собирается основать собственный университет Илон Маск не сбавляет оборотов в своём стремлении осваивать всё новые направления развития. Теперь вот сообщается, что миллиардер планирует основать собственный университет.  создано DALL-E Авторы Bloomberg проанализировали налоговые декларации Маска и обнаружили ...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Ассоциация «Народный фермер»: Восстановление отметки «КФХ» в Едином Госреестре — важный шаг для развития сельских территорий Госдума РФ 24 октября в третьем чтении приняла законопроект, вернувший возможность регистрировать Крестьянские (фермерские) хозяйства (КФХ). Ассоциация «Народный фермер» и ее руководитель Олег Сирота проделали большую работу для принятия этого важного законопроекта. В Ассоци...

Vivo представила уже третий смартфон V30 Lite Сегодня был официально анонсирован новый смартфон Vivo V30 Lite, который интересен не только своими характеристиками, но и подходом производителя к формату названия своих новинок. Дело в том, что данный гаджет был представлен в Камбодже и это уже третий смартфон с одним и те...

Новый Chevrolet с расходом 1 л на 100 км и запасом хода более 1000 км. Кроссовер Equinox Plus оснащен подключаемой гибридной системой Chevrolet раскрыла дополнительную информацию о мощности и энергопотреблении нового подключаемого гибридного автомобиля Equinox Plus. По имеющимся данным, новый автомобиль оснащен интеллектуальной электрической подключаемой гибридной системой GM нового поколения PHEV, ко...

Руководитель AMD намекнул на разработки в области масштабирования с применением ИИ На 2024 год у компании грандиозные планы.

IT-сектор Британии: правительство не способно защитить компании от хакеров Так считают 52% руководителей компаний.

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Samsung готовит доступную версию Galaxy Z Fold 6 Западные инсайдеры сообщают, что складные смартфоны Galaxy Z Fold 6 и Galaxy Z Flip 6 будут представлены уже в августе текущего года, и пока что об этих смартфонах практически нет никакой информации — ни сливов, ни секретных данных. Есть лишь информация о том, что компания н...

Новый Xcode раскрыл информацию об оперативной памяти Vision Pro Apple как всегда держит интригу, постепенно раскрывая подробности о своем новом продукте. Но энтузиасты «добывают» информацию опосредованно. Так в обновлении Xcode нашли информацию о том, что Vision Pro имеет 16 ГБ оперативной памяти.Читать дальше... ProstoMAC.com.| Постоян...

Пэнос Панай возглавил подразделение устройств и сервисов Amazon Компания Amazon объявила, что Пэнос Панай стал новым руководителем подразделения устройств и сервисов компании. На этом посту он заменит Дэйва Лимпа (Dave Limp), который в конце этого года покинет Amazon. «Я знаю Пэноса много лет и считаю, что он внесёт большой вклад в разв...

Раскрыты секреты эволюции хоботов слонов, и при чем тут изменение климата Исследование раскрыло тайны эволюции предков слонов и показывает происхождение их легендарных хоботов. Исследователи изучили особенности питания давно вымерших слонообразных, раскрыв их удлиненные нижние челюсти и бивни.

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Провайдеров в США заставили отключать абонентов от интернета за скачивание пиратских игр ПК-геймерам грозят юридические последствия после масштабной утечки данных Insomniac Games и Sony. Утечка, включающая более 1,3 миллиона внутренних файлов и 1,8 терабайта данных, не только раскрыла секретную информацию компании, но и предоставила ПК-игрокам доступ к ранней сб...

«Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона». Появились первые фотографии, сделанные на новые смартфоны Эффектные фотографии, которые сделаны на камеры Vivo X100 и X100 Pro, опубликовал китайский инсайдер Ice Universe. «Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона», — так прокомментировал снимки Ice Universe. Стоит отметит...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Хакеры передали российским силовикам данные об украинских агентах в новых регионах По имеющимся данным, правоохранительные органы получили от пророссийских хакеров список агентов Службы безопасности Украины (СБУ), действующих в новых регионах России. Оперативные службы Запорожской области раскрыли эту информацию журналистам, пишут РИА Новости.

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Разработчики 18K-камеры Big Sky показали на фото её сенсор Компания STMicroelectronics раскрыла подробности о сенсоре камеры Big Sky, которая была создана для записи контента под гигантский 4D-экран MSG Sphere

Как увеличить продажи, познакомившись со своей ЦА Как компаниям исследовать целевую аудиторию, в каких каналах искать потенциальных покупателей и как увеличить продажи благодаря пониманию, что на самом деле нужно вашим клиентам? Приходите 29 февраля в 15:00 мск на вебинар, чтобы узнать. Ольга Андреева, директор по страте...

Акции Google выросли на 5% после анонса модели ИИ Gemini Руководители Google заявили, что Gemini превосходит GPT-3.5 от OpenAI, но компания не поделилась, чем она отличается от последней модели OpenAI GPT-4.

BlackRock активно скупает акции майнинговых компаний Криптоисследователь Джейми Куттса рассказал о политике компании BlackRock. Аналитик, связанный с Bloomberg Intelligence, заявил о активной политике руководителей компании в покупке акций компаний-майнеров биткоинов (BTC)

Появилась первая информация о смартфонах Nothing Phone 3 Инсайдерский портал 91mobiles Hindi немного рассказал о предстоящем смартфоне Nothing Phone 3 компании Nothing. Информации мало, но если слух соответствует действительности, устройство получит чип Snapdragon 8s Gen 3.

Apple хотела купить Tesla, а Илон Маск был согласен при одном условии — он заменит Тима Кука. Вместо руля в Apple Car планировали использовать Siri Издания The New York Times и 9to5mac поделились подробностями о проекте «Титан», которые сообщили «несколько человек, работавших над автомобилем Apple в течение последнего десятилетия». В декабре 2020 года сам Илон Маск подтвердил , что &laq...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Импортная электроника подорожает ещё сильнее В Минпромторге считают, что российской экономике будет полезным повышение таможенных пошлин на те устройства, которые производятся в России в нужных объёмах.

Huawei раскрыла характеристики процессора Kirin 9010 Изначально компания Huawei предоставила крайне мало информации о мобильном процессоре Kirin 9010, но когда состоялся релиз серии смартфонов Pura 70, все детали попали в сеть благодаря бенчмаркам. На текущий момент можно уверенно заявить, что по сравнению с Kirin 9000S, котор...

Актуальное обновление чат-бота OpenAI: ChatGPT теперь может «гуглить» в реальном времени Американская компания OpenAI объявила о выпуске полезного обновления генеративной системы искусственного интеллекта, ChatGPT. Как сообщили в официальном блоге, ChatGPT может искать в сети свежую информацию, предлагая ответы из «актуальных и авторитетных» ист...

Boeing расширяет свой завод в Хантсвиле, чтобы увеличить производство ракет Patriot Компания Boeing объявила о расширении своего завода в Хантсвилле, штат Алабама, на котором производится ракетный комплекс Patriot PAC-3.

Пять новых телевизоров Nokia на подходе StreamView GmbH является одним из многих лицензиатов бренда Nokia. Компания продает смарт-телевизоры и приставки под брендом Nokia в Европе, на Ближнем Востоке и в Африке. Эта австрийская компания собирается обновить линейку смарт-телевизоров Nokia. NokiaMob обнаружил в...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Операторы шифровальщика Lorenz случайно раскрыли личности своих жертв Вымогательская группировка Lorenz случайно раскрыла данные всех людей, которые связывались с ней через онлайн-форму на сайте за последние два года. Среди попавших в открытый доступ данных: имена, адреса электронной почты и темы, введенные пострадавшими в соответствующее поле...

На хак-форуме опубликованы данные 70 млн пользователей AT&T Некто ником MajorNelson опубликовал хак-форуме данные компании AT&T, включая информацию о 70 млн пользователей. Судя по всему, эта информация связана с предполагаемой утечкой 2021 года, и тогда ее уже пыталась продать группировка ShinyHunters. В AT&T утверждают, чт...

Скоро выйдет Windows 12. Что в ней будет? О сроках запуска Windows 12 проинформированы руководители ведущих компаний по производству компьютеров и ноутбуков, среди которых Acer, Quanta, MSI и Gigabyte.

Руководители компаний социальных сетей вызваны на слушания в Сенате Руководители соцсетей вызваны на слушания. Meta, X, TikTok, Snap и Discord сотрудничают, но не ответили на запросы Fox News Digital.

Полигональное моделирование: от фундамента к продвинутым алгоритмам Александр Лонин, руководитель группы по полигональному моделированию, к. ф.-м. н., C3D Labs, представляет обзор топологии полигональной сетки, делится информацией об усовершенствованиях и новом функционале, а также знакомит с планами развития направления полигонального модел...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Генеральный директор Activision Blizzard Бобби Котик уйдёт в отставку 29 декабря 2023 года В октябре Microsoft закрыла сделку по приобретению компании Activision Blizzard, одного из крупнейших издателей игр. По просьбе Фила Спенсера генеральный директор Activision Бобби Котик на несколько месяцев остался на своём посту, чтобы помочь с процессом слияния компаний. ...

Названы сроки выхода iPhone SE 4 и других устройств Apple Утечка дорожной карты Apple раскрыла дату запуска iPhone SE 4, складного iPhone и очков AR. Документ, предоставленный финансовой компанией Samsung Securities, был опубликован информатором Tech_Reve. Согласно источнику, в нынешнем году стоит ожидать выход 11-дюймового iPad…

800 л.с. и 72 км/ч. Представлена электрическая яхта от одного из руководителей Tesla Представлена моторная яхта Blue Innovations Group (BIG) R30, которая в эти выходные впервые предстала на публике. Яхта была спущена на воду 16 декабря в Санкт-Петербурге, штат Флорида, где базируется компания. По словам Джона Во, основателя и генерального директора BIG...

Google бросит вызов доминированию ChatGPT и потратит на это $2 млрд Google хочется вырваться вперед в гонке за ИИ, выделив 2 млрд долларов на развитие компании Anthropic, основанной бывшими руководителями OpenAI. По словам представителя Anthropic, инвестиции предполагают первоначальные денежные вливания в размере 500 млн долларов, после чего...

Яндекс продал рекордное количество «Яндекс Станций»: количество активных колонок превысило 7 млн Команда Яндекса впервые раскрыла данные о продажах умных колонок линейки «Яндекс Станция» во время сезона ноябрьских скидок. Как отмечает пресс-служба, в 2023 году с  6 по 12 ноября было продано более 143 тысяч умных колонок с «Алисой». ...

Российский электрокар «Атом» будет производиться из китайских, турецких и индийских деталей Такая новость вызвала неоднозначную реакцию на автомобильных форумах и в социальных сетях

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Samsung готовит к запуску память LPDDR6 Сегодня появилась информация о том, что компании Samsung и SK Hynix сотрудничают для получения сертификации на память LPDDR6. По информации специалистов, корейские компании готовы начать производство микросхем памяти, как только стандарт будет утвержден JEDEC, чтобы обойти к...

Стоило ли затевать сборку этих машин в России? Опрос дилеров показал, что Kaiyi и BAIC могут покинуть российский автомобильный рынок уже в 2024 году Опрос, проведенный интернет-ресурсом «Новый проспект» и Telegram-каналом AutoRun SPb среди 12 дилерских автохолдингов Санкт-Петербурга, показал, что три китайские марки могут уйти с российского авторынка уже в текущем году — это производящиеся в России...

Nokia удалила все упоминания о телефонах со своего сайта, а HMD Global показала первый фирменный смартфон Официальный сайт Nokia в Финляндии удалил раздел мобильных телефонов, а ссылка nokia.com/phones переводит на недавно запущенный официальный сайт HMD Global — hmd.com. HMD Global продемонстрировала внешний вид своего нового смартфона TA-1585 под собственным брендом...

Илон Маск раскрыл в суде свои секретные аккаунты на платформе X В секретных аккаунтах Маска можно увидеть грубые высказывания, сомнительные инсинуации и спорные заявления. Маск вынужден раскрыть суду запасные аккаунты на платформе X (экс-Твиттер).

Tesla намерена добиться, чтобы её электромобили требовали как можно меньше обслуживания Компания ищет руководителя профильной программы.

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Samsung Galaxy A55 слили до официального анонса Если верить официальной информации, новые смартфоны Samsung Galaxy A55 и Galaxy A35 будут представлены 11 марта, однако бельгийский оператор мобильной связи, который попутно продаёт смартфоны, разместил информацию про Galaxy A55 на своём сайте с полным списком характеристик ...

В «Москвиче» прокомментировали информацию о нечитаемом VIN-номере на новых машинах В пресс-службе «Москвича» прокомментировали по запросу «Российской газете» информацию о том, что завод якобы начал сразу три отзывные/сервисные кампании, которые касаются бензиновых кроссоверов «Москвич 3». «Качество выпускаемых...

Nvidia уже работает над несколькими системами охлаждения для видеокарт GeForce RTX 50. СО рассчитаны на TDP от 250 до 600 Вт Компания Nvidia уже тестирует различные варианты кулеров для видеокарт GeForce RTX 50.   прототип топовой СО для RTX 40; фото: Gamer2live В работе находится несколько вариантов систем охлаждения, рассчитанных на TDP от 250 Вт до 600 Вт. При этом это не означа...

У КамАЗа появится собственный «автомат» Как сообщает Quto.ru со ссылкой на «Авторевю», КамАЗ работает над собственной автоматизированной трансмиссией для грузовиков. Раньше такие коробки передач закупали у немецкой ZF, но эта компания ушла из России, а бывшее совместное предприятие «ZF КАМА&...

HMD Pulse слили за день до релиза Компания HMD Global недавно официально объявила, что начнёт производить смартфоны под собственным брендом — это произошло после многих лет выпуска новинок под брендом Nokia, которым гигант владеет достаточно продолжительное время. И первым смартфоном производителя будет моде...

ТНК Wyeth первой запускает в Китае выпуск молочной смеси 3-го уровня с ОГМ Транснациональная компания Wyeth Nutrition объявила о начале выпуска в Китае первой молочной смеси 3-го уровня illuma с добавлением двух олигосахаридов грудного молока (ОГМ). Этот продукт, предназначенный для детей старше 3-х лет, производится на соответствующем стандарту GM...

AMD празднует 55-летие Компании AMD вчера исполнилось 55 лет. Она была основана 1 мая 1969 года бизнесменом Джерри Сандерсом и семью его коллегами, которые до этого работали в Fairchild Semiconductor.   Довольно долго AMD не была той компанией, о которой знают буквально все, кто хоть не...

Xiaomi снова занимается разработкой собственной платформы для своих смартфонов. Прошлый опыт компании был не особо успешным Компания Xiaomi работает вместе с Arm над некой однокристальной системой для смартфонов.  Об этом рассказал генеральный директор MediaTek, а также эту информацию можно найти в одном из отчётов Couterpoint.  Пару лет назад уже сообщалось, что с Arm над собстве...

Huawei придётся продолжать использовать старую-новую SoC Kirin 9000s в смартфонах 2024 года. Эту платформу может получить базовая модель P70 Компания Huawei, возможно, создала для смартфонов линейки P70 новую SoC Kirin 9010, хотя её параметры пока неизвестны. Согласно свежим данным, младшая модель P70 получит старую платформу.  фото: Bloomberg  Тот же инсайдер Smart Pikachu говорит, что модель Hua...

Новая партия истребителей пятого поколения Су-57 будет передана в войска до конца этого года Суперсовременные истребители уже производятся серийно.

Стекло, керамика и лазер: альтернатива HDD может хранить до 10 ПБ в течение 5000 лет Компания Cerebyte создала прототип системы хранения архивных данных, используя стекло, керамику и лазер. По долговечности накопитель информации способен соперничать с древними глиняными клинописными табличками, созданными шумерами около 3,5 тыс лет назад. Считать информацию...

PlayStation 5 Pro уже готовится к официальному анонсу Буквально на прошлой неделе компания Sony официально анонсировала Slim-версию своей консоли нового поколения PlayStation 5, а уже сегодня появилась первая неофициальная информация о более производительной консоли PlayStation 5 Pro. Стоит сразу отметить, что данная информация...

Самый дешёвый ультрафлагман? Ретейлер раскрыл стоимость Asus Zenfone 11 Ultra и подтвердил характеристики Официальная премьера Asus Zenfone 11 Ultra состоится 14 марта, но чешский ретейлер Huramobil поспешил добавить новинку в свой каталог с перечислением всех характеристик и цены. Позже информацию с сайта убрали, но интернет помнит все. По данным ретейлера, в продажу пост...

Следующая Hyundai Elantra N получит более мощный мотор Только вчера Hyundai представила Elantra N 2024 года в США, однако производитель уже работает над моделью следующего поколения. Исполнительный технический консультант Альберт Бирманн в интервью австралийскому журналу CarExpert Альберт Бирманн подтвердил, что автомобиль ...

OnePlus 12 получит существенный апгрейд системы камер Официальная презентация смартфона OnePlus 12, если верить информации инсайдеров, состоится позднее в этом году в Китае, а в начале 2024 года смартфон появится уже и на глобальном рынке. Благо, задолго до официального анонса устройства появилась информация о том, что новый см...

DataOps Platform: из чего состоит наша платформа для работы с данными и как мы её создавали Привет, Хабр! Меня зовут Наджим Мохаммад, я руководитель продукта МТС. Вместе с моим коллегой, руководителем направления разработки платформы МТС Big Data Максимом Бартеневым сегодня мы  поговорим об эволюции платформ данных и нюансах работы платформы МТС для работы с д...

Смартфоны линейки Samsung Galaxy S25 не получат SoC Qualcomm Snapdragon Согласно информации от инсайдера Connor (OreXda), они будут построены на базе фирменного чипсета компании Samsung - Exynos 2500.

Искусственный интеллект сравняется с человеческим мозгом уже через 10 лет, считает глава NVIDIA Кроме того, руководитель компании заявил, что конкуренты пока что далеко позади.

Руководитель компании Nvidia посетил несколько регионов Китая в начале 2024 года По имеющимся данным, Дженсен Хуанг посетил офисы компании в Шэньчжэне, Шанхае и Пекине

Руководители компаний Nvidia и TSMC встретились на Тайване Представители технологических гигантов обсудили дальнейшее развитие искусственного интеллекта

Defence24: новый немецкий танк KF51 Panther будет производиться в Венгрии Власти Венгрии и немецкий концерн Rheinmetall подписали соглашение о совместном выпуске танка KF51

Xiaomi, и это называется обновление? Redmi 13C 5G получит менее производительную и менее энергоэффективную платформу Компания Xiaomi готовит недорогой смартфон с поддержкой 5G. Речь о Redmi 13C 5G, который не стоит путать с обычным Redmi 13C (4G).   Фото: MySmartPrice Если последний получит SoC MediaTek Helio G85, то первый будет основан на Dimensity 6100+. Это платформа, котора...

Go go в IT Кадровый вопрос в IT не уходит из повестки уже много лет. Но вот забавная история приключилась на Дальнем Востоке. Одна IT-компания из Хабаровска, а точнее ее руководитель решил, что сотрудникам будет неплохо получать некоторую разрядку. Отдых, но желательно без отрыва от пр...

Realme 12+ 5G показали на официальных рендерах Компания также раскрыла основные характеристики девайса.

Официально: Xiaomi похоронит MIUI с выпуском Xiaomi 14 Глава компании уже показал новую операционку и раскрыл её название.

Мифические, эпические и легендарные: Epic Games назвала топ-20 игр 2023 года Компания также раскрыла список самых ожидаемых: Killing Floor 3, S.T.A.L.K.E.R. 2: Heart of Chornobyl и не только.

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Defense News: парламент Италии одобрил приобретение танков Leopard 2 Итальянские власти решили приобрести для своей армии современные танки. Их окончательная сборка будет производится на местных предприятиях

Арестованы злоумышленники, похитившие у FTX 400 млн долларов в криптовалюте Власти США сообщают, что раскрыли крупную схему по подмене SIM-карт (SIM-swap): жителя Чикаго и его сообщников обвиняют в краже более 400 миллионов долларов в криптовалюте. Известно, что от этих атак пострадали более 50 жертв, включая неназванную компанию. ИБ-специалисты пол...

Руководитель студии-разработчика Baldur’s Gate 3 был уверен, что Alan Wake 2 станет игрой года по версии Golden Joystick Ну какой же скромняга-симпатяга!

Российский автопроизводитель будет сам производить подушки безопасности Российский автомобильный производитель "Соллерс" ведет подготовку к запуску производства ключевых компонентов системы пассивной безопасности для автомобилей. Подушки безопасности, электронные блоки управления, рулевые колеса и ремни безопасности будут производиться на базе У...

Apple подала в суд на бывшего сотрудника за утечку конфиденциальной информации Технологический гигант Apple ввязался в судебную тяжбу с бывшим сотрудником Эндрю Ауде, обвиняемым в утечке секретной информации. В иске, поданном в Калифорнии, утверждается, что Ауде, инженер iOS, нарушил соглашение о конфиденциальности, раскрыв подробности о невыпущенных п...

В сети появились рендеры будущего складного устройства от компании Google По информации экспертов, Pixel Fold 2 может быть представлен в июне этого года

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Официально: OnePlus Ace 3V готов к выходу Один из руководителей компании OnePlus официально объявил, что смартфон OnePlus Ace 3V будет представлен в ближайшее время. Точная дата не была объявлена, но предполагается, что релиз состоится до конца этого месяца. По предварительным данным, аппарат оснастят 4-наномет...

У страховой компании Fidelity украли данные клиентов: виновной себя не признала Страховая компания Fidelity Investments Life Insurance уведомила около 30 000 клиентов о том, что их личная и финансовая информация могла быть похищена в результате кибератаки. Компания возлагает ответственность за взлом на Infosys, поставщика технических услуг, используемог...

Компания 23andMe допустила утечку генетической информации 1,3 млн человек После того как хакеры выставили на продажу информацию миллионов человек, биотехнологическая компания 23andMe, специализирующаяся на генетических исследованиях, подтвердила, что допустила утечку данных своих клиентов.

Новогодний розыгрыш iGo3D Russia! Дорогие друзья, мы объявляем новогодний розыгрыш!

В России выйдут 7 моделей нового бренда Chery Nev и 3-4 модели Chery в 2024 году Компания Chery, которая недавно сообщила о запуске нового бренда Chery Nev, подтвердила, что в следующем году на российском рынке появится семь новых моделей. По словам руководителя марки Chery Nev Дмитрия Максимова, пять из них будут гибридами, а две - электромобилями:...

10 миллионов флагманов в год. Xiaomi ввела в строй передовой самообучающийся завод по производству смартфонов Сегодня Xiaomi показала свой новейший флагман Xiaomi 14 Ultra и раскрыла дату его официальной премьеры. Одновременно глава бренда Лю Вейбинг (на этой позиции он сейчас заменяет Лея Цзуня) рассказал о новом заводе, на котором, следует полагать, и будет производиться Xiao...

5 популярных смартфонов Poco уже скоро получат HyperOS Компания раскрыла планы по обновлению старых устройств.

Samsung раскрыла планы по разработке 3D памяти и стекированной DRAM Южнокорейская компания заявила, что 3D DRAM может появиться через несколько лет.

HUAWEI раскрывает секреты процессора Kirin 9006C: переход на 5 нм Компания HUAWEI раскрыла характеристики нового «домашнего» процессора под названием Kirin 9006C

Xiaomi раскрыла дизайн Redmi K70 Pro на официальных рендерах Компания также поделилась некоторыми ключевыми характеристиками флагмана.

Infinix Note 40 Pro+ 5G засветился на живых фотографиях до анонса Компания также раскрыла некоторые интересные особенности новинки.

Компания Orange Pi раскрыла детали Orange Pi 5 Pro, а также анонсировала Orange Pi Watch D Pro На недавней конференции разработчиков Orange Pi 2024, компания раскрыла детали Orange Pi 5 Pro, а также анонсировала запуск Orange Pi Watch D Pro.

AMD совершит очередной «квантовый скачок»? Производительность ядра Zen 5 может быть более чем на 40% выше, чем у Zen 4 Процессоры AMD на архитектуре Zen 5, возможно, принесут не просто большой, а огромный прирост производительности относительно предшественников.  Известный инсайдер Kepler утверждает, что ядро Zen 5 более чем на 40% производительнее, чем Zen 4. Правда, тут не очень...

Ученые раскрыли науку, лежащую в основе скручивания моркови Группа исследователей из Университета Бата раскрыла тайну, почему морковь сворачивается, если ее долго не есть. Исследование, проведенное под руководством студента-механика Нгуена Во-Буи, показало, что скручивание вызвано сочетанием остаточных напряжений в структуре моркови ...

Автомобили Omoda продаются втрое лучше «Москвичей»: раскрыто количество проданных в России машин Omoda Принадлежащий Chery бренд Omoda раскрыл статистику продаж автомобилей в России. Оказалось, что с октября 2022 года реализовано более 30 тыс. авто, и это очень хороший показатель. Для сравнения, «Москвич» с ноября прошлого года продал «более 9 тыс. маши...

Русскоязычная хак-группа Midnight Blizzard взломала корпоративную почту Microsoft Компания Microsoft сообщила, что ряд ее корпоративных email-аккаунтов был взломан, а данные похищены русскоязычной хакерской группой Midnight Blizzard (она же Nobelium, APT29 и Cozy Bear). Хакеры провели в системе больше месяца и скомпрометировали электронную почту руководит...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Скоро на некоторых чипах Apple сможет красоваться надпись Made in USA. В США чипы Apple будет производить TSMC и упаковывать Amkor США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia В ближайшее время некоторые платформы Apple будут полностью производиться в США.  Компания сообщила, что станет первым и крупнейшим заказчиком нового завода Amk...

Китайцы снова эксклюзивно получат новый процессор Intel. Это будет 10-ядерный Core i5-14490F Компания Intel снова выпустит для китайского рынка уникальный процессор. Возможно, и не один, но пока появилась информация только о модели Core i5-14490F.  Core i5-14490F — это преемник Core i5-13490F, который также выпускался только для Китая, а до этого та...

Названы характеристики камеры самого быстрого в мире Android-cмартфона Основная камера нового флагманского смартфона Vivo X100 Pro оснащена 1-дюймовым датчиком изображения Sony IMX989 разрешением 50 Мп, а также объективом с диафрагмой F/1,75. Есть поддержка оптической стабилизации изображения OIS. Кроме того, vivo X100 Pro оснащен перископ...

Процессоры AMD Zen6 будут оснащены встроенной графикой RDNA5 В сети постепенно начинает появляться информация о будущих архитектурах CPU и GPU компании AMD

OnePlus представит новые смарт-часы Watch 2 Компания OnePlus снова предпринимает попытку в сфере умных часов с выпуском модели Watch 2. Как заявлено в объявлении, новые часы обладают батареей, которая может выдерживать до 100 часов автономной работы. Устройство будет доступно в черном и серебряном цветах. Компания обе...

Изменения в руководстве Cruise после инцидента с такси После серьезного инцидента с самоуправляемым такси, компания Cruise решила сменить свое руководство, уволив девять ключевых руководителей

Названы лучшие и худшие руководители IT-компаний по мнению сотрудников Согласно новому опросу, четыре из пяти сотрудников Apple одобряют работу Тима Кука на посту генерального директора.

Российским дилерам Skoda начинают проводить ребрендинг сайтов С 16 февраля российским дилерам Skoda начнут проводить ребрендинг сайтов, о чём пишет Сергей Цыганов, известный автоэксперт и ведущий телеграм-канала «Русский автомобиль». В частности, уберут с сайтов всю информацию о покупке автомобилей. В разосланном дилер...

Тест Geekbench раскрыл мощность зарядки и процессор флагманских смартфонов iQOO 12 и 12 Pro Серия смартфонов iQOO 12, включая модели 12 и 12 Pro, будет представлена 7 ноября. Ожидается, что оба телефона будут оснащены чипом Snapdragon 8 Gen 3.

The Witcher 4 уже находится в стадии разработки Несколько часов назад появилась информация о том, что дополнение Cyberpunk 2077: Phantom Liberty вышло в релиз, так что теперь основная часть сотрудников CD Projekt RED перешла работать над The Witcher 4. Эту информацию инсайдеры получили со слайда, опубликованного вчера во ...

Платформа Intel Core Ultra Lunar Lake предлагает производительность более 100 ТОПС На конференции Vision 2024 компания Intel раскрыла некоторые сведения о процессорах Core Ultra Lunar Lake для ноутбуков.

Ayaneo Next Lite с модифицированной версией SteamOS по цене $299 Китайская компания Ayaneo раскрыла все подробности о новой портативной приставке Next Lite

Патч Linux раскрыл кодовые имена нескольких будущих процессоров и архитектур Intel Также компания вводит новый идентификатор.

Apple увеличивает инвестиции в ИИ для совершенствования Siri и Messages Вакансии на сайте Apple раскрыли тотальное внедрение ИИ в продукты компании

Дуа Липа раскрыла некоторые интересные подробности из жизни Тима Кука От котлет на кухне до самой дорогой компании в мире.

Xiaomi назвала дату презентации самого доступного смартфона Poco C61 Компания также раскрыла его основные характеристики.

OnePlus раскрыла дизайн OnePlus 12 Компания OnePlus опубликовала рекламный видеоролик и несколько снимков своего будущего флагмана

vivo и iQOO начали получать обновление Funtouch OS 14 на базе Android 14 Компания раскрыла список смартфонов, на которые можно установить новую прошивку.

MediaTek представит Dimensity 9400 уже в этом году Если верить информации инсайдеров, новый процессор Dimensity 9400 от MediaTek должен быть анонсирован уже в этом году, и похоже, что MediaTek планирует вести борьбу с Snapdragon 8 Gen 4 в плане производительности своего флагманского процессора нового поколения. Согласно инфо...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Apple готовит складной iPad в 2026 году Есть информация от надёжного источника о том, что компания Apple впервые перейдёт от технологии miniLED к OLED для линейки планшетов iPad Pro в 2024 году, после чего эти панели в конечном итоге появятся и в премиальной линейке ноутбуков MacBook. Кроме того, поставщики секрет...

Российские операторы тестируют отечественные SIM-карты для интернета вещей Издание «Коммерсантъ» со ссылкой на Минпромторг сообщило, что в декабре российские операторы «МегаФон», МТС и «Вымпелком», а также «ряд специализированных компаний», получили для тестирования образцы российских SIM-карт дл...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

PlayStation 5 Pro выйдет в конце 2024 года По информации сразу нескольких западных инсайдеров, компания Sony уже начала делиться информацией о характеристиках PlayStation 5 Pro с крупными издателями и сторонними разработчиками, чтобы они могли примерно понимать возможности новой консоли и готовились к разработке новы...

Обмен Германией данными НАТО с Россией Информация о подводных лодках НАТО в Балтийском море была предоставлена российской газовой компании «Газпром»

Apple разрешит ремонтировать iPhone с помощью бывших в употреблении компонентов Вместе с этим, компания утверждает, что теперь будет больше информации о запчастях для устройств

Washington Post: Спрос на нефть и газ увеличивается, откладывая конец эры ископаемого топлива В Washington Post опубликована статья о том, что поэтапный отказ от ископаемого топлива — «фантазия», говорят руководители нефтяных компаний на фоне гигантских прибылей.

Автономный программатор SWD При разработке и последующем производстве электроники неизбежно встаёт вопрос проверки собранных изделий. Если компания небольшая, а производство мелкосерийное, то в жертву рутине можно принести какого-нибудь начинающего специалиста, либо самого разработчика. Как правило, тр...

Первый Mercedes Mythos появится уже в 2025 году Mercedes подтвердила, что первая модель нового бренда Mythos будет выпущена в 2025 году. Компания объявила о планах по созданию бренда ультра-роскошных моделей Mythos в мае 2022 года, но с тех пор не сообщила никаких подробностей. Немецкая марка класса люкс назвала Myth...

Apple представит новый iPad Pro уже 26 марта Недавно появилась информация о том, что компания Apple может анонсировать крупное обновление линейки iPad Pro уже 26 марта с приличным перечнем существенных изменений в конструкции. Например, есть мнение, что ОLED-дисплей станет основным нововведением данного планшета. Кроме...

Методы оценки руководителей Руководители не только определяют стратегическое направление компании, но и вдохновляют свои команды на достижение общих целей. Именно поэтому оценка руководителей является важнейшим инструментом в руках современного HR-специалиста и топ-менеджмента. Она позволяет не только ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)