Социальные сети Рунета
Среда, 1 мая 2024

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

В США считают, что санкции относительно SMIC и Huawei работают хорошо, несмотря на выпуск SoC Kirin 9000S Несмотря на явные успехи китайской компании SMIC, которая смогла вопреки всем санкциям создать для Huawei 7-нанометровую SoC Kirin 9000S, в США считают, что санкции работают хорошо.  Фото: Bloomberg Суть в том, что просто создать какой-то чип недостаточно — ...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

AMD, как вообще возможет такой прирост? Тесты 64-ядерного Threadripper 7980X показали, насколько чудовищной может быть его производительность Мы уже видели результаты ряда тестов новых процессоров AMD Ryzen Threadripper 7000, но лишь сейчас спал запрет на публикацию обзоров, и в Сети появилось множество соответствующих материалов.  Почти все тесты касаются обычных Threadripper 7000, где флагманом выступ...

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Так просто AMD сделает новые процессоры из старых. Ryzen 8000G будут моделями, которые могли бы выйти под именем Ryzen 7000G Компания AMD пока так и не представила настольных процессоров Ryzen 7000G, хотя в последнее время о них появляется всё больше утечек. Согласно свежим данным, вполне вероятно, что такие APU всё же не выйдут. Но не потому, что AMD решила от них отказаться, а потому, что в...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Даже если взять оптимизированное под процессоры Intel ПО для искусственного интеллекта, новый Core Ultra 7 155H в половине случаев проигрывает Ryzen 7 7840U Сегодняшние тесты процессора Intel Core Ultra 7 155H под Linux показали, что там новинка Intel существенно уступает 15-ваттному Ryzen 7 7840U при большем энергопотреблении. Авторы Tom's Hardware решили отдельно протестировать Meteor Lake в задачах, связанных с ИИ, п...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Новейший Core Ultra 5 125H не может уверенно обойти Core i5-13500H при одинаковом количестве ядер и одинаковом режиме мощности Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер https://videocardz.com/newz/intel-ultra-5-125h-and-ryzen-7-7840hs-tested-at-65w-intel-with-higher-igpu-score-but-falls-short-in-cpu-testsАн...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Intel может создать процессор с 12 большими ядрами для LGA1700. Появились новые данные о CPU Bartlett Lake Похоже, Intel действительно выпустит процессоры Bartlett Lake в исполнении LGA1700 в текущем году, продлив таким образом жизнь платформе. На это указывают новые данные, правда, есть нюанс.  Ресурс Benchlife говорит, что эти CPU в первую очередь будут ориентированы...

Ничего не производя, Nvidia умудрилась обойти Intel, Samsung и TSMC и по выручке, и по прибыли На днях компания Nvidia опубликовала свой очередной финансовый отчёт, который, конечно, чуть ли не полностью состоял из рекордных для компании показателей. Однако оказалось, что они рекордны не только для Nvidia. Именно Nvidia сейчас является лидером по выручке среди ко...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

Ни у кого сейчас нет ПК, соответствующего этим требованиям. Для локального запуска Copilot компьютеры класса AI PC будут должны иметь NPU мощностью 40 TOPS Мало того, что компании уже сравнивают свои процессоры по производительности блоков NPU, так вскоре этот показатель может стать ещё и ограничивающим фактором в Windows. Intel на мероприятии Intel AI Summit рассказала, что у ИИ Windows Copilot будет требование к производ...

Huawei готовится к рекордным продажам Mate 70 Компания Huawei недавно вернулась на рынок смартфонов и показала приличные показатели продаж — бренд может похвастаться продажами, которых нет у крупных компаний топового уровня. И останавливаться на достигнутом компания не планирует — если верить инсайдерам, производитель з...

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

То есть Qualcomm сделала 12-ядерный Arm-процессор, который потребляет больше, чем 16-ядерный Core Ultra? Стали известны лимиты мощности для Snapdragon X Elite После вчерашних новостей об обмане Qualcomm уже вовсе не факт, что она изменит рынок ПК со своими SoC Snapdragon X, но компания точно уже вляпалась в скандал. И новые данные о высоком потреблении новых платформ подливают масла в огонь.  Компания никогда не заявлял...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

3D V-Cache на процессорах Ryzen можно использовать в качестве RAM-диска со скоростью около 180 ГБ/с Некоторые процессоры AMD, включая обычные Ryzen, предлагают дополнительную кеш-памяти в виде решения 3D V-Cache. Она зачастую обеспечивает очень хороший прирост в играх, но в большинстве остальных задач чаще всего не играет вообще никакой роли. Оказалось, что её можно и...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Такого AMD ещё не делала. Компания собирается выпустить серверные процессоры Epyc 4004 Raphael для потребительской платформы AM5 Похоже, компания AMD в ближайшее время может сделать платформу AM5 намного более привлекательной для энтузиастов. Сообщается, что для AM5 могут выйти серверные процессоры Epyc.  Линейка якобы будет называться Epyc 4004 Raphael. Такие процессоры ожидаемо не будут с...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

AMD совершит очередной «квантовый скачок»? Производительность ядра Zen 5 может быть более чем на 40% выше, чем у Zen 4 Процессоры AMD на архитектуре Zen 5, возможно, принесут не просто большой, а огромный прирост производительности относительно предшественников.  Известный инсайдер Kepler утверждает, что ядро Zen 5 более чем на 40% производительнее, чем Zen 4. Правда, тут не очень...

Теперь процессоры с малыми ядрами есть и у AMD. Компания представила первые потребительские APU с ядрами Zen 4c Компания AMD представила первые потребительские процессоры с малыми ядрами Zen 4c.   Новинки формально относятся к той же линейке Ryzen 7040U, но неформально их называют Phoenix2. Так как кристалл Phoenix2 содержит меньше ядер, чем кристалл Phonenix, то среди новы...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

«Полосатый» процессор Intel с 90 ядрами и 656 МБ кеш-памяти. CPU из линейки Granite Rapids-SP засветился в Сети В Сети засветился процессор Intel поколения Granite Rapids-SP, которое будет нацелено на серверный сегмент.  Эти полосатые (чиплеты выполнены в виде полосок) CPU выделяются тем, что будут иметь большое количество ядер. Даже по меркам рынка в целом, не го...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Intel вообще будет чем ответить на такое? Ryzen 9 9950X приписывают производительность на 40-45% выше, чем у Ryzen 9 7950X В Сети появились свежие слухи о процессорах AMD Ryzen 9000 для настольного сегмента. Впрочем, в целом их можно проецировать и на другие CPU компании на основе архитектуры Zen 5.  создано DALL-E Автор канала RedGamingTech получил данные о производительности старших...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Количество ядер в процессоре смартфона — насколько это важно? Ядра процессора хоть и влияют на производительность смартфона, но большее значение имеет не их число, а их характеристики. Расскажем, насколько важно количество ядер в процессоре смартфона, и на что важно обратить внимание при выборе телефона. Что такое ядра процессора телеф...

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Такой набор характеристик предложит только AMD. К выходу готовятся 35-ваттные процессоры Ryzen 8000GE Компания AMD готовит процессоры Ryzen 8000GE, которые будут выделяться низким энергопотреблением.  В Сети появились данные о четырёх таких APU. То есть у каждой из моделей Ryzen 8000G будет версия GE с теми же основными параметрами, но сниженными частотами.  ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

LPDDR6 уже в этом году, но только на смартфонах с Android? Поддержку новой памяти может получить Snapdragon 8 Gen 4 Память LPDDR6 действительно может появиться в серийных устройствах уже в этом году. Сообщается, что Qualcomm может добавить поддержку новой памяти своей топовой SoC Snapdragon 8 Gen 4.  Официальных данных на этот счёт нет, но у компании вполне неплохой запас време...

AMD упакует 32 процессорных ядра в один чиплет. Такими будут уже CPU на архитектуре Zen 6 Несмотря на то, что в Сети ещё достаточно мало информации об архитектуре AMD Zen 5 и продуктах на её основе, сегодня мы получили достаточно подробные данные о CPU на основе Zen 6.  Информация касается в основном серверных CPU, но она всё равно важна, потому как ко...

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

«Через 10 лет компьютеры будут делать это в миллион раз быстрее». Глава Nvidia не считает, что нужно вкладывать триллионы долларов в производство чипов для ИИ Несмотря на то, что Nvidia сейчас является чуть ли не основным выгодополучателем от роста интереса к ИИ, глава компании Дженсен Хуанг (Jensen Huang) не считает, что в отрасль нужно вкладывать дополнительные триллионы долларов.  Если вы просто предположите, что ком...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Какую цену нужно заплатить за однослотовость GeForce RTX 4060 Ti Max. Тесты модели Galax показывают, что карта весьма шумная Компания Galax ещё в конце прошлого года представила необычную видеокарту RTX 4060 Ti Max. Необычность её заключалась в однослотовом исполнении. И теперь эта видеокарта наконец-то поступила на рынок и была протестирована.  Технически это обычная RTX 4060 Ti 16GB, ...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Nvidia научилась делать суперпроцессоры? Nvidia Grace Hopper GH200 с 72 ядрами Arm порой обходит два 96-ядерных AMD Epyc В Сети появились первые тесты процессора Nvidia Grace Hopper GH200, ориентированного на ЦОД, суперкомпьютеры и системы искусственного интеллекта. Оказалось, у Nvidia вполне получилось создать продукт, который может конкурировать с решениями AMD и Intel.  Ресурс Ph...

Похоже, AMD снова вернёт себе звание производителя самых мощный iGPU. Тесты графического ядра в процессорах Intel нового поколения на это намекают В конце текущего года Intel выпустит процессоры Arrow Lake, которые заменят Raptor Lake в настольном и мобильном сегментах. И один такой CPU уже засветился в бенчмарке.  Пока ещё безымянный процессор появился в базе SiSoft Sandra. В данном случае есть чуть больше ...

Удивительно, но самыми выгодными видеокартами на сегодня являются адаптеры Intel. AMD отстаёт несильно, а вот карты GeForce ощутимо хуже Авторы портала 3DCenter опубликовали свежий индекс производительности видеокарт с учётом актуальных цен. Цены они берут в Германии и Польше, но в целом они довольно близки к показателям по всему Евросоюзу и к ценам в США с поправкой на налоги.  создано DALL-E Данн...

Какие процессоры чаще всего устанавливают в Android-смартфоны, и почему вы должны знать свой Процессор (чипсет) — это сердце смартфона. От него зависит не только производительность устройства, но и масса других показателей, включая автономность и качество фото. Но задумывались ли вы, какой процессор стоит в телефоне? Если нет, то абсолютно зря. Ведь информация о чи...

Китайский четырёхъядерный процессор Loongson 3A6000 способен конкурировать с Core i5-14600K. Если им уровнять частоты Вчера китайская компания Loongson наконец-то выпустила на рынок свой процессор 3A6000, который в ряде тестов действительно не уступает Ryzen 3 3100 и Core i3-10100F. Оказалось, что он может тягаться даже с Core i5-14600K! Правда, только на одинаковой частоте.  Фот...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

Это санкции США вызвали катастрофу? За текущий год в Китае закрылось почти 11 000 компаний, связанных с производством чипов: это по 30 компаний в день Когда SMIC удалось выпустить для Huawei 7-нанометровую SoC, можно было бы подумать, что санкции США только укрепляют силу китайских производителей чипов, хотя американцы заявляют, что всё не так просто. Однако данные DigiTimes указывают на то, что на самом деле ситуация...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

США могут добавить в «чёрный список» поставщиков Huawei США рассматривает возможность внесения в «чёрный список» ряда китайских полупроводниковых компаний, связанных с Huawei Technologies, после того, как в прошлом году телекоммуникационный гигант совершил значительный технологический прорыв, о чем пишет Bloomber...

Битва iGPU Intel и AMD вышла на новый уровень. Core Ultra 7 155H и Ryzen 7 7840HS сравнили в восьми играх и двух режимах Мы уже видели тесты новых процессоров Intel Meteor Lake и примерно представляем себе, что вышло у компании, хотя первые тесты были весьма поверхностными. Но теперь в Сети появился обзор, где авторы уделили намного больше внимания iGPU.  Процессоры были протестиров...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Core i9-14900K после решения проблемы со стабильностью может работать не особо быстрее Core i5-14600K. Тесты показали разницу между подходом Asus и Gigabyte Авторы канала Hardware Unboxed решили протестировать процессор Core i9-14900K с включённым профилем Intel Baseline Profile в ряде игр и приложений.   Напомним, профиль Intel Baseline Profile призван решить проблемы с некорректной работой топовых CPU Intel в играх ...

Apple развивает огромными скачками. SoC M3 Max в MacBook Pro с 16 ядрами CPU умудряется соперничать с 24-ядерной SoC M2 Ultra Вчера мы уже имели возможность убедиться в том, что новая SoC Apple M3 намного быстрее M2 и даже приближается по процессорной производительности к M2 Pro. Оказалось, что M3 Max ещё более впечатляюща в сравнении с прошлым поколением.  В Geekbench 6 платформа набира...

Эти китайские процессоры смогут потягаться хотя бы с современными Core i3? Loongson представила CPU 3B6600 и 3B7000 Компания Loongson представила новые потребительские процессоры: 3B6600 и 3B7000. Это новое поколение, которое, к кроме прочего, приносит больше ядер.  Многих подробностей пока нет, так как анонс, видимо, предварительный. Но слайды с презентации позволяют понять, ч...

Видеокарта GeForce RTX 4080 Super может полностью заменить на рынке обычную RTX 4080, но при этом быть дороже Видеокарта GeForce RTX 4080 Super, о которой в последнее время говорят всё активнее, может выйти не для расширения линейки RTX 40, а на замену текущей RTX 4080.  Сообщается, что после выхода новинки обычная RTX 4080 будет снята с продажи. На фоне этих слухов ритей...

Nvidia готовит урезанную GeForce RTX 3050 с 6 ГБ памяти и 96-битной шиной Пока Intel собирается наконец-то выпустить представленную более года назад видеокарту Arc A580, которая будет конкурировать с GeForce RTX 3050, Nvidia собирается обновить линейку RTX 30, представив самую дешёвую модель. Такой будет RTX 3050, но с 6 ГБ памяти.  RTX...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

Пока обычные пользователи получат новые процессоры Intel без Hyper-Threading, новые Xeon нарастят количество ядер вдвое. Появились подробности о Granite Rapids-AP Компания Intel недавно формально анонсировала серверные процессоры Xeon 6, которые являются шестым поколением Xeon Scalable. Сегодня же о них появились новые данные.  Речь о линейки Granite Rapids-AP, то есть это процессоры, включающие только большие ядра. Это буд...

Nvidia представила видеокарту с 64-битной шиной и производительностью ниже, чем у GTX 1650. Анонсирована RTX A400, а вместе с ней и более мощная RTX A1000 Компания Nvidia представила пару новых профессиональных видеокарт: RTX A400 и A1000.   Это самые младшие представители линейки, причём данные адаптеры основаны на архитектуре Ampere, а не Ada Lovelace. Вероятно, выпуск таких моделей обусловлен финансовой выгодой.&...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Samsung раскрыла характеристики Exynos 1480 Совсем недавно компания Samsung выпустила смартфон Galaxy A55 — это произошло буквально в начале этого месяца. И, что самое важное, данный смартфон поставляется с процессором Exynos 1480, вот только производитель никаких деталей о данном процессоре не сообщил — просто в хара...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

MediaTek заключила контракт с Apple на поставки модулей Wi-Fi 7 Согласно информации издания Economic News Daily, компания MediaTek выиграла заказы на чипы Wi-Fi 7 у ведущего американского производителя, что угрожает монополии Broadcom в области модулей связи для планшетов. Учитывая, что Apple в настоящее время является наиболее доминирую...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Возникли проблемы: Nvidia откладывает запуск нового чипа H20, ориентированного на Китай Nvidia сообщила клиентам в Китае, что компания откладывает запуск нового чипа искусственного интеллекта, разработанного в соответствии с экспортными правилами США, до первого квартала следующего года. Речь идёт о чипе H20, самом мощном их трёх продуктов, ориентированных...

Владеть Hyundai и Kia небезопасно: с 2020 году количество краж таких автомобилей выросло более чем на 1000% в США С тех пор, как в 2021 году в социальных сетях распространился печально известный Kia Challenge, количество краж автомобилей Hyundai и Kia в США резко возросло. По данным CNN, с 2020 года уровень угонов автомобилей Hyundai и Kia увеличился более чем на 1000%. Примерно 1 ...

16 ядер китайского происхождения. Loongson готовится выпустить на рынок серверный процессор линейки 3C6000 Только вчера мы говорили о том, что четырёхъядерный китайский процессор Loongson 3C6000 при определённых условиях можно сравнивать с Ryzen 9 7950X и Core i9-14900K, а сегодня компания заявила, что уже готова вывести на рынок 16-ядерную модель этой же линейки.  Так...

Microsoft верит, что ноутбуки с SoC Snapdragon X Elite превзойдут MacBook Air на SoC M3 Уже в ближайшие месяцы на рынок выйдут первые ноутбуки с Windows и SoC Snapdragon X Elite/Plus. Microsoft считает, что такие ПК превзойдут ноутбуки Apple на основе SoC M3.   В данном случае имеется в виду преимущество по классической производительности, а также по...

В США один из худших показателей сроков строительства промышленных объектов. Это влияет и на постройку заводов по выпуску полупроводников США уже несколько лет придерживаются стратегии постройки на своей территории новых фабрик по производству полупроводников и не только. Оказалось, при этом в США одни из самых долгих сроков строительства таких объектов.  создано DALL-E Исследователи CSET оценили пр...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Белорусское — это теперь российское. Белорусскую микроэлектронику определённого типа начали приравнивать к российской Как сообщает ресурс «Ведомости», белорусскую микроэлектронику начали приравнивать к российской.   Пока это коснулось только аналоговых базовых матричных кристаллов (БМК), но вполне может распространиться и на другие виды продукции.  Суть в том, чт...

Почему новый процессор Dimensity 9300 круче Snapdragon 8 Gen 3, и вам нужен смартфон на MediaTek В конце прошлого месяца компания Qualcomm показала процессор Snapdragon 8 Gen 3, который будет использоваться в большинстве флагманских смартфонов 2024 года. Но ее конкурент в лице MediaTek не дремлет и уже сегодня подготовил свой ответ. 6 ноября вышел новый процессор Dimen...

Да, Intel продаёт всего два ядра за 82 доллара. Появились первые тесты процессора Processor 300, который мог бы называться Pentium Компания Intel в начале месяца представила вторую половину линейки процессоров Raptor Lake Refresh. Кроме прочего, там был младший CPU Intel Processor 300. И вот его тест появился в Сети.  Processor 300 — это фактически Pentium, но от этого бренда, как мы зн...

AMD обещает исправиться. Компания начнёт указывать частоты малых ядер Zen 4c в своих процессорах Компания AMD начинает активнее использовать условно малые ядра Zen 4c в своих процессорах, но по каким-то причинам раскрывает не всю информацию о них. Однако в ближайшее время компания обещает исправиться.  фото: AMD В частности, мы не раз говорили, что технически...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Теперь мы знаем, насколько действительно успешными оказались смартфоны Huawei Mate 60. Стало известно, сколько аппаратов продала компания Мы уже немало слышали о том, как успешны оказались смартфоны Huawei линейки Mate 60 в Китае, но теперь у нас наконец-то есть конкретные данные: 1,6 млн.  Фото: Huawei Именно столько своих новых флагманских смартфонов Huawei продала за шесть недель, согласно данным...

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

Чем на это будет отвечать Intel? В Сети засветились 55-ваттный мобильный APU AMD Strix Halo с огромным iGPU и 12-ядерный Strix Point Мобильные процессоры AMD поколения Strix Halo действительно могут выйти в этом году. По крайней мере они уже засветились в транспортных документах.  Модели не указаны, да и названий, скорее всего, пока просто нет, но есть указание на TDP 55 Вт. Это немало для моби...

Для Nvidia это очень щедро. GeForce RTX 4080 Super будет на 200 долларов дешевле обычной RTX 4080, а RTX 4070 Ti Super догонит по производительности RTX 4080 Видеокарты GeForce RTX 40 Super будут представлены уже завтра. Судя по второй утечке, цены действительно будут такими, о как мы уже указывали.  То есть RTX 4070 Super будет стоить 600 долларов (обычная RTX 4070 якобы подешевеет до 550 долларов), RTX 4070 Ti Super ...

Память 3D NAND с более чем 1000 слоёв. Kioxia уже планирует выпускать такую память Современная память 3D NAND имеет уже более 200 слоёв. Но в ближайшие годы этот показатель продолжит активно расти. Компания Kioxia, к примеру, говорит о том, что уже планирует массовое производство памяти с более чем 1000 слоями.  фото: Kioxia Ждать, правда, придё...

Купить один монитор, а получить как будто бы два разных. VESA представила стандарт Adaptive-Sync 1.1a для двухрежимных мониторов На рынке в скором времени может появиться намного больше двухрежимных мониторов.  Ассоциация VESA представила стандарт Adaptive-Sync 1.1a с двумя режимами работы дисплеев. В пресс-релизе в пример приводится два конкретных режима: 4K UHD при 144 Гц и Full HD при 28...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Камеры Sony больше не нужны? Китайские производители смартфонов переходят на OmniVision: топовый датчик превзойдёт Sony IMX989 Производитель датчиков изображения CMOS OmniVision выпустит в конце года новые продукты высокого класса, включая OV50K. Это датчик изображения дюймового формата, который будет намного превосходить по характеристикам Sony IMX989. Ранее анонсированный Xiaomi 14 испол...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Слишком мощные процессоры Intel надо ослабить, чтобы они работали нормально. Тесты показывают, что новый профиль, решающий проблему с играми, снижает производительность на 8-9% Итак, решение проблемы с самыми мощными процессорами Intel в играх — снижение производительности. Asus уже выпустила обновления BIOS для своих системных плат с поддержкой профиля мощности Intel Baseline Profile. И уже есть тесты Core i9-14900K в таком режиме. Про...

Snapdragon 7 Plus Gen 2 оказалась слишком мощной? Snapdragon 7 Gen 3 может получиться даже слабее – на это указывают характеристики Однокристальная система Snapdragon 7 Plus Gen 2 оказалась очень производительной, но Snapdragon 7 Gen 3 может не принести сколько-нибудь существенной прибавки к мощности.  Согласно данным инсайдера Digital Chat Station, новая платформа получит конфигурацию 1+3+4, ...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Наконец-то AMD вернётся к наращиванию количества ядер у своих процессоров. В Сети засветился APU Ryzen поколения Strix Point с 12 ядрами В базе Geekbench засветился мобильный процессор AMD линейки Strix Point. Новинка пока не имеет имени и скрывается за кодом 100-000000994-14_N. ПО подтверждает последние слухи и утечки о том, что количество ядер у процессоров Strix Point будет увеличено с текущих восьми...

Windows 11 версии 24H2 не будет работать на старых процессорах без инструкции POPCNT Стало известно, что Windows 11 версии 24H2 будет требовать наличие процессора с поддержкой инструкции POPCNT, что сделает невозможным запуск системы на очень старых компьютерах. Отметим, что эта инструкция была представлена компанией AMD в 2006–2007 годах вместе с архитекту...

Никаких дешёвых смартфонов с SoC Snapdragon 8 Gen 4. Эта платформа будет ещё дороже, чем и так очень дорогая Snapdragon 8 Gen 3 Похоже, с относительно доступными смартфонами на основе топовых платформ Qualcomm в скором можно будет попрощаться. Как сообщается, Snapdragon 8 Gen 4 будет ещё дороже, чем Gen 3.  Согласно недавним данным, Snapdargon 8 Gen 3 будет обходиться производителям смартф...

Это же сколько будет потреблять Core i9-14900KS при таких параметрах? Оказалось, что для достижения 6,2 ГГц нужно напряжение 1,5 В Процессор Intel Core i9-14900KS ещё не был представлен, но уже каким-то образом понемногу просачивается на рынок.  фото: pakhtunov Теперь вот в Сети появились фотографии упаковок этого CPU и скриншот BIOS. Там можно видеть подтверждение того, что речь о проце...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Новый процессор Huawei с ядрами Taishan V120 показал приличную производительность Появились новые результаты тестов будущего серверного процессора Huawei, показывающие уровни производительности, которые конкурируют с ядрами AMD Zen 3. Процессор был замечен на платформе Geekbench, хотя его точное название пока держится в секрете. Тем не менее, его про...

Intel избавится от Hyper-Threading, но это нестрашно? Процессоры Lunar Lake и без гиперпоточности будут в полтора раза быстрее Meteor Lake Процессоры Intel Lunar Lake будут лишены поддержки Hyper-Threading, согласно имеющейся сейчас информации. Несмотря на это, судя по свежим утечкам, они будут намного быстрее Meteor Lake.  Инсайдер Bionic_Squash утверждает, в многопоточном режиме работающий в режиме...

Samsung планирует продать очень много смартфонов линейки Galaxy S24. На 40% больше, чем аппаратов Galaxy S23 Компания Samsung, согласно данным The Elec, собирается продать намного больше смартфонов Galaxy S24 в сравнении с S23.  Если точнее, в планах компании — продать 35,2 млн флагманских аппаратов за следующий год, тогда как продажи линейки Galaxy S23 по итогам т...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Простым движением Core i9 превращается... в Core i7. Core i9-13900KF после включения профиля Intel Baseline Profile показывает падение производительности на 28% Производители системных плат начали выпускать обновления BIOS, которые приносят профиль энергопитания Intel Baseline Profile. Он нужен, чтобы снизить потребление топовых CPU Intel Core и избавить их от проблем в приложениях и играх. Тесты Core i9-13900KF показали, что п...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Скоро на некоторых чипах Apple сможет красоваться надпись Made in USA. В США чипы Apple будет производить TSMC и упаковывать Amkor США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia В ближайшее время некоторые платформы Apple будут полностью производиться в США.  Компания сообщила, что станет первым и крупнейшим заказчиком нового завода Amk...

У нас отмена: на Wildberries появилась кнопка отказа от покупки Российский маркетплейс Wildberries запустил функцию отмены заказа: появилась кнопка, которая позволяет отменить доставку, но только в течение одного часа после оформления заказа или до момента начала его сборки (в зависимости от того, что наступит раньше). О тестировани...

Вся линейка Samsung Galaxy S25 будет основана только на SoC Exynos? Свежие слухи говорят, что Snapdragon останется лишь у складных флагманов Согласно различным слухам, компания Samsung работает над совершенно новой SoC Exynos, которую якобы называют Dream Chip. Свежие данные говорят о том, что вся линейка флагманов Galaxy S 2025 года будет опираться на эту самую платформу.  Инсайдер Connor (OreXda), ко...

2024 год Intel начала, выпустив современный процессор с двумя большими и четырьмя малыми ядрами. Core Ultra 5 115U стал самым медленным из Meteor Lake Ассортимент компании Intel незаметно пополнился новым процессором линейки Core Ultra. Core Ultra 5 115U стал самым младшим представителем Meteor Lake.  Core Ultra 5 115U отличается от всех остальных Meteor Lake-U количеством ядер. Напомним, несмотря на то, что изн...

SSD, карты памяти и прочие накопители резко подорожают? Samsung собирается существенно повысить цены на память NAND уже в следующем месяце Samsung Electronics собирается резко и ощутимо поднять цены на микросхемы памяти NAND уже в следующем месяце.  Память NAND (и не только она) долгое время дешевела, но, похоже, этой тенденции приходит конец. Samsung, которая во второй половине этого года начала сущ...

MediaTek показала Dimensity 8300. Дешевый чип с флагманскими возможностями ИИ Компании Qualcomm и MediaTek уже анонсировали флагманские процессоры для смартфонов с поддержкой функций генеративного искусственного интеллекта. Как обычно, технологии заходят в наш мир с верхних сегментов, но рано или поздно опускаются в средний и бюджетный. В этот раз эт...

Nvidia наращивает поставки GPU для RTX 4090 в Китай в преддверии запрета поставок Компания Nvidia наращивает поставки графических процессоров для GeForce RTX 4090 в Китай в преддверии запрета, который вступает в силу 17 ноября.  Как сообщает MyDrivers, cразу несколько производителей видеокарт подтвердили, что серия RTX 4090 будет полностью запр...

Худшие процессоры MediaTek, способные испортить любой смартфон Компания MediaTek уже давно перестала восприниматься как производитель плохих процессоров для смартфонов. Большинство ее чипсетов, выпущенных за последние 5 лет, почти не греется и демонстрирует отличные показатели мощности, зачастую превосходящие решения Qualcomm в своем к...

Ядро Cortex-X5 сможет наконец-то догнать ядра в SoC Apple? Прирост производительности у Cortex-X5 будет самым большим за пять лет Следующее процессорное суперъядро Arm Cortex-X может оказаться настолько производительным, что догонит специальные реализации на основе архитектуры Arm.  создано DALL-E Отчёт исследовательской компании Moor Insights and Strategy утверждает, что прирост производите...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

А ведь глава Nvidia заявлял, что даже бесплатно — это было бы дорого. Ускоритель Intel Gaudi 2 лучше Nvidia H100 в пересчёте производительности на доллар Компания Intel пытается закрепиться на рынке ускорителей для ИИ посредством своих адаптеров семейства Gaudi. И уже второе поколение вышло вполне удачным. В частности, по показателю производительности на доллар в новых тестах MLPerf v4.0, которые демонстрируют возможност...

AMD продолжит наступать на Intel там, где у последней нет ответа. К выходу готовятся игровые процессоры Ryzen 7 5700X3D и Ryzen 5 5500X3D Компания AMD собирается расширить линейку процессоров Ryzen X3D, имеющихся дополнительную микросхему кеш-памяти. Причём расширить за счёт моделей Ryzen 5000.  Согласно свежим данным, к изначальному вышедшему Ryzen 7 5800X3D и эксклюзивному для американской сети Mi...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Информация о запрете поставок GeForce RTX 4090 в Китай не подтвердилась: цены должны снизиться После обновленного запрета на экспорт полупроводников из США слухи о запрете поставок Nvidia RTX 4090 в Китай привели к резкому росту местных цен. Однако, как пишет DigiTimes, слухи о запрете RTX 4090 не совсем верны. Тем не менее, китайские контрактные производители по...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

При покупке процессора Ryzen 8000G нужно быть осторожным. Оказалось, что младшие модели урезаны по количеству линий PCIe 4.0 Компания AMD на днях представила настольные гибридные процессоры Ryzen 8000G, и теперь оказалось, что часть из них хуже других.  Речь не об основных параметрах, вроде количества ядер, а о второстепенных. Напомним, линейка включает четыре модели: Ryzen 7 8700G, Ryz...

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Xiaomi 14 Pro получит лучший объектив Leica – такого нет даже у Xiaomi 13 Ultra Сразу два известных инсайдера сообщили о важном новшестве камеры смартфонов Xiaomi 14: они получат топовый светосильный объектив Leica Summilux c диафрагмой F/1,4. Ничего подобного в смартфонах Xiaomi еще не было. Например, максимальная диафрагма объектива Xiaomi 1...

Intel идёт по пути Apple. Компания показала процессор Lunar Lake с собственной оперативной памятью на подложке Компания Intel на CES 2024 не только представила остатки линейки процессоров Raptor Lake Refresh, но и показала совершенно новый CPU линейки Lunar Lake.  Эти процессоры появятся в текущем году, вероятно, в самом его конце, и в целом придут на смену Meteor Lake. Но...

Примерно в 70 раз слабее RTX 4090. Китайская компания Loongson готовит свою видеокарту, которая будет на уровне Radeon RX 550 Китайская компания Loongson уже неплохо зарекомендовала себя на рынке процессоров, выпустив CPU Loongson 3A6000, который способен тягаться с Ryzen 3 3100 и Core i3-10100F, работая при этом на существенно меньших частотах. Теперь же, как сообщается, компания намерена вып...

На выбор Core i7, Core Ultra 5 или Ryzen 7. Анонсирован первый мини-ПК компании Colorful — CMNH01-12450 В последнее время всё больше компаний выходит на рынок мини-ПК. Известная своими видеокартами Colorful анонсировала свой первый подобный продукт под названием CMNH01-12450.  В названии сокрыто сердце новинки — процессор Core i7-12450H. Напомним, он имеет чет...

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

Странные Ryzen GT, Ryzen 8000G, которые должны были бы быть Ryzen 7000G, и Ryzen 7 5700X3D. Появились данные о множестве разных новых CPU AMD В Сеть попали подробности о настольных процессорах Ryzen 8000G. Что интересно, попали они туда от иранского ресурса Sakhtafzarmag.  Как можно видеть, в списке источника шесть моделей и ещё шесть версий Pro, которые технически от обычных не отличаются.  Напомн...

Skoda официально будет покорять Казахстан с Octavia, Kodiaq, Kamiq и Karoq. Компания планирует выпускать 200 000 машин ежегодно Компания Skoda официально подтвердила предыдущую информацию о том, что она собирается начать производство автомобилей в Казахстане. Крупнейший производитель автомобилей в Чешской Республике планирует вернуться в Казахстане, откуда компания ушла в 2021 году, сразу с четы...

Даже в 2025 году у процессоров Intel будет лишь восемь больших ядер. Зато обновлённые Arrow Lake получат до 32 малых ядер На текущий момент лучшие настольные потребительские процессоры Intel Core предлагают максимум 24 ядра: восемь больших и 16 малых. У процессоров Meteor Lake больших ядер будет максимум шесть. CPU Arrow Lake, которые выйдут в следующем году, также будут придерживаться фор...

Nvidia не нравится, когда кто-то выпускает видеокарты и GeForce, и Radeon? ASRock заявила, что для неё сейчас сложно начать производство карт Nvidia Компания ASRock уже какое-то время производит видеокарты AMD и с недавних пор адаптеры Intel. А вот карты Nvidia она не выпускает и теперь стало известно, почему.  В интервью представитель ASRock ответил на вопрос, какие у компании планы и собирается ли она начать...

В десятки раз слабее Nvidia H100, но зато полностью собственная разработка. Loongson представила ускоритель для ИИ LG200 Китайская компания Loongson выпускает не только одни из самых современных китайских процессоров, но и GPU. И её новая разработка призвана потягаться с ускорителями Nvidia для ИИ, правда, далеко не самыми производительными и современными.  создано DALL-E Ускоритель...

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Новые процессоры Intel собираются бороться с Ryzen 9000 без поддержки Hyper-Threading. Новые данные подтверждают её отсутствие у Arrow Lake Пока AMD, по слухам, нарастит производительность процессоров Ryzen 9000 относительно текущих CPU на 40-50%, Intel действительно собирается лишить свои процессоры поддержки Hyper-Threading. На это указывают свежие данные.  Две модели линейки Arrow Lake-S были замеч...

В следующем году будет больше флагманов с аккумуляторами ёмкостью 5500 мАч? Это возможно, но речь о необходимости компенсировать потребление Snapdragon 8 Gen 4 Флагманские смартфоны нового поколения, оснащённые SoC Snapdragon 8 Gen 4, могут чаще получать более ёмкие аккумуляторы.   Инсайдер Digital Chat Station говорит, что он знает о тестировании уже трёх аппаратов следующего поколения, и все оснащены элементами питания...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Snapdragon 7, которая положит на лопатки даже Snapdragon 8 Gen 2? Появились характеристики SoC Snapdragon 7 Plus Gen 3 В Сеть попали параметры однокристальной системы Snapdragon 7 Plus Gen 3, которая в ближайшее время должна выйти на рынок.  Инсайдер Digital Chat Station утверждает, что новая SoC получит одно ядро Cortex-X4 с частотой 2,9 ГГц, четыре ядра Cortex-A720 с частотой 2,...

За весь 2024 год мы больше не увидим ни единого такого смартфона. Oppo Find X7 Ultra останется уникальным носителем двух перископных камер Смартфон Oppo Find X7 Ultra стал первым в мире с двумя перископными камерами. Несмотря на слухи, похоже, он останется уникальным как минимум до конца текущего года.  Инсайдер Digital Chat Station сообщает, что он проверил различные новости последних дней, которые ...

AMD доказывает, что платформу AM4 ещё рано отправлять на пенсию. Представлен недорогой игровой Ryzen 7 5700X3D и ещё более дешёвые Ryzen 5 5600GT и Ryzen 5 5500GT Кроме настольных гибридных процессоров Ryzen 7 8000G компания AMD также расширила линейку CPU для AM4, анонсировав Ryzen 7 5700X3D. Как ясно из названия, то модель с дополнительной кеш-памятью X3D объёмом 64 МБ. Суммарный объём кеш-памяти третьего уровня благодаря допо...

Google готовится к экспансии Windows on Arm? Компания наконец-то выпустила Chrome для этой ОС Компания Google решила подготовиться к новому этапу развития ПК процессорами Arm и Windows, выпустив наконец-то браузер Chrome для такой ОС. ПО будет доступно на этой неделе на соответствующих ПК, которые уже есть на рынке. Правда, только на тех, которые основаны на So...

Intel не может или не хочет анонсировать все новые процессоры Core Ultra одновременно. Core Ultra 9 покажут только в 2024 году Процессоры Intel Meteor Lake будут представлены в этом году, но в самом его конце. Согласно свежим данным, и то не все сразу.  14 декабря ожидается анонс новых CPU, но инсайдер Golden Pig Upgrade Pack говорит, что в этот день нам покажут не все модели. Речь идёт о...

Никому неизвестный стартап Groq представил, видимо, лучший процессор для вывода нейросетевых моделей На рынке чипов для ИИ появился новый игрок. Судя по всему, с большим потенциалом. Компания Groq представила свой процессор, который, похоже, ощутимо превосходит конкурентов.  Начать стоит с того, что Groq (процессор называется так же) — это не CPU и не GPU. ...

Nvidia не хочет снижения цен на видеокарты RTX 40. Компания снизит производство GPU в преддверии запуска RTX 50 и высвободит мощности для H100 Компания Nvidia якобы уже готовится сокращать поставки GPU поколения RTX 40 в преддверии выхода линейки RTX 50.  Ресурс Quazarzone говорит, что Nvidia уже сообщила своим партнёрам, что поставки графических процессоров резко сократятся. Правда, не уточняется, каких...

Exynos сохранится и в линейке Galaxy S25. Samsung сохранит текущий подход с двумя платформами для своих флагманов 2025 года Похоже, линейка смартфонов Samsung Galaxy S25, как и текущие флагманы компании, будет опираться на две разные однокристальные системы.  Согласно данным DigiTimes, в следующем году Samsung сохранит двухчиповый подход для своей серии смартфонов Galaxy S25. То есть б...

[Перевод] Взлёт TSMC Семь-восемь лет назад TSMC производила процессоры, которые отставали от аналогичных процессоров Intel на несколько поколений. Пятнадцать лет назад выпускала дешёвые чипы на заказ, которые не ставили рекордов производительности. А тридцать лет назад едва появилась на свет. &n...

Мощность, как у 52 Nvidia H100, 1 ТБ ОЗУ, и всё это за 5000 долларов? Tachyum обещает выпустить рабочую станцию Prodigy ATX Platform на своём суперпроцессоре Компания Tachyum, которая ещё в 2020 году представила 128-ядерный универсальный процессор Prodigy с какой-то запредельной производительностью, анонсировала рабочую станцию, которая будет по карману многим обычным пользователям.  ПК называется Prodigy ATX Platform ...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

20 ГБ ОЗУ в смартфоне станет не излишеством, а необходимостью. Генеративные ИИ будут требовать от аппаратов очень много оперативной памяти Смартфоны с Android постепенно получают всё больше и больше оперативной памяти. 8 ГБ давно стали нормой, и 16 ГБ теперь уже никого не удивить, ведь есть модели с 24 ГБ ОЗУ. Однако в ближайшее время такой гигантский объём может стать не излишеством и маркетингом, а необх...

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Что скрывается внутри дешёвого флагмана Redmi K70 Pro? В Сети появилось первое видео с разборкой аппарата Смартфон Redmi K70 Pro был представлен только вчера, а уже сегодня в Сети появилось видео с его разборкой.  Как можно видеть, блок камер хотя и занимает на самом смартфоне достаточно много места, под крышкой выглядит уже не так угрожающе. Всё потому, что по-настоящ...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Каждая половинка нового монструозного GPU Nvidia Blackwell на самом деле не особо превосходит GH100 по количеству вычислительных блоков Компания Nvidia вчера представила чудовищный GPU поколения Blackwell для ускорителей для ИИ, но не раскрыла ряда параметров. Сегодня кое-что в Сети появилось.  К примеру, ресурс WCCF Tech утверждает, что новый графический процессор содержит 40 960 ядер CUDA, то ес...

Купить компактный мини-ПК и подключить к нему GeForce RTX 4090. Такую видеокарту посредством OCulink подключили к Minisforum EliteMini UM780 XTX Распространение разъёма USB4 даёт возможность пользователям подключать к ультрабукам, мини-ПК и портативным приставкам внешние видеокарты. Но в последнее время обороты набирает и порт OCulink, который служит уже только для этого, но при этом предлагает намного большую п...

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Samsung была застигнута врасплох выходом намного более тонких складных смартфонов Huawei. Компания собирается удвоить продажи таких моделей в 2024 году Компания Samsung не собирается терять лидерство на рынке складных смартфонов. В следующем году корейский гигант намерен продать 20 млн таких устройств.  Фото: Samsung Сами по цене цифры не показательны, поэтому стоит отметить, что по итогам этого года Samsung план...

Сможет ли AMD повторить свой прорыв, который совершила в настольном сегменте с переходом на чиплеты? Мобильные APU компании тоже станут такими Возможно, следующие мобильные процессоры AMD перейдут на чиплетную компоновку, как это реализовано в настольных Ryzen уже много лет.  В Сети появились новые подробности о линейках Strix, Sarlak и Kracken, и для всех указано наличие кристалла ввода-вывода, причём у...

Какая зарядка нужна вашему телефону и что означают популярные сокращения Как и все технологии, смартфоны становятся лучше с каждым поколением. Поскольку технология аккумуляторов отстает от скачков в циклах разработки смартфонов, производители вынуждены внедрять инновации. Если их батареи не могут работать целыми днями, мы, по крайней мере, можем...

Процессоры Intel Itanium окончательно можно назвать мёртвыми. Поддержка этих CPU была удалена из ядра Linux Компания Intel прекратила поставки процессоров Itanium ещё два года назад. Но окончательная смерть данного поколения наступила именно сейчас, так как основное ядро Linux больше не поддерживает Itanium (IA-64).  Фото: Intel Как сообщает Phoronix, поддержка была иск...

Exynos 2400 в играх будет быстрее Snapdragon 8 Gen 3? Топ-менеджер Samsung говорит, что GPU Xclipse 940 производительнее конкурентов Как известно, на некоторых рынках младшие модели линейки Samsung Galaxy S24 будут продаваться с SoC Exynos 2400. И Samsung утверждает, что графический процессор этой платформы мощнее, чем у решений конкурентов.  Если точнее, это заявил президент подразделения Sams...

Вся игровая братия Ryzen 5000X3D в одном тесте. Обзор Ryzen 5 5600X3D, Ryzen 7 5700X3D и Ryzen 7 5800X3D показывает, что лучше купить геймеру Авторы канала Hardware Unboxed сравнили все три процессора Ryzen 5000X3D, которые доступны на рынке. Это Ryzen 5 5600X3D, Ryzen 7 5700X3D и Ryzen 7 5800X3D.  Напомним, первый иметь шесть ядер, а оставшиеся по восемь. При этом первый, к сожалению, официально продаё...

Realme сделает то, что не предлагает больше никто на рынке. Недорогие смартфоны Realme 12 Pro и 12 Pro+ получат «телевики» В последние годы стало нормой отсутствие «телевика» даже в базовых версиях флагманов, не то что у среднебюджетных смартфонов. Однако, возможно, компания Realme решится изменить ситуацию. Как сообщается, в линейке Realme 12 старшие модели будут иметь камеру с...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Samsung Galaxy S23 FE на Exynos или Snapdragon — какой смартфон лучше купить и почему В 2023-м компания Samsung отказалась от процессоров Exynos во флагманской серии Galaxy S23. Все устройства линейки, включая базовую модель, Plus и Ultra, работают на классном чипе Snapdragon 8 Gen 2. Однако отказ не коснулся смартфона Galaxy S23 FE, который был представлен ...

Nvidia прекращается в монстра. Доля компании на рынке ЦОД почти втрое превышает совокупную долю AMD и Intel Nvidia — крупнейший на сегодня производитель полупроводниковой продукции, если считать по выручке и прибыли. Об этом мы уже говорили на днях, а теперь появился ещё один наглядный график, демонстрирующий стремительный успех компании.  фото: Nvidia Это график ...

Аппаратные блоки для ИИ в процессорах наконец-то станут полезными. В DirectML добавили поддержку процессоров Intel Core Ultra На данный момент аппаратные блоки ускорения для ИИ в процессорах AMD и Intel практически бесполезны для обычных пользователей ввиду почти полного отсутствия соответствующего ПО. Однако постепенно ситуация будет меняться. К примеру, в предварительной версии DirectML Dire...

AMD сдержала обещание и раскрыла все карты относительно своих малых ядер Zen 4c Компания AMD выполняет обещание и раскрывает больше подробностей о ядрах Zen 4c в некоторых своих мобильных APU.  Напомним, эти ядра технически отличаются от Zen 4 только размером, но также работают на более низких частотах. Именно частоты ранее AMD нигде не указы...

Какие поводы для гордости появились у Android-смартфонов после выхода iPhone 15 iPhone сложно назвать конкурентом какому-либо телефону. Он работает только на iOS и только он является домиком для этой операционной системы. Зато благодаря этому он может себе позволить запредельный уровень оптимизации на фоне любого Android-смартфона. В этом году презента...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

MediaTek Dimensity 9400 получит новое производительное ядро Cortex-X5 В ноябре прошлого года компания MediaTek официально выпустила процессор Dimensity 9300, представив уникальный дизайн с четырьмя производительными ядрами Cortex-X4 — обычно производители используют всего одно такое ядро. Соответственно, в отличие от традиционных конфигураций ...

OnePlus не хочет выпускать обновления Android для своих смартфонов по семь лет. Компания говорит, что это бессмысленно В последнее время производители смартфонов с Android стали предлагать всё более длительную поддержку ПО для своих устройств. OnePlus заявила, что не собирается поступать так же.  Если точнее, компания не готова поддерживать свои смартфоны, выпуская обновления Andr...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

AMD, а покупателям вообще нужен этот упор на ИИ? Компания собирается продвигать новые процессоры Ryzen 8040U/H/HS именно таким образом А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Вчера AMD представила мобильные процессоры Ryzen 8040U/H/HS, которые технически практически ничем не отличаются от аналогичных моделей Ryzen 7040. ...

Transsion может стать четвертым по величине производителем смартфонов в мире Китайский производитель смартфонов Transsion в третьем квартале занял 8,6% мирового рынка, лишь незначительно уступив Oppo, которая занимает четвертое место с долей рынка 8,9%. При этом поставки смартфонов Transsion выросли на 35%, и, как ожидает IDC, можно ожидать выхо...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Это веская причина для покупки iPhone 16 Pro и Pro Max? SoC Apple A18 будет иметь существенно усиленный блок NPU для новых функций iOS 18 Похоже, смартфоны iPhone 16 Pro и Pro Max будут отличаться своей платформой от предшественников значительно сильнее, чем несколько прошлых поколений. Всё дело в искусственном интеллекте.  Согласно данным Economic Daily News, платформа Apple A18 будет иметь значите...

MediaTek легко обходит Apple и Qualcomm. Компания продала больше всех SoC для смартфонов в прошлом квартале Компания MediaTek в прошлом квартале продала больше однокристальных систем для смартфонов, чем любая другая компания, причём с огромным отрывом от конкурентов.  Согласно данным Canalys, MediaTek за прошлый квартал поставила 117 млн SoC, что на 21% превышает показа...

Новый процессор Apple M3 — реальный апгрейд или маркетинговый трюк? Сравнение с M2 и M1 Apple наконец провела свое очередное мероприятие, для которого было выбрано довольно непривычное время суток. Проснуться посреди ночи, живя в России, действительно стоило: купертиновцы показали не только компьютеры, но и линейку процессоров M3, состоящую из трех новых чипсе...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

С Radeon RX 570/580 наконец-то пора прощаться? AMD будет выпускать для Polaris и Vega только критические обновления Компания AMD решила прекратить полноценную поддержку видеокарт линеек Polaris и Vega. Как сообщается, для соответствующих адаптеров будут выходить только критические обновления.  Графические архитектуры AMD Polaris и Vega являются зрелыми, стабильными и производит...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Архитектура BlackHawk, новейшее ядро X5 и скорость выше, чем у Apple A17 Pro. MediaTek Dimensity 9400 станет самым производительным чипом для смартфонов в этом году Предстоящий флагманский чип MediaTek Dimensity 9400 будет использовать новейшую архитектуру процессора Arm под кодовым названием BlackHawk и будет оснащен сверхбольшим ядром X5, о чем сообщил Digital Chat Station. Сообщается, что количество инструкций на такт (IPC, inst...

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Теперь это настоящий фанатский флагман Samsung. Galaxy S23 FE приписывают меньшую цену, чем у S21 FE на старте Фанатский смартфон Samsung Galaxy S23 FE может выйти уже 4 октября, но цены появились уже сейчас.   Ресурс MySmartPrice утверждает, что в США новинка будет продаваться за 600 долларов. Это цена за версию с 8 ГБ ОЗУ и 128 ГБ флеш-памяти. Для сравнения, Galaxy S21 F...

Очень необычные для Intel процессоры Lunar Lake всего с четырьмя большими ядрами в конце текущего года выйдут в очень ограниченных объёмах Похоже, процессоры Intel Lunar Lake ждёт судьба актуальных ныне Meteor Lake. В том смысле, что первые тоже выйдут в самом конце года.  Согласно данным инсайдера Golden Pig Upgrade, Intel в случае с Lunar Lake будет придерживаться той же программы EEP (Early Enable...

Представлены китайские процессоры Montage Technology Jintide с количеством ядер вплоть до 48, внутри которых на самом деле скрываются CPU Intel Пока одни китайские компании разрабатывают собственные GPU, другие берут продукты глобальных гигантов и переделывают их в продукты для Китая. Компания Montage Technology представила линейку процессоров Jintide пятого поколения. Но это не собственная разработка Montage T...

Зачем смартфонам нужна камера с разрешением 50 МП и выше? Причин больше, чем вы думаете Прошло больше 5 лет, как на рынке смартфонов появились камеры на 48 МП, за которыми последовали матрицы на 64, 108 и даже 200 мегапикселей. Довольно быстро пришло понимание, что высокое разрешение не является залогом качества, а четкая фотография продолжает держаться на тре...

Как сделать процессор Intel вдвое быстрее без повышения энергопотребления? Тесты показали преимущество AVX-512 у процессоров Xeon Emerald Rapids Процессоры Intel Xeon поколения Emerald Rapids, как оказалось, обеспечивают огромный прирост производительности при активации инструкций AVX-512, и при этом этот прирост почти бесплатный с точки зрения энергопотребления.  Авторы ресурса Phoronix протестировали фла...

Вышедший на днях Core i9-14900K будет детской игрушкой на фоне этих монстров. Опубликованы первые изображения процессоров Ryzen Threadripper 7000 Ресурс Videocardz опубликовал первые изображения процессоров AMD Ryzen Threadripper 7000.   Наконец-то подтверждено, что AMD возвращается к двум линейкам для своих монструозных CPU. Нас ждут процессоры Threadripper Pro 7000 для рабочих станций и обычные Threadrip...

В России началось производство импортозамещенной электротехнической продукции Компания «Электрорешения» (бренд EKF) запустила производство электротехнической продукции в Собинском районе Владимирской области, при помощи которого планируется заменить импортные аналоги. Этот проект ориентирован на создание высокотехнологичного импортоза...

Заполучить себе серверный суперпроцессор Nvidia Grace Hopper GH200 в обычном настольном ПК за 40 000 долларов. Такую систему предлагает GPTshop Серверный ускоритель Nvidia Grace Hopper Superchip теперь можно купить в виде обычного ПК. Такую рабочую станцию сможет купить каждый. Конечно, если у него есть 41 500 долларов.  Указанная сумма — это стартовая цена для такого ПК. За эти деньги покупатель по...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Realme GT Neo 5 появится уже в апреле текущего года Довольно интересный смартфон Realme GT Neo 5 был представлен в феврале прошлого года, после чего компания решила представить GT Neo 5 SE в апреле 2023-го — оба смартфона пользовались внушительным спросом у аудитории и на текущий момент производитель принял решение, что наста...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

Уникальная однослотовая GeForce RTX 4060 Ti. Такой адаптер представила компания Galax Пока компания Zephyr, выпуская свою новую карту RTX 4060 Ti линейки Sakura, сделала выбор в пользу небольшой длины, Galax представила более необычную версию в однослотовом исполнении.  Модель RTX 4060 Ti 16GB Unrivaled MAX занимает лишь один слот расширения, что б...

Intel обвиняет AMD в использовании старой архитектуры Zen 2 в новых процессорах, но при этом в своей презентации делает странное сравнение и даже лукавит Компания Intel, похоже, решила возобновить рекламную кампанию против AMD, но в очередной раз сделал это несколько странно. Процессорный гигант создал презентацию Core Truths, в которой решил раскрыть страшную тайну о том, что даже в линейке Ryzen 7000 компания AMD продо...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Почему Galaxy S24 Ultra мощнее любого другого Android-смартфона на Snapdragon 8 Gen 3 Компания Samsung открыла 2024 год выпуском новых смартфонов Galaxy S24. На фоне других Android-флагманов, представленными на рынке, главной фишкой корейских устройств стал всеобъемлющий искусственный интеллект. Но есть и еще одна уникальная особенность. Старшая модель Galax...

Что важнее, 200-ваттная зарядка или аккумулятор емкостью 6000 мАч? Vivo сделала свой выбор Vivo, как и многие другие производители смартфонов из Китая, активно участвует в гонке мощностей зарядных устройств для обеспечения сверхбыстрой зарядки. Но сейчас, на пороге внедрения 200-ваттной технологии в готовые устройства, Vivo остановилась. Об этом рассказал ин...

Чудовищная платформа Apple M3 Ultra может получить 32 ядра CPU и 80 ядер GPU Тесты SoC M3 Max показали, что в ряде сценариев она умудряется опережать даже M2 Ultra, то есть M3 Ultra будет значительно быстрее своей предшественницы. И теперь у нас есть представление о том, насколько мощной может быть новая платформа.  Согласно данным журнали...

Чем процессор A17 Pro отличается от A16 Bionic и какой лучше для Айфона в 2023 Большинство пользователей обращают внимание на процессор в Айфоне при покупке — и правильно делают. Вот только, к сожалению, чаще всего это сводится к тому, что какой новее, тот и лучше: многие готовы переплатить крупную сумму, лишь бы у них оказался мощный Айфон, даж...

Apple наращивает производство iPhone 15 Pro из-за высокого спроса В этом году компания Apple реализовала целый ряд эксклюзивных улучшений для смартфонов iPhone 15 Pro и iPhone 15 Pro Max, что объясняет, почему они пользуются таким большим спросом. Настолько большим, что компания Samsung увеличила производство OLED-панелей для 6,1-дюймовой ...

Сколько лет теперь Intel будет догонять этот процессор? Появились тесты 96-ядерного Ryzen Threadripper Pro 7995WX Мы уже ознакомились с тестами 64-ядерного Threadripper 7980X, который порой показывает какую-то невозможную производительность относительно предшественника. Но у AMD есть ещё более впечатляющее решение: 96-ядерный Threadripper Pro 7995WX. И его полноценный обзор пока вы...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Больше половины владельцев флагманских смартфонов в России считают, что за китайскими производителями будущее. Таковы результаты опроса Honor Компания Honor опубликовала результаты опроса россиян касательно их приверженности к брендам, производящим смартфоны. Оказалось, многим бренд неважен.  Honor опросила более 1000 пользователей смартфонов в возрасте от 14 до 59 лет, причём речь о владельцах флагманс...

Poco готовит к релизу свой первый планшет Poco Pad Компания Poco является одним из брендов гиганта Xiaomi — то производитель уровня Redmi с примерно тем же набором продуктов, так как производитель обычно делает ставку на унификацию производственных процессов для снижения стоимости конечной продукции. И хотя компания Redmi уж...

Самый дешёвый смартфон со Snapdragon 8 Gen 2. Realme GT Neo6 приписывают цену ниже 300 долларов Платформа Snapdragon 8 Gen 2 на старте была очень дорогой, но сейчас, видимо, ощутимо подешевела. И Realme собирается воспользоваться этим, чтобы выпустить смартфон с такой SoC и ценой ниже 300 долларов.  Realme GT5 Если точнее, модель Realme GT Neo6 якобы получит...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Неясно, получится ли у Qualcomm конкурировать с Intel и AMD в сегменте CPU для ноутбуков, но компания также собирается выпустить серверный процессор Ресурс Android Authority, который сегодня подлил масла в огонь скандала с новыми SoC Snapdragon X, также рассказал, что Qualcomm создаёт серверный процессор на тех же ядрах Oryon.  фото: Qualcomm CPU под кодовым именем SD1 будет иметь 80 таких ядер с частотой до 3...

Dimensity 9300, LPDDR5T, топовая камера Zeiss и рекордная производительность. Предзаказы на Vivo X100 уже идут, а производитель подтвердил ключевые характеристики Компания Vivo официально объявила, что серия Vivo X100 первой в мире получит чип обработки изображений собственной разработки V3 и флагманскую однокристальную систему Dimensity 9300. В MediaTek Dimensity 9300 используются высокопроизводительные ядра: 4 Cortex-X4 и 4 Cor...

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

Лучшие смартфоны OnePlus, которые точно стоит купить OnePlus начала свою деятельность в 2014 году с OnePlus One, а сейчас это крупный производитель телефонов, который продает свои устройства более чем в 30 странах. Компания выпускает самые разные телефоны, а недавно дебютировала в сегменте складных устройств. Я сам пользовалс...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Тут-то Samsung Galaxy S24 Ultra и кладёт на лопатки и iPhone 15 Pro Max, и Google Pixel 8 Pro, и OnePlus 12. У новинки потрясающее антибликовое покрытие Samsung Galaxy S24 Ultra не получил лучшую камеру на рынке, но зато получил лучший дисплей. Как минимум, если верить DxOMark. А вот что у новинки точно великолепно — антибликовая защита экрана. Новые сравнения авторов Phone Arena это подтверждают.  Как можн...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

Смартфон от компании Polestar готов к запуску в Китае Долгожданный смартфон Polestar, о котором на самом деле многие никогда в жизни не слышали, совсем скоро отправится в полноценный релиз. Устройство будет представлено 23 апреля в Китае — производитель заявил, что локальный рынок Поднебесной является его основным рынком сбыта,...

Sony прекратила производство PS VR2 Согласно отчёту информационного издания Bloomberg, компания Sony официально приостановила производство новых экземпляров шлема дополненной и виртуальной реальности PS VR2 до тех пор, пока не сможет продать текущие остатки со склада. Анонимные источники издания Bloomberg такж...

Vivo покажет самый удобный складной телефон, который я уже захотел купить Складные устройства становятся все более похожими на обычные смартфоны со стеклянными панелями, поэтому можно с уверенностью сказать, что, если они будут развиваться так и дальше, то не растеряют своих перспектив и так и на самом деле станут будущим индустрии смартфонов. Дл...

Intel выпустила видеокарту за 180 долларов с 256-битной шиной и 8 ГБ памяти. Arc A580 наконец-то выходит на рынок Компания Intel наконец-то выпустила свою видеокарту Arc A580. Первоначально её анонсировали вместе со старшими моделями более года назад, но по каким-то причинам Intel решила задержать выход карты. Как бы то ни было, сегодня у нас есть и официальный повторный анонс, и в...

АвтоВАЗ собрал прототип «Нивы» с совершенно новым мотором Сегодня АвтоВАЗ запустил пилотное производство электрического универсала e-Largus. Во время этого мероприятия глава компании Максим Соколов рассказал об электрификации нынешней линейки. Оказывается, уже есть электрическая «Нива». Изображение: Lada «У ...

Китайцам достанется GeForce RTX 4090D, которая будет не только урезанной по GPU, но и с ограничениями разгона Видеокарта GeForce RTX 4090D, как мы уже сообщали, получит урезанный GPU AD102, но его параметры пока неизвестны. Как сообщается теперь, купить RTX 4090D и разогнать её до уровня производительности обычной версии не выйдет.  Суть в том, что RTX 4090D либо вообще н...

Intel то ли соврала, то ли напутала. Представленные процессоры Core 14-го поколения не имеют поддержки Thunderbolt 5 Компания Intel на днях представила первые процессоры Core 14-го поколения. Эта линейка будет актуальной, как ожидается, около года до момента выхода Arrow Lake. К сожалению, вопреки ожиданиям и первоначальным слухам, новинки Intel не поддерживают интерфейс Thunderbolt 5...

Новые смартфоны HUAWEI Pura 70 — это фиаско. Такую халтуру не оценят даже в Китае 18 апреля в КНР состоялась презентация сразу четырех смартфонов HUAWEI Pura 70, и это — отличный повод для разговора не столько о новых устройствах китайского технологического гиганта, сколько о перспективах компании в целом. Линейка «Pura» — правопреемница P-серии, которая...

Похоже, Китай без лишнего шума представил самый мощный суперкомпьютер в мире. Tianhe Xingyi основан на китайских CPU Похоже, Китай смог построить собственный суперкомпьютер эксафлопсного класса без чипов Intel, AMD или Nvidia.  создано DALL-E Речь о системе Tianhe Xingyi, которая, похоже, изначально называлась Tianhe-3 и была запланирована к запуску ещё в 2019 году.  В осно...

Действительно гигантская испарительная камера и действительно очень яркий экран. OnePlus 12 представили вчера, а уже сегодня разобрали OnePlus 12 представили только вчера, а уже сейчас мы можем посмотреть на видео с его разборкой. Заодно оценить реальные размеры испарительной камеры, которую компания активно рекламировала.  Как можно видеть, испарительная камера действительно очень крупная и зани...

Министр торговли США предостерегла Nvidia от создания специальных чипов для Китая и заодно попросила дополнительного финансирования для своего бюро Компания Nvidia уже достаточно давно и успешно выпускает для рынка Китая специализированные ускорители, которые не попадают под санкции США. В последнее время список санкционных GPU сильно расширился, и Nvidia взялась создавать новые ускорители и даже потребительскую ви...

Так плохо Samsung не было с 2011 года. Европейский рынок смартфонов просел на 11% Аналитики Counterpoint опубликовали отчёт, описывающий состояние европейского рынка смартфонов по итогам третьего квартала.  Рынок просел на 11%, но количественных показателей авторы не дают. Зато говорят, что для компании Samsung это был худший квартал с 2011 год...

А настолько сильно урезанная GeForce RTX 3050 вообще кому-то нужна? Модель с 6 ГБ ОЗУ выйдет в феврале GeForce RTX 3050 6GB выйдет в феврале. Ранее были слухи, что карта может появиться в январе, но новые данные якобы исходят от самой Nvidia.  Точной даты анонса и старта продаж нет, как и неясно, будут ли опубликованы обзоры до запуска карты. Учитывая её статус и п...

Ноутбук с экраном OLED и новейшим Core Ultra всего за 1000 евро. Acer Swift 14 с процессорами Intel Meteor Lake засветился в Сети Ноутбуки на основе процессоров Intel Core Ultra действительно будут в том числе относительно доступными. Ранее мы видели первые утечки цен, теперь же в магазинах засветились модели Acer.  В частности, версия Swift 14 с Core Ultra 5 125H оценена в 1000 евро. Это не...

Появились первые результаты тестов GeForce RTX 4070 Super. Карта почти догнала GeForce RTX 4070 Ti Видеокарту GeForce RTX 4070 Super представят уже 8 января, но сегодня в Сеть попали первые результаты тестирования этого адаптера.  Пока что они есть только в Geekbench, но это всё равно позволяет легко оценить прирост относительно обычной RTX 4070.  И прирос...

Запас хода 2000 км и расход 2,9 л на 100 км. BYD собирается утереть нос всем конкурентам BYD собирается представить свою гибридную систему пятого поколения, которая позволят автомобилю потреблять всего 2,9 л топлива на 100 километров и проезжать около 2000 километров с полным баком топлива и полной заправкой. Для сравнения, обычные бензиновые автомобили име...

Теперь официально: Southeast Motor — это Chery Как сообщает ITHome, Chery Automobile стала единственным акционером компании Fuzhou Qingkou Holdings, которой принадлежит автопроизводитель Southeast Motor. Соответствующие изменения внесены в официальные документы. Ранее единственным акционером Fuzhou Qingkou Holdings ...

HONOR готовится выпускать умные кольца. Разбираемся, есть ли у них будущее Умные кольца — это носимые устройства, которые обычно надеваются на палец и обладают различными функциями, схожими с умными часами или браслетами. Они могут отслеживать физическую активность пользователя, мониторить сердечный ритм, измерять уровень стресса, отправлять уведо...

5 отличий MacBook Pro M3 Pro и M3 Max от M2 Pro и M2 Max На днях Apple второй раз за 2023 год обновила свои самые дорогие MacBook Pro с экранами 14 и 16 дюймов. Модель с базовым процессором M3 пришла на замену MacBook Pro 13, и отличий между ними действительно уйма. А вот что нового в ноутбуках с чипсетами M3 Pro и M3 Max, кроме ...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

5 очень важных характеристик камеры смартфона, на которые редко обращают внимание Тот факт, что количество мегапикселей не влияет на качество фото, заставляет многих людей отказаться от изучения характеристик камеры смартфона и положиться исключительно на бравурные заявления производителей. Искать истину в их словах не стоит, так как ни один бренд не буд...

Apple догонит рынок? Свой аналог ChatGPT в iOS может появиться уже в следующем году Компания Apple, похоже, наконец-то примкнёт к гонке генеративного искусственного интеллекта уже в следующем году.  Аналитик Haitong International Securities Джефф Пу (Jeff Pu) утверждает, что Apple может внедрить генеративный ИИ в iOS 18, которая выйдет ближе к ко...

В эти игры вы вряд ли сможете поиграть на своем Айфоне в ближайшее время Resident Evil Village уже вышел на iPhone, но поиграть в него вы сможете, только купив самую новую модель. Источник: playground.ru Еще во время WWDC 2023 Apple показала, что постепенно начала привлекать игровые студии для портирования своих игр на компьютеры Mac. Вот только ...

Ryzen 7 9700X будет намного мощнее предшественника? Новый восьмиядерный CPU AMD имеет TDP 170 Вт, как актуальные модели с 12 и 16 ядрами Вчера мы говорили о том, что процессоры AMD на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании. Это были исключительно мобильные APU, а теперь такая же информация появилась и относительно настольных моделей.  Новое поколение настольных Ryze...

Наконец-то даже в самых дешёвых MacBook установлен нормальный SSD. Разборка MacBook Pro 14 показала отличия между версиями 8 ГБ ОЗУ достаточно, да, Apple? Тесты показывают, что MacBook Pro 14 M3 с 16 ГБ памяти может быть в четыре-пять раз быстрее версии с 8 ГБ Специалисты iFixit разобрали новый ноутбук MacBook Pro 14 на SoC M3 и на M3 Pro. Напомним, по параметрам они отличаются довольно си...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Почему в телефонах до сих пор нет спутниковой связи. В этом виноваты мы сами Помните, как нам говорили, что мы получим телефоны со спутниковой связью от всех компаний, которые их вообще выпускают? По началу в это верилось, хотя и сомнения тоже были. Была даже сделка между Iridium и Qualcomm, которая должна была обеспечить спутниковую связь, но и тут...

GeForce RTX 4090 D (Dragon) сохранит тот же GPU AD102, что и оригинальная модель Видеокарта GeForce RTX 4090 D (Dragon), которая будет создана специально для китайского рынка и не будет попадать под санкции США, получит тот же GPU, что и текущая версия.  создано DALL-E Как сообщает инсайдер MEGAsizeGPU, это будет AD102, но в другой версии. Есл...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Совершенно новый тип памяти, который может появиться на видеокартах? Hynix работает над памятью, которая будет быстрой, но не такой дорогой, как HBM Компания Hynix работает над новым типом памяти, который можно будет использовать для видеокарт и мобильных устройств.  Названия у памяти пока нет, но можно сказать, что это нечто среднее между HBM и DRAM, хотя первая фактически является своеобразным вариантом втор...

Быстро отменённое заказанным не считается: Wildberries тестирует систему защиты от случайных заказов Команда крупнейшей российской торговой онлайн-площадки Wildberries сообщила о тестировании полезного сервиса для покупателей. Как рассказали в пресс-службе, клиенты Wildberries смогут отменить покупку сразу после заказа — это поможет, если покупатель сделал заказ ...

Через несколько лет большинство компьютеров на рынке будет с искусственным интеллектом Искусственный интеллект активно захватывает всё новые рынки. Согласно свежим прогнозам, в ближайшие годы большая часть ПК будет иметь поддержку ИИ.  создано DALL-E Аналитики IDC прогнозируют, что уже к 2027 году 60% всех поставляемых ПК будут поддерживать ИИ на ап...

У Apple получилось «заставить» людей покупать более дорогие iPhone. На iPhone 15 Pro Max придётся 40-45% всех продаж линейки по итогам полугодия В последние годы Apple стремиться сдвигать акценты на старшие модели iPhone, и у неё это получается. Согласно данным аналитика Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), iPhone 15 Pro Max будет продолжать доминировать в текущем полугодии.  Если точнее, сог...

До какого года будет обновляться твой Айфон. Заходи и проверяй Одним из главных аргументов за покупку Айфона всегда был срок поддержки. Apple гарантирует для любого своего смартфона 5 лет выхода новых версий ПО, а при выявлении каких-либо серьезных проблем с безопасностью выпускает заплатки и для совсем старых аппаратов. Это не только ...

Два смартфона POCO с камерой на 50 Мп и быстрой зарядкой отдают с хорошей скидкой У POCO немало хороших смартфонов, но лучше всех — самые доступные Недорогие смартфоны на Android занимают основную часть этого рынка. Производители понимают, что далеко не все готовы тратиться на флагманы, тем более, что планомерное развитие и удешевление технологий да...

Samsung достигла капитализации в 370 миллиардов долларов В 2023 году компания Samsung пережила достаточно тяжёлый период, столкнувшись со спадом продаж смартфонов и снижением спроса на полупроводники, флэш-память и другие продукты, которые ранее приносили производителю львиную долю дохода. Но, согласно отчёту ведущих изданий мира,...

Наконец-то более дешёвый складной Samsung. В этом году компания может выпустить Galaxy Z Flip6 FE с ценой 800 долларов В Сети снова появились данные о том, что Samsung собирается выпустить более дешёвый складной смартфон.  Новинку якобы готовят к выходу в этом году. Вероятно, в рамках линейки Galaxy Z Flip6, то есть новинка может называться Flip6 FE.  Сообщается, что относите...

Долю экспорта в структуре своих доходов увеличит производитель жестяной продукции ООО «Банкон» Жестяная продукция сегодня вполне может быть индикатором состояния рынка. Вопрос импортозамещения в области производства жестяных банок не стоит – все потребности производителей консервов закрыты российскими предприятиями. На российском рынке жестяных консервных банок объем ...

Apple выпустила MacBook Air M3 с 24 ГБ ОЗУ и 2 ТБ памяти. Чем он отличается от MacBook Air M2 Сегодня, 4 марта, Apple показала новые MacBook Air на процессоре M3. Причем компания из Купертино обновила сразу обе модели своих самых легких ноутбуков — на 13 и 15 дюймов. При том что последняя вышла лишь летом 2023 и не пробыла на рынке даже года, купертиновцы смел...

Samsung и SK hynix добились от США бессрочного права поставлять в Китай оборудование для выпуска чипов Samsung Electronics и SK Hynix будет разрешено поставлять американское оборудование для выпуска чипов на свои китайские заводы без отдельных разрешений США, о чем сообщили в администрации президента Южной Кореи и в пресс-службах компаниях. Как пишет Reuters, ранее ...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Exynos 2400 — действительно неплохая платформа, но с не лучшей энергоэффективностью. Тесты показывают, что потребление CPU довольно высокое Различные тесты уже доказали, что SoC Exynos 2400 действительно получилась достаточно неплохой на фоне Snapdragon 8 Gen 3. Однако свежие данные говорят о том, что всё же у платформы Samsung всё намного хуже с энергоэффективностью.  Если говорить только о процессор...

Да, тут 16 ГБ памяти, но это не нужно современным играм. Тесты показывают, что GeForce RTX 4070 Ti Super незначительно быстрее RTX 4070 Ti GeForce RTX 4070 Super оказалась существенно быстрее обычной RTX 4070, при этом цена осталась той же. Теперь же в Сети появились обзоры RTX 4070 Ti Super, у которой кроме большего (в сравнении с RTX 4070 Ti) количества ядер CUDA есть ещё и больше памяти с более широкой ...

Новый флагман Realme поборется с iPhone 15 Pro Max? Смартфон, видимо, получит перископный «телевик» Похоже, благодаря тому, что Apple установила в iPhone 15 Pro Max свою камеру с пятикратным увеличением на основе тетрапризмы на рынке появится как минимум одна новая модель с перископным «телевиком». Компания Realme, судя по всему, готовит именно такую. ...

Пиковая мощность этого процессора Intel может достигать 922 Вт. В Сети засветился 64-ядерный Xeon Platinum 8592+ В Сети появилась первая утечка, касающаяся новых процессоров Intel Xeon Scalable поколения Emerald Rapids, которые будут представлены 14 декабря вместе с Meteor Lake.  Засветился флагманский Xeon Platinum 8592+, который предложит 64 ядра, что на фоне 96-ядерных мо...

Спасибо, Qualcomm, дальше сами. Huawei с 2024 года перейдет на собственные однокристальные системы Как сообщает ресурс MyDrivers, Huawei запустила процесс расчистки складских запасов в рамках подготовки к выпуску большого количества новинок. Их выход ожидается в конце текущего – начале следующего года. Устройств будет так много, что это называют «наводнен...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Почему я выбираю для себя только смартфоны Huawei. Спойлер: они реально лучшие Смартфоны Huawei вызывают много споров в силу своей уникальности. Они — единственные на рынке — не имеют сервисов Google, и многие отказываются их покупать только в силу этого. Однако есть и такие, кто погружается в тему более глубоко и выявляет иные недостатки ...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Искусственный интеллект в ваших наушниках. Google работает над переносом Gemini на такие устройства Компания Google хочет добавить свой новейший чат-бот Gemini не только в смартфоны, но и в наушники.   Упоминание об этом нашли в коде приложения Google, причём там имеется целая строчка, где сказано, что Gemini станет доступным в наушниках.  Напомним, на днях...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Axiom Space готовит новые скафандры для лунных экспедиций Artemis Компания Axiom Space готовится к проведению критического проектирования нового поколения лунных скафандров программы Artemis. Это событие может состояться уже в июне текущего года. Однако, эта новость на фоне отсрочки отправки астронавтов на Луну со стороны NASA вызывае...

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Сравниваем две горячие новинки — Samsung Galaxy S23 FE против Google Pixel 8 После нескольких месяцев слухов и утечек Google Pixel 8 и Samsung Galaxy S23 FE наконец-то официально представлены и вскоре появятся на прилавках магазинов. Оба смартфона предлагают характеристики, близкие к флагманским, без обычной для этой категории устройств высокой цены...

Возможно, это самый опасный ИИ-инструмент, который будет доступен людям в 2024 году. Нейросеть OpenAI Sora выйдет на рынок уже скоро Нашумевшая недавно нейросеть Sora компании OpenAI в скором времени станет доступна всем желающим.  Технический директор OpenAI Мира Мурати рассказала, что Sora выйдет на рынок уже в этом году. Точную дату она не раскрыла, но добавила, что «это может занять н...

Платформа Apple M3 Max догнала GeForce RTX 3080 и лишь немного уступает RTX 4080 Laptop Мы уже видели тесты SoC M3 Max, графическое ядро которой при 40 ядрах умудряется обходить 64-ядерный GPU в M1 Ultra. Теперь же M3 Max сравнили с более грозными соперниками из стана Nvidia.  В тесте GFXBench Aztex Ruins новая платформа Apple выступает на равных с н...

У Intel всё же пока не получилось одолеть AMD. Сравнение двух версий приставки MSI Claw и двух версий Asus ROG Ally расставляет всё по местам Авторы канала Retro Tech Dad решили сравнить две версии портативной игровой приставки MSI Claw на разных процессорах и две версии Asus ROG Ally тоже на разных APU. Результаты получились достаточно интересными, причём сразу по нескольким причинам.  Напомним. MSI Cl...

Samsung не будет пробовать играть в Xiaomi. Корейский гигант не планирует выпускать дешёвый складной смартфон К сожалению, компания Samsung заявила, что не работает над сверхдешёвым складным смартфоном. Неясно, почему вдруг корейский гигант решил отреагировать на очередной слух, но представитель компании опроверг его.  Мы не планируем производить складные смартфоны средне...

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

Китайская GeForce RTX 4090D может быть урезана только по тензорным ядрам. Карту представят уже сегодня Видеокарта GeForce RTX 4090D (Dragon) для китайского рынка должна выйти уже сегодня. Сегодня свежим данным, возможно, её производительность в играх фактически не пострадает.  Как сообщается, Nvidia якобы урезала количество тензорных ядер, но не ядер CUDA. Если это...

Apple Готовит к релизу новые процессоры M4 Если верить поставщикам секретной информации, на текущий момент компания Apple усердно работает над следующим поколением своих процессоров из семейства M4. Вероятно, производитель выпустит сразу три модели процессоров, так что у потенциальных покупателей будет приличный выбо...

Стартап K2 Space из Лос-Анджелеса привлёк финансирование в размере $50 млн на разработку «мега-спутников», рассчитанных на сверхтяжёлые ракеты Стартап K2 Space из Лос-Анджелеса привлёк финансирование в размере $50 000 000 для разработки «мега-спутников». Сейчас K2 Space работает над созданием спутников, совместимых с тяжёлыми и сверхтяжёлыми ракетами, которые уже находятся на рынке или планируется ...

Apple вернет топовый процессор в iPhone 16. Он получит чип A18 как iPhone 16 Pro и 16 Pro Max До сентября 2024 года остается еще больше 9 месяцев, а мы уже знаем об iPhone 16 практически все. Нет, это не Apple допустила так много утечек: просто компания стала слишком предсказуемой, и мы за годы профессионального погружения в ее деятельность научились с высокой долей...

Core Ultra 7 155H и Ryzen 9 8845HS сошлись в битве нового поколения, где сравнивается производительность ИИ. Тесты показали, что Ryzen быстрее В последнее время производители всё активнее сравнивают свои процессоры по производительности блоков NPU. И хотя это пока практически бесполезная для обычного пользователя вещь, авторы ресурса Computerbase решили сравнить современные CPU Intel и AMD, воспользовавшись бе...

Ускорители Nvidia H100 больше не нужно ждать целый год, но компания столкнулась с падением спроса Спрос на ускорители для ИИ Nvidia H100 такой огромный, что в какой-то момент время ожидания поставок для ряда клиентов выросло до года. Теперь же Nvidia удалось решить проблему почти полностью, радикально снизив ожидания.  Как сообщается, компания смогла снизить в...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

Представьте, каких высот фотосъёмки добьётся новый флагман Huawei в таком случае. Компания наконец-то может использовать дюймовый датчик изображения Компания Huawei может наконец-то перейти на дюймовые датчики изображения.   Инсайдер Digital Chat Station говорит, что сейчас Huawei тестирует дюймовый датчик Sony IMX989. Ранее уже были слухи, что дюймовый датчик может получить топовая модель Huawei P70 Pro Art, ...

Oppo A60 представили официально В начале этого месяца смартфон среднего класса Oppo A60 появился в базе данных Google Play, а сегодня он без лишнего пафоса и шума был официально представлен во Вьетнаме. Безусловно, в ближайшие недели он будет запущен на международном рынке — производитель всегда сначала вы...

Первый в мире мини-ПК на процессоре, как у игровой приставки Asus ROG Ally. Edge Z1 основан на Ryzen Z1 Компания AMD ранее в этом году представила гибридные мобильные процессоры Ryzen Z1 и Z1 Extreme, предназначенные для портативных приставок. Оказалось, что на рынке вскоре появится мини-ПК с таким APU.  Модель называется Edge Z1 и основана, как ясно из названия, на...

Перевод: дорожная карта и ИИ-функции Windows 11 версии 24H2 Этот год ожидается довольно насыщенным для операционной системы Windows, ведь уже совсем скоро на рынке начнут появляться первые так называемые «ПК с ИИ» от ключевых OEM-партнёров Microsoft. Пришло время разобраться в планах компании и поговорить о некоторых новшествах в сл...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Чтобы не везти «свежий воздух» из Китая. В России открылось новое производство пропеллеров для дронов В России начали выпускать отечественные пропеллеры для дронов. Производство наладили в Новосибирске, о чем рассказал исполнительный директор конструкторского бюро «Спектр» Андрей Братеньков. «Мы запустили производство пропеллеров для FPV-дронов в Новос...

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Очередной показатель того, что для современных CPU Intel очень важен планировщик потоков. Компания выпустила патч для Linux, повышающий производительность Гетерогенные процессоры Intel сильно зависят от эффективности планировщиков потоков. Отличный тому пример — повышение производительности CPU Intel в Linux на 14% после выхода нового патча.  Фото: Intel Intel выпустила патч, который улучшает работу планировщи...

Имеет ли смысл переплатить за игровой CPU и сэкономить на видеокарте? Сравнение Ryzen 5 7600/RTX 4070 Ti с Ryzen 7 7800X3D/RTX 4070 показывает интересные результаты Игровой процессор — понятие, которое до недавнего времени фактически было «мертво». Но с выходом Ryzen X3D с их дополнительной кеш-памятью снова стало корректно говорить об игровых CPU. Авторы Hardware Unboxed решили проверить, а стоит ли переплачивать...

Netmarble проведёт презентацию MMORPG Arthdal Chronicles Последняя новость об Arthdal Chronicles на AppTime была в 2022 году. Тогда проект только анонсировали, а делают его уже 2 или больше лет. В этом году корейский издатель Netmarble решил раскрыть завесу тайн и провести презентацию. Она пройдёт 15 февраля в 4 утра по МСК. Судя ...

Новый 96-ядерный процессор AMD Ryzen Threadripper Pro 7995WX оказался мощнее, чем PlayStation 5, и почти догнал GeForce RTX 3060 Никакие Core i9-14900K или Ryzen 9 7950X не сравнятся. AMD представила монструозные процессоры Ryzen Threadripper 7000 во главе с 96-ядерной моделью Мы уже успели убедиться в том, насколько невероятно производительным получился 96-ядерный процессор Ryzen Threadripper P...

40-мегапиксельная беззеркальная камера Fujifilm X-T5 стала хитом: из-за высокого спроса Fujifilm перестала принимать заказы на нее Запредельно высоким спрос может быть не только на смартфоны, но и, например, цифровые камеры. Именно по причине очень высокого спроса, за которым не поспевает производство, Fujifilm прекратила принимать заказы на свои камеры Fujifilm X-T5 и X-S20, а также комплекты X-T5...

Apple может выпустить в 2024 году сразу два iPhone SE 4 с Face ID, Dynamic Island и по низкой цене С 2020 года Apple выпускает ежегодно четыре разных модели Айфона, и покупатели уже к этому привыкли. В линейку входят два базовых смартфона и два Pro. В каждой паре есть устройство с большим и маленьким экраном, поэтому выбор у потребителей очень простой. Однако в этом году...

Бельгийский производитель Simera Sense получил $15 млн инвестиций на расширение производственных мощностей для кубсатов с улучшенным разрешением Бельгийский производитель фотоаппаратов Simera Sense привлек почти $15 000 000 на расширение мощностей по сборке систем за пределами Южной Африки и ближе к производителям компонентов в Европе с целью разработки продуктов для кубсатов с более высоким разрешением. Генерал...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Влияние Nvidia на рынок становится огромным. Спрос на ускорители поколения Blackwell приведёт к большому росту продаж памяти HBM3e и спросу на CoWoS Аналитики TrendForce утверждают, что ускорители Nvidia Blackwell сильно повлияют на рынок.  Согласно прогнозам, уже в следующем году Nvidia поставит на рынок миллионы ускорителей нового поколения. Кроме прочего, это очень сильно повысит спрос на технологию объёмно...

Продажи подержанных автомобилей в России упали впервые за год В ноябре текущего года жители России приобрели немного более 458 тысяч подержанных легковых автомобилей, что на 0,5% меньше, чем годом ранее.  Эксперты агентства «Автостат» отмечают, что российский рынок подержанных автомобилей продемонстрировал от...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Как выжать максимум из iGPU, доведя производительность почти до уровня GTX 1650 Laptop. Radeon 780M разогнали до 3,3 ГГц Разгон интегрированного графического ядра Radeon 780M до частоты 3,15 ГГц, о котором мы сегодня уже рассказывали, оказался не максимальным. Оверклокер SkatterBencher пошёл дальше и добился работы iGPU на частоте 3,3 ГГц, а заодно провёл тесты.  Начнём с того, что ...

AMD отстанет от Nvidia на порядок, а Intel — на два порядка. Появился прогноз на 2024 год касательно рынка ускорителей для ИИ Компания Nvidia безусловно является лидером на рынке ускорителей для ИИ. Но каких-то точных данных о её доле в Сети пока найти сложно. Теперь вот появились прогнозы, касающиеся итогов текущего года, которые позволяют понять распределение сил на рынке.  Итак, Nvidi...

Samsung больше не хочет GPU AMD? Компания намерена создать собственное графическое ядро и отказаться от решений AMD Компания Samsung может прекратить сотрудничество с AMD в области GPU, то есть перестанет использовать соответствующие графические ядра в своих мобильных платформах.  Инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заяв...

PlayStation 5 Pro уже готовится к официальному анонсу Буквально на прошлой неделе компания Sony официально анонсировала Slim-версию своей консоли нового поколения PlayStation 5, а уже сегодня появилась первая неофициальная информация о более производительной консоли PlayStation 5 Pro. Стоит сразу отметить, что данная информация...

Ryzen 7 5700X3D — лучший игровой процессор для обновления на AM4. Тесты показывают, на что способна новинка за 250 долларов Недавно на рынок вышел игровой процессор AMD Ryzen 7 5700X3D с рекомендованной ценой в 250 долларов. Сегодня ресурс TechSpot опубликовал большой обзор этого CPU в играх.  Напомним, Ryzen 7 5700X3D почти идентичен Ryzen 7 5800X3D, но работает на существенно более н...

Intel винит во всём производителей системных плат и ПК. Компания сделала первое заявление относительно проблем с её топовыми процессорами Компания Intel сделала первое заявление относительно сложившейся ситуации с замедлением топовых CPU на фоне проблем в играх и приложениях.  Intel заметила, что эта проблема может быть связана с условиями эксплуатации, выходящими за пределы технических характеристи...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

Новейший Nissan GT-R отправят на пенсию всего через два года после анонса: некоторые детали «больше нельзя будет производить» Как стало известно, Nissan GT-R 2025 модельного года будет анонсирован 14 марта. В следующем году данная модель будет снята с производства, о чем пишет издание Mag-X со ссылкой на собственные источники. В финальной партии будет всего 1500 автомобилей, при этом 300 машин...

Правительство Китая активно поддерживает и субсидирует Huawei, делая из компании основного игрока полупроводникового рынка Ресурс Bloomberg опубликовал большую статью, рассказывающую о том, как правительство Китая различными способами помогает Huawei не только оставаться на плаву под санкциями США, но и активно развиваться.  Фото: TechInsights и Bloomberg reporting К примеру, жур...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Motorola X50 Ultra получит несколько функций на базе ИИ В феврале текущего года компания Motorola официально опубликовала тизер, посвящённый «Формуле-1», который был посвящён релизу смартфона смартфона Moto X50 Ultra. Точнее, тогда производитель хотел похвастаться не столько характеристиками смартфона, сколько его функциями на ба...

Расследование: Intel изменила параметры одного из процессоров Core Ultra после анонса. У Core Ultra 5 135H стало больше ядер в iGPU Компания MSI на выставке CES 2024 представила свою портативную игровую консоль Claw, которая первой в мире получила процессоры Core Ultra. Оказалось, что для одного из таких CPU Intel изменила параметры уже после анонса.  слайд с презентации описание MSI Claw на ...

В 2024 году смартфоны сильно изменятся. Ждем массовое развитие ИИ и нейросетей Искусственный интеллект в смартфоне в ближайшее время должен стать не просто массовым, но и очень качественным. Компания Google продемонстрировала наиболее серьезные на сегодня возможности искусственного интеллекта в телефонах на базе Tensor G3, предложив набор интересных ф...

Китайцы снова эксклюзивно получат новый процессор Intel. Это будет 10-ядерный Core i5-14490F Компания Intel снова выпустит для китайского рынка уникальный процессор. Возможно, и не один, но пока появилась информация только о модели Core i5-14490F.  Core i5-14490F — это преемник Core i5-13490F, который также выпускался только для Китая, а до этого та...

Пока что и близко не GTX 1650 Ti. Первые тесты iGPU в процессоре Intel Meteor Lake не позволяют назвать это революцией Процессоры Intel Meteor Lake могут отобрать у Ryzen 7000 звание носителей самого производительного iGPU в сегменте. Мы это слышали от разных источников, а теперь можем попытаться подтвердить или опровергнуть, опираясь на первые тесты.  Процессор Core Ultra 7 155H,...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Смогут ли складные телефоны заменить планшеты В последние годы складные смартфоны становятся все более популярными. В этом году компания Samsung продала в Европе больше складных устройств, чем когда-либо продавала старую серию Note. А ведь она, на минуточку, была культовой, и все в голос выли, когда от нее отказались. ...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

MediaTek хочет сделать Dimensity 9400 очень большим Если верить информации западных журналистов, компания MediaTek планирует представить новый процессор Dimensity 9400 с внушительными размерами кристалла. На самом деле специалисты заявляют, что новая система на кристалле будет иметь самые большие размеры среди всех систем, ко...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Очередная уязвимость CPU Intel, и очередное снижение производительности из-за заплатки. RFDS затрагивает малые ядра современных процессоров В процессорах Intel обнаружилась очередная уязвимость. Она называется Register File Data Sampling (RFDS), но информацию о ней Intel раскрыла уже после того, как выпустила исправление микрокода CPU. Тесты Phoronix показали, что на сей раз, к счастью, обошлось без существ...

Когда будет следующая презентация Apple и что на ней покажут Все презентации Apple, намеченные на 2023 год, уже благополучно прошли. За это время нам показали MacBook Air 15, Apple Vision Pro, целую россыпь MacBook Pro на процессорах M3, линейку iPhone 15, две модели Apple Watch и, конечно же, новые версии операционных систем, которы...

Samsung Galaxy S24 Plus рвет продажи. Чем он так хорош и почему надо покупать именно его Флагманская линейка Galaxy S от Samsung демонстрирует впечатляющий ежегодный рост продаж в течение последних двух лет. Но в этом году, согласно отраслевому отчету, во многом благодаря модели Galaxy S24 Plus. Серия, включающая модели S24, S24 Plus и S24 Ultra, за первые неде...

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Samsung будет производить 3-нм серверные процессоры для неизвестной компании Samsung получила еще один заказ по технологии 3 нм, на этот раз для серверного процессора

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Как определить процессор на смартфоне и сравнить его характеристики с другими чипами Как ни крути, а главным компонентом смартфона является его процессор. Пускай многие думают, будто важен он исключительно геймерам, знающие люди прекрасно понимают, что от модели чипсета и ее характеристик напрямую зависит скорость и время работы, а также качество съемки на ...

Смартфонам HuaweI P70 быть. Но пока неясно, будет ли преемница у SoC Kirin 9000s Компания Huawei продолжит выпускать свои флагманские смартфоны. Согласно свежим данным, в следующем году нас ждёт линейка P70.  Данные получены от производителей подэкранных сканеров отпечатков пальцев. Для новинки Huawei такой элемент будут поставлять компании Go...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

Чем Google Tensor 3 отличается от предыдущего и что еще надо о нем знать Флагманские смартфоны Google серии Pixel 8 имеют в своей основе новый полукастомный процессор под названием Tensor G3. Как следует из названия, это третье поколение чипа, и все, кто планирует купить этот смартфон, имеют самые высокие ожидания. Однако компания не стала уделя...

Видеокарты Radeon в ноутбуках никому не интересны? На CES 2024 не было ни единой такой новой модели Текущее поколение видеокарт AMD не может соперничать с GeForce RTX 40 по разнообразию, причём в мобильном сегменте ситуация хуже, чем в настольном. И как оказалось, на выставке CES 2024 не было показано ни одного ноутбука с Radeon RX 7000M.  Более того, модели, ко...

Intel хотя бы частоту немного подняла. Процессоры AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS, похоже, вообще ничем не отличаются от предшественников В Сети появились первые результаты тестирования мобильных процессоров AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS, которые выйдут в начале следующего года.  Новинки набирают 2200-2400 баллов в однопоточном режиме, а в многопоточном результаты в том же поряд...

Pixel 8 Pro снимает видео, а затем искусственный интеллект в ЦОД Google его улучшает. Представлена функция Video Boost Компания Google представила сегодня свои новые смартфоны Pixel 8 и Pixel 8 Pro, но, как обычно, интересны не только сами аппараты, но и программные решения. Одно из них — Video Boost.  Эта функция стоит отдельного внимания, так как она и реализована необычно...

купить дом в Ижевске недорого Если вы ищете недорогой дом в Ижевске, есть несколько важных факторов, которые следует учесть при поиске и покупке недвижимости. Вот некоторые советы и рекомендации, которые помогут вам купить дом в Ижевске по доступной цене: 1. Определите свой бюджет: Перед началом поиска ...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

«Dimensity 9300 — самая мощная SoC для смартфонов на рынке на сегодняшний день». Аналитики Morgan Stanley прогнозируют рост MediaTek Dimensity 9300 — самая мощная однокристальная система для смартфонов на рынке на сегодняшний день. Это мнение аналитиков Morgan Stanley, на которых ссылается Bloomberg.  И это не просто мнение ради мнения. Аналитики говорят, что акции MediaTek выросли почти ...

На фоне недовольства Apple гибкими экранами Samsung корейский гигант заявил, что у него теперь есть первый в индустрии складной мобильный экран, соответствующий военному стандарту MIL-STD 810G Компания Samsung решила похвастаться, что у неё теперь есть первый в индустрии складной мобильный экран, соответствующий военному стандарту MIL-STD 810G.  Экран этот не просто есть, а уже используется. Речь о дисплее складного смартфона Galaxy Z Fold5.   В хо...

BYD: более 50% новых машин в Китае в этом году будут электрифицированными. В прошлом году их было около 35% Ван Чуанфу, председатель и президент BYD, рассказал о достижениях BYD в прошлом году, заявив, что продажи автомобилей на новых источниках энергии превысили 3,02 миллиона единиц, что позволило компании занять первое место в мире. Ван Чуаньфу считает, что трансформация ав...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Новые Apple Watch научатся замерять артериальное давление. Но Apple вам его не покажет Apple позиционирует свои умные часы не только как устройство для получения уведомлений и занятий спортом, но и как гаджет для фиксации некоторых показателей здоровья. В частности, с помощью любой модели Apple Watch вы можете узнать свой пульс, а некоторые из часов Apple уме...

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

Вот это «раскладушечка»: представлен 17-дюймовый LG Gram Fold, дорого Компания LG Electronics представила своё собственное складное устройство с большим гибким экраном. Им стал ноутбук под названием LG Gram Fold.  Это дорогая и мощная модель, первое время продавать её будут только на родном для LG корейском рынке. За LG Gram Fold пр...

Купить дом в ижевске недороог Если вы ищете недорогой дом в Ижевске, есть несколько важных факторов, которые следует учесть при поиске и покупке недвижимости. Вот некоторые советы и рекомендации, которые помогут вам купить дом в Ижевске по доступной цене: 1. Определите свой бюджет: Перед началом поиска ...

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

Какие складные телефоны есть кроме Самсунг. Покупает ли их кто-то Первый складной телефон появился в 2019 году. Это был Samsung Galaxy Z Fold первого поколения. По крайней мере, тогда его официально представили, хотя в массовой продаже он появился лишь спустя несколько месяцев. На следующий день показали HUAWEI Mate X, который был соверше...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Поставки процессоров Intel и AMD в Россию рухнули на 64-70% Как сообщает «Коммерсантъ», за период с января по октябрь текущего года поставки процессоров в Россию существенно снизились. Если точнее, упали на 64-70%.  создано DALL-E Процессоров Intel было поставлено 178 000 штук, а процессоров AMD привезли 35 000...

Почему экран Apple iPhone ярче, чем у любого Android-смартфона, и как нас обманывают китайцы Если вы следите за анонсами новых смартфонов, то наверняка обратили внимание, что в последнее время производители фокусируют наше внимание на яркость экрана. Оно и понятно, ведь это — ключевая характеристика, определяющая уровень комфорта при использовании устройства на ули...

Samsung выпустит Galaxy S24 раньше времени Сегодня зарубежные инсайдеры сообщили, что компоненты для нового флагманского смартфона Galaxy S24 запустят в производство уже в следующем месяце, и Samsung планирует выпустить новые смартфоны даже раньше, чем говорили поставщики секретной информации. Это необходимо производ...

Boeing подал в суд на Virgin Galactic, обвинив в краже коммерческой тайны и неуплате $25 000 000 Аэрокосмический гигант Boeing подал иск против стартапа космического туризма Virgin Galactic, обвинив компанию в краже коммерческой тайны и неуплате $25 000 000 за работы над новым «материнским самолётом», предназначенным для вывода экскурсионного корабля в ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

iPhone SE 4 получит аккумулятор более чем в полтора раза большей ёмкости, чем у iPhone SE 3 iPhone SE 4, который, как ожидается, выйдет в 2025 году, получит аккумулятор, как у iPhone 14.  MacRumors сообщает, что частично собранные прототипы, информацию о которых удалось добыть источнику, имели элемент питания A2863, который как раз используется в базовых...

Проблемы операционной системы Android, которые не решаются годами Когда Android сравнивают с iOS, операционную систему Google критикуют за низкий уровень безопасности, что является следствием ее открытости. Вместе с тем эта претензия кажется слишком изъезженной, да и при выполнении действий, потенциально представляющих угрозу, пользовател...

В этот день Core Ultra сменят Core i. Intel анонсировала мероприятие AI Everywhere, на котором представит процессоры Meteor Lake Компания Intel уже сообщала о том, что представит процессоры Meteor Lake 14 декабря, но лишь сейчас она анонсировала соответствующее мероприятие.  Называется оно AI Everywhere, и название явно говорит нам о том, что Intel будет делать большой упор на ИИ при анонсе...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

У Qualcomm получилось хорошо, но MediaTek сделала гораздо лучше. Vivo X100 на Dimensity 9300 уничтожил Xiaomi 14 Pro в AnTuTu Новая однокристальная система Qualcomm Snapdragon 8 Gen 3 получилась по-настоящему мощной, но… недостаточно мощной, чтобы тягаться с новейшей Dimensity 9300. Это легко понять на примере теста Vivo X100 в AnTuTu. Как видно на картинке выше, Vivo X100 заработ...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

GAC занялась самокопированием. Представлен семейный кроссовер GAC GS4 Max Китайский производитель GAC выпустил новый кроссовер GS4 Max, позиционируемый как семейный автомобиль. Однако при ближайшем рассмотрении оказалось, что новинка практически полностью повторяет другую модель бренда — молодежный GAC Emkoo. GAC GS4 Max построен ...

В России запущен бренд полуприцепов Wagnermaier, открыто СП с европейским производителем. Локализация достигнет 75% Дефицит полуприцепов на российском рынке решается довольно быстро запуском новых производств. На территории ОЭЗ «Алабуга» в Татарстане открылось производство магистральных полуприцепов бренда Wagnermaier, на котором ежегодно будут производить 3 000 един...

Nothing готовит сразу двое новых наушников, включая дешевую версию Компания Nothing выпустила свои первые наушники Ear 1 в 2021 году. За ним последовали Ear Stick годом позже, а последними наушниками под брендом Nothing стали Nothing Ear 2 в начале 2023 года. Недавно компания сообщила о выпуске преемника Ear 2 и даже назвала дату запуска &...

Импортозамещение по-американски: развертывание собственного производства полупроводников идет не совсем по плану В 2022 году мы опубликовали статью «Импортозамещение по-американски: крупнейшие производители полупроводников мира строят фабрики в США». В ней говорилось о том, что американцы собираются нарастить свое присутствие на глобальном рынке полупроводниковых элементов. Дело в том...

MediaTek завоевала рынок смартфонов Сегодня компания Canalys опубликовал отчёт о рынке смартфонов за четвёртый квартал 2023 года, разделив производителей процессоров на отдельные категории. Данный анализ показал, что MediaTek выпустила больше мобильных процессоров для смартфонов, чем любой другой производитель...

В браузере Apple Safari мог бы по умолчанию использоваться поисковый сервис DuckDuckGo. Компания рассматривала такой вариант для приватного режима Недавно мы узнали, что Apple могла купить Bing и вела переговоры в Microsoft по этому поводу ещё в 2020 году. Оказалось, Apple засматривалась и на поисковый сервис DuckDuckGo.  Правда, почему-то Apple рассматривала не возможность купить DuckDuckGo, а лишь возможно...

Nvidia заранее предупреждает, что её будущие ускорители для ИИ нового поколения изначально будут в дефиците Компания Nvidia решила заранее предупредить, что её ускорители поколения Blackwell будут в дефиците сразу после запуска.  Мы рады, что поставки продуктов архитектуры Hopper улучшаются. Спрос на Hopper остается очень высоким. Мы ожидаем, что предложение нашей проду...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Nvidia теперь уступает только Apple. Компания стала вторым по величине клиентом TSMC Компания Nvidia так разрослась благодаря буму на искусственный интеллект, что в итоге стала вторым по величине клиентом TSMC.  Согласно свежим данным, в 2023 году на долю Nvidia пришлось 11% всей выручки TSMC — крупнейшего в мире производителя полупроводнико...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

Это как Windows, только на Linux. Представлена платформа Playtron для портативных игровых приставок Молодая компания Playtron с финансированием в 10 млн долларов представила одноимённую операционную систему, которая, возможно, станет универсальным решением для портативных игровых консолей.  Playtron опирается на Linux и в теории позволяет запускать любые лаунчер...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

Новые смартфоны будут получать меньше оперативки, чем старые. Почему так? Объем оперативной памяти долгое время оставался одной из характеристик, которую производители смартфонов долго и непрерывно улучшали. Начав с 2-3 ГБ, они в конечном итоге достигли совсем уж невероятных пределов, обойдя даже многие настольные компьютеры. 8, 12, 16, 24 ГБ ...

Это изменит способ изготовления процессоров и GPU. Hynix работает над размещением памяти HBM4 прямо на кристалле CPU/GPU Память HBM4 появится на рынке лишь через несколько лет, но уже сейчас появилась информация о том, что появление этой памяти может быть сопряжено с небольшой революцией в области полупроводникового производства. Как сообщается, компания Hynix работает с Nvidia и другими ...

Микроядерные операционные системы, включая KasperskyOS, появятся на обычных потребительских устройствах Микроядерные операционные системы (ОС), к которым относится KasperskyOS, могут появиться на обычных устройствах пользователей в ближайшие 3-4 года, о чем заявил глава отдела бизнеса по развитию KasperskyOS Дмитрий Лукиян. В микроядре ОС большая часть функциональности вы...

И швец, и жнец, и на дуде игрец. Samsung хочет сделать аппараты линейки Galaxy S24 самыми умными ИИ-смартфонами на рынке Похоже, компания Samsung собирается реализовать в линейке смартфонов Galaxy S24 все самые последние веяния и особенности. Мы уже слышали о титановом корпусе и плоском экране у S24 Ultra, а теперь вот сообщается, что корейский гигант хочет сделать из новой линейки самые ...

«Вы просто неправильно тестируете». MediaTek отреагировала на критику невероятного троттлинга SoC Dimensity 9300 Компания MediaTek решила отреагировать на шумиху вокруг невероятного троттлинга SoC Dimensity 9300. Оказалось, что во всём виноваты неправильные тесты.  создано DALL-E Хорошо известно, что все современные смартфоны оснащены терморегулированием, обеспечивающим подд...

Уже 6 лет HUAWEI продает вам смартфоны на старом процессоре. Не попадитесь на эту уловку! Вот уже несколько лет HUAWEI находится под санкциями США, что значительно ограничивает ее возможности. Китайская корпорация лишилась доступа ко многим американским технологиям и теперь вынуждена использовать наработки прошлого в своих новинках. Так, недорогие смартфоны HUAW...

Машинное Масло Оптом: Ключ к Эффективному Обслуживанию Автомобилей Машинное масло - это жизненно важный компонент для поддержания работы двигателя автомобиля на высоком уровне. Оно обеспечивает смазку и защиту двигателя, предотвращает износ деталей и продлевает срок службы автомобиля. Поэтому важно не только выбрать правильное масло, соотве...

Сотрудник почты украл в Канаде почти тысячу iPhone Продукция компании Apple всегда была очень прибыльной из-за того, что спрос всегда высокий, плюс даже со временем гаджеты производителя не сильно теряли в стоимости. Из-за этого вы, вероятно, слышали о различных кражах и ограбления, связанных с магазинами компании Apple в пр...

Стильный телефон для ценителей выгоды. Обзор Blackview Shark 8 Несмотря на то, что на рынке сейчас много смартфонов от самых разных производителей, найти что-то производительное, но при этом не запредельно дорогое, довольно сложно. Одним из примеров мог бы стать Blackview Shark 8. Этот телефон пользуется большим спросом на рынке бюджет...

Samsung разрабатывает процессор для нового ИИ Компания Samsung Electronics стремительно развивается в области производства полупроводников, но даже при этом компании не удалось привлечь внимание лидеров рынка вроде NVIDIA, которые предпочитают TSMC из-за более продвинутых технологических процессов. Но сегодня появилась ...

Обзор блока питания DeepCool PX1200G В последнее время у нас на тестах часто появляются различные варианты, включая несколько самых топовых моделей от разных производителей, имеющих мощность больше киловатта и соответствующих новейшему стандарту ATX 3.0. Это, помимо прочего, подразумевает наличие кабеля 12VHP...

Ядра AMD Zen 5c могут быть построены на более совершенном 3-нм техпроцессе, чем Zen 5 Ожидается, что AMD предложит процессоры EPYC как со стандартными, так и с плотными ядрами Zen 5, но похоже, что между ними может быть большая разница, чем просто количество ядер и тактовая частота.

Китай полностью запретил ПК с процессорами AMD и Intel в государственных учреждениях Пока США расширяет китайские санкции, Китай сам продолжает защищаться от американского влияния. Как сообщается, правительство страны полностью запретило в каком бы то ни было виде использовать в государственных учреждениях процессоры Intel или AMD.  Конечно, речь ...

Для чего нужно обновлять Android на смартфоне Все мы периодически получаем уведомления, предлагающие обновить версию Android или фирменную оболочку, будь то One UI на Samsung или HyperOS на Xiaomi. В то же время многие из нас отказываются от установки апдейтов в силу разных причин, главная из которых — банальная лень. ...

Cамый дешёвый MacBook Pro всё-таки не настолько «Про», как старшие модели. ПК на основе M3 поддерживает только один внешний экран Чем дальше от анонса новых MacBook, тем сильнее ощущение, что базовая модель MacBook Pro на M3 получилась менее «Про», чем старшие. К примеру, обнаружилось, что такой ПК поддерживает лишь один внешний дисплей. С разрешением до 6K и частотой 60 Гц.  Фот...

5 недостатков смартфонов, которые вы принимали за плюсы Не все то золото, что блестит, и не каждое достоинство смартфона является таковым на самом деле. Многие плюсы, которыми так любят хвастаться производители, порой приносят больше вреда, чем пользы, или по крайней мере заставляют в очередной раз убедиться в отсутствии чего-то...

Запасы GeForce RTX 4070 Ti и RTX 4080 будут исчерпаны ещё до конца года GeForce RTX 4090 для Китая больше "не существует". Адаптер удалён с китайского сайта Nvidia, при этом на российском страничка ещё имеется Как известно, Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080 перед выходом моделей Super. Как сообщается,...

Nvidia, а кому нужна видеокарта с 6 ГБ памяти и 96-битной шиной в 2024 году? GeForce RTX 3050 6GB вышла на рынок Компания Nvidia без лишнего шума выпустила видеокарту GeForce RTX 3050 6GB. Официального анонса не было, но карты уже поступили в продажу.  В Европе за новинку просят около 180-190 евро, то есть слухи о рекомендованной для США цене в 180 долларов, видимо, верны. &...

Это Ryzen 7 9800X или Ryzen 7 9700X. Первое фото инженерного образца процессора Ryzen 9000 для настольных компьютеров В Сети опубликовано первое фото будущего процессора AMD линейки Ryzen 9000 для настольных компьютеров (Granite Ridge). На фото показан CPU с 18 ядрами и поддержкой многопоточности, что будет соответствовать Ryzen 7 9800X или Ryzen 7 9700X. Топовая модель линейки, согнла...

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

Samsung выпустила долгожданный Galaxy S23 FE. Вы захотите его купить Компания Samsung нашла отличную нишу, когда выпустила Galaxy S20 FE еще в 2020 году, предложив возможности флагманского уровня по более низкой цене, чем ее основные топовые телефоны. Компания допустила небольшую ошибку с Galaxy S21 FE и, как сообщается, отменила выпуск Gala...

Эти новые ИИ-функции появятся в крупном обновлении Windows 11 24H2 Компания Microsoft раскрыла новые детали о новшествах, которые появятся в крупном обновлении Windows 11 24H2.  Одна из новых функций, где появится интегрированный искусственный интеллект, это PowerToys. Называться она будет PowerToys Advanced Paste with Local AI, ...

Процессоры Intel Clearwater Forest получат до 288 ядер, прирост IPC и больше кэша — инсайдер Но ядра якобы всё ещё будут основаны на оптимизированной версии архитектуры Crestmont.

Samsung Bixby наконец-то станет более полезным? Компания хочет превратить его в аналог ChatGPT Компания Samsung заявила, что ей нужно серьёзно пересмотреть основы своего голосового помощника Bixby.  создано DALL-E Топ-менеджер компании рассказал CNBC, что Samsung может добавить Bixby генеративный искусственный интеллект. Фактически это будет совершенно друг...

Что известно про macOS 15 и какие компьютеры Apple получат обновление Помимо iOS 18, которую многие владельцы смартфонов компании Apple с нетерпением ждут из-за изменений в дизайне и интеграции искусственного интеллекта, на WWDC 2024 покажут еще и операционную систему для компьютеров macOS 15. К сожалению, пока неизвестно, какое название она ...

Qualcomm переходит с Arm на RISC-V. Новая однокристальная система Snapdragon Wear для умных часов будет использовать именно эту архитектуру Похоже, умные часы нового поколения с Wear OS станут намного автономнее. Компания Qualcomm объявила о том, что она совместно с Google разрабатывает платформу Snapdragon Wear, основанную на архитектуре RISC-V.  создано DALL-E  В пресс-релизе сказано, что новая...

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

AMD теснит Intel по всем фронтам. На рынке серверных процессоров AMD занимает уже почти четверть, забирая почти треть всей выручки Согласно данным аналитиков Mercury Research, в четвёртом квартале прошлого года компания AMD смогла существенно нарастить свою долю на рынке процессоров.  В целом на рынке CPU в количественном выражении компания теперь занимает 20,2% против 17,1% за год до этого. ...

Процессоры Intel Core 12-го, 13-го и 14-го поколения практически не отличаются, если приравнять их по основным параметрам Компания Intel недавно выпустила процессоры Core 14-го поколения в виде линейки Raptor Lake Refersh, которая от обычных Raptor Lake технологически ничем не отличается. В свою очередь, Raptor Lake являются лишь слегка улучшенными моделями Alder Lake с увеличенным количес...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Redmi K70 выйдет на уровень премиум-флагманов. Он получит экран 2К, Snapdragon 8 Gen 3, металлическую боковую рамку и стеклянную заднюю панель Инсайдер Digital Chat Station рассказал не только о будущем One Plus Ace 3, но и о Redmi K70, премьера которого ожидается уже в текущем году. Судя по словам информатора, эта модель превратится во флагмана премиум-класса – как с точки зрения характеристик, так и ма...

Правда ли, что Mac mini — лучший компактный компьютер прямо сейчас и стоит ли его покупать Многие считают Mac mini одним из самых привлекательных компьютеров Apple. В его пользу говорит предельная компактность, длительная программная поддержка и, конечно, производительная техническая начинка. С ним вы можете собрать полноценную рабочую станцию на macOS, которая б...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Клиенты Wildberries смогут отменить покупку сразу после заказа Wildberries объявил о запуске новой функции: возможности отмены покупки непосредственно после заказа. Это предложение будет полезно, если покупатель ошибся в выборе товара, адреса доставки или случайно заказал большее количество товаров, чем планировал.

Теперь Intel обещает 20-40% прироста и даже больше, но хватит ли этого, чтобы догнать монстров AMD? В Сеть попал документ о CPU Xeon поколения Emerald Radips В первой половине следующего года Intel выпустит серверные процессоры Xeon поколения Emerald Radips, которые заменят Sapphire Rapids и будут самыми производительными CPU компании. Сегодня в Сети появились документы Intel, посвящённые этим процессорам.  Характерист...

«Уверен, что мы увидим другой расклад», — главный редактор «За рулем» заступился за Lada Vesta NG Максим Кадаков, главный редактор журнала «За рулем», прокомментировал информацию о том, что Lada Vesta NG стала менее российской. «Завод смог увеличить количество операций, которые он выполняет при производстве Весты, и даже нашел новых российских пост...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

5 красивых виджетов погоды для вашего Айфона Одним из самых популярных виджетов на Айфоне является, конечно же, погодный. Очень удобно, когда можно, не заходя в приложение, узнать температуру воздуха на улице и оценить вероятность осадков. Однако я обратил внимание, что последнее время штатный виджет погоды периодичес...

Почему смартфоны Samsung больше никому не нужны, а ее мировые продажи падают Мы уже привыкли к тому, что есть какая-то сложившаяся группа лидеров по продажам смартфонов. Больше всего интереса обычно вызывает тройка лидеров, но борьба такая плотная, что в рамках пятерки всегда происходят какие-то изменения. Это логично, ведь не все модели оказываются...

У Hyundai есть стратегия, и компания её придерживается: производителя не волнует падение продаж электромобилей, поэтому он планирует ещё больше новинок Hyundai не беспокоится по поводу снижения спроса на электромобили, компания продолжает двигаться к достижению своих амбициозных целей по электрификации. По словам старшего вице-президента по планированию продукции и стратегии мобильности Hyundai Motor North America Олаб...

Это новое будущее Windows с Arm. В Сети засветилась платформа Snapdragon X Plus Уже через два дня Qualcomm представит однокристальные системы Snapdragon X для ноутбуков с Windows. В семейство будут входить старшие SoC X Elite и младшие X Plus. И сегодня в Сети засветились именно вторые.  Пока речь только об одной модели с именем X1P64100. Как...

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Intel уверена в своём доминировании на процессорном рынке, несмотря на активное наступление AMD и Arm Компания Intel, несмотря на активную потерю доли процессорного рынка во всех основных сегментах, уверена в своём доминировании в обозримом будущем.  Выступая на Intel Innovation Taipei 2023 Technology Forum, глава компании Пэт Гелсингер заявил, что Intel сохранит ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)