Социальные сети Рунета
Пятница, 10 мая 2024

В России планируют освоить производство 28-нм чипов Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов на кремниевых пластинах. Говорится в «дорожной карте» развития индустрии, которую представил замглавы Министерства промышленности Василий Шпак в ра...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Министр торговли США невероятно встревожена достижениями Huawei и хочет больше инструментов давления Министр торговли США Джина Раймондо (Gina Raimondo) выразила озабоченность последними достижениями Huawei. В частности, выходом SoC Kirin 9000s.   создано DALL-E в Bing Раймондо говорит, что сообщение о том, что китайская фирма разработала передовые чипы, является...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

В России появилась эффективная технология создания высокопрочных стекол Специалистами входящей в «Ростех» ОНПП «Технология» разработана и освоена уникальная малозатратная технология изготовления высокопрочных стекол, предназначенных для применения на транспорте, включая железнодорожный, а также на истребителях.

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Россия готовится к освоению производства 28-нм чипов до 2027 года и 14-нм чипов до 2030 года Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов

Электронику в машинах российской сборки сделают отечественной. Новые компоненты уже проработаны В России уже проработали некоторые электронные автомобильные компоненты, серийное производство которых запустится в ближайшие два года. Глубокую локализацию электронных автомобильных компонентов планируется поэтапно начать в РФ в 2024-2025 годах, о чем заявил замглавы М...

Суперпростой вертолет Skyryse One можно освоить всего за 20 часов Не секрет, что управлять вертолетом гораздо сложнее, чем самолетом. Для тех, кто все же решил освоить эту профессию, компания Skyryse предлагает приобрести у нее вертолет One всего лишь за… $1,8 млн. За восемь лет своего существования компания разработала полуавтономную сист...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Смартфон HTC U24 засветился в сети В базе регулятора Bluetooth SIG появилось упоминание смартфона HTC U24, который еще не был представлен официально. Ведомство подтвердило наличие поддержки Bluetooth 5.3 благодаря чипу Qualcomm FastConnect 6700. Последний используется в 4-нанометровых однокристальных системах...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Нынешний монстр Nvidia H100 уже через два года превратится в позапрошлое поколение. Nvidia опубликовала дорожную карту Компания Nvidia в презентации для инвесторов поделилась дорожной картой для своих продуктов, связанных с ЦОД и ускорением ИИ.   Напомним, на данный момент старшим решением в рамках линейки Hooper является ускоритель H100. На дорожной карте можно видеть также модел...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Российский – и точка. Отечественный смартфон «Р-фон» под управлением Rosa Mobile внесли в госреестр отечественного «железа» Смартфон «Р-фон» внесли в реестр Минпромторга – аппарат представлен в каталоге Государственной информсистемы промышленности под обозначением «Рутек RT001 РКУП.466259.001». Государственные организации и компании с госучастием ориентирую...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Canon представила коммерческую установку, позволяющую «печатать» 5-нанометровые чипы без фотолитографии Canon представила установку под обозначением FPA-1200NZ2C, позволяющую производить 5-нанометровые чипы. Это первое коммерческое решение такого рода, но будут и другие: Canon в будущем доработает систему так, чтобы с ее помощью можно было производить 2-нанометровые чипы....

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Производство систем ESP в России запустят до конца декабря. Их будут выпускать там же, где выпускают системы ABS Сначала в России начали выпускать системы ABS (производство в Костроме стартовало летом), а сейчас готовится к запуску линия по выпуску противозаносных систем (ESP). По словам замглавы Минпромторга РФ Василия Шпака, выпуск ESP начнется уже в текущем месяце. «Сего...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Даже Apple хочет, чтобы вы попали в IT: появился ресурс по изучению языка Swift Приветствуем всех начинающих разработчиков приложений, для вас Apple запустила новый ресурс, который поможет освоить программирование на Swift.

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

В России освоили производство сварочных аппаратов необходимых для строительства ледоколов В Крыму создали уникальное оборудование для сварочных швов, способных выдержать экстремальные морозы -40°С и даже ниже.

На Lada Granta начали устанавливать «автомат» Toyota АвтоВАЗ все никак не освоит производство хотя бы вариаторных трансмиссий, но частные фирмы уже научились устанавливать на Lada Granta классические «автоматы». Причем речь идет об очень надежной четырехступенчатой трансмиссии Aisin. Изображение: Lada Передел...

Китайских автопроизводителей обяжут устанавливать на свои машины российскую электронику В России планируют создать график локализации для китайских автомобильных брендов, которые хотят организовать производство своей продукции на территории страны. Решение об этом было принято в ходе совещания, посвящённого перспективам локализации китайских автобрендов в ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Lada Niva, Vesta и Granta получили российские патрубки, шланги и сальники вместо немецких и турецких Как сообщает ТАСС со ссылкой на пресс-службу Министерства промышленности и энергетики Саратовской области, местный завод «Балаковорезинотехника» (БРТ) импортозаместил ряд компонентов для отечественных Lada Niva, Vesta и Granta. Так, для двигателя «Гра...

Российские дороги будут размечать с помощью отходов стекла Российский экологический оператор (РЭО) сообщил, что в при разметке дорог планируется начать использование отходов стекла. Как сообщают «Известия», новый материал будет использован на трети российских дорог или 600 тысячах километров. Отходы стекла использую...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

В России планируют освоить производство 28-нм чипов к 2027 году, а 14-нм — к 2030-му Соответствующие заявления сделал Минпромторг.

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

В 2024 году начнут выпускать обновленный Aurus Senat. Ждем как минимум «подтяжку лица» Седан Aurus Senat производится с 2018 года, видимо, настало время рестайлинга. Обновленную машину начнут выпускать в следующем году. Фото: РИА Новости / Александр Гальперин «В следующем году в мае будет запущено производство обновленной версии автомобиля», ...

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

В России планируют освоить производство 28-нм процессоров к 2027 году Такие чипы выпускались крупнейшими производителями еще в 2009–2010 годах

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

TSMC должна будет к 2028 году освоить в США выпуск 2-нм продукции И построить три предприятия вместо двух.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Как настроить GitHub Actions и не заплакать: пошаговая инструкция Как настроить GitHub Actions и не заплакать: пошаговая инструкцияПривет всем! Меня зовут Виталий, я фронтендер в Mish. Решил недавно освоить полноценный автоматический деплой проекта, чтобы все работало само. Расскажу и вам, что из этого получилось.В статье буду разговариват...

Amstrad Notepad Computer NC100: история, характеристики и особенности винтажного девайса История британской компании Amstrad насчитывает ровно 40 лет. Она была основана в 1968 году сэром Аланом Майклом Шугаром. Этот достопочтенный джентльмен имеет титулы барона и рыцаря, является почётным доктором наук в двух университетах Лондона, а ныне занимает место в Палате...

Как создать многослойность в одежде, чтобы было тепло и красиво Освоить приём нетрудно, главное знать несколько хитростей.

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Фонд развития туризма сотрудничает с Karisma Resorts International на форуме IHIF-2024 Фонд развития туризма Саудовской Аравии (TDF) и Karisma Hotels & Resorts International подписали Меморандум о взаимопонимании, в котором рассматриваются возможности развития роскошных курортов в Саудовской Аравии. Подписание состоялось на Международном инвестиционном фор...

Рассекречен кроссовер Geely Okavango L 2024, который официально приедет в Россию. У него двигатель от Geely Monjaro и 7 раздельных мест В Китае полностью рассекретили кроссовер Geely Okavango L 2024 – автомобиль, судя по всему, уже появился у местных дилеров, а в следующем году этот автомобиль появится и у официальных дилеров Geely в России. Okavango – это среднеразмерный семейный кроссовер...

Samsung хочет создать датчик изображения с собственным искусственным интеллектом Компания Samsung, как сообщается, предположительно, работает над новым датчиком изображения с интегрированным искусственным интеллектом.   Для этого датчик нужно оснастить собственным микропроцессором и собственной памятью, но такие решения мы уже видели у Sony. &...

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Французские учёные помогут японской компании Rapidus освоить 1-нм технологию К началу следующего десятилетия.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

В России освоили и ввели в производство критическую технологию для газовых турбин Александр Конюхов, генеральный директор АО «Силовые машины»: «Открытие производства литых лопаток газовых турбин — это знаковое событие для «Силовых машин» и всей отрасли. Новое производство основано на принципе 100% импортозамещения: наши специалисты разработали и внедрили ...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Управление командами: стратегии и инструменты современного руководителя Зовём на уникальный курс для амбициозных лидеров, желающих освоить современные методы управления и развития адаптивных команд, способных к инновациям.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

В Подмосковье запустили производство материнских плат, SSD и модулей оперативной памяти В подмосковном Фрязино компания «Инферит» начала производство компонентов для компьютерного и серверного оборудования на новой линии поверхностного монтажа. Новая линия поверхностного монтажа на собственном заводе компании позволяет осуществлять сборку матер...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

GeForce RTX 5090 действительно может получить память GDDR7. Появилась дорожная карта компании Micron В Сеть попала дорожная карта компании Micron, которая позволяет понять, когда ждать видеокарты с новой памятью GDDR7.  Как можно видеть, первое поколение такой памяти ожидается в конце следующего года. Это будет GDDR7 с эффективной частотой 32 ГГц. Это позволит Nvi...

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Компания Oclean представила на российском рынке сразу три умных гаджета Некоторое время назад в сети появилась информация о том, что компания Oclean, которая специализируется на различного рода устройствах для ухода за полостью рта, готовится выйти на российский рынок. А сегодня компания официально представила в России сразу две зубные щётки и и...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Петербургский бизнес может получить полмиллиона рублей на развитие облачных технологий Провайдер CloudMTS запустил грантовую программу для российских компаний, которые хотят освоить облачные технологии или масштабировать их использование.

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Московские инновации в приоритете: сотни возможностей для развития Бизнес столицы: от стартапов до опытных игроков на пути к новаторству Современная Москва активно нацелена на развитие инновационных компаний, предоставляя им широкий спектр возможностей для роста. Каждый предприниматель, будь то новичок в индустрии или ветеран бизнеса, может...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

В России выпустят отечественные платежные терминалы В России появится первый отечественный платежный терминал, причем это должно произойти уже в 2024 году. Об этом сообщает издание «Ведомости», ссылаясь на Александра Соколова, директора по продуктам компании «Элемент-технологии», которая занимаетс...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

7 советов, которые помогут быстро выучить английский Специалист с 14-летним стажем уверена, что язык освоят и взрослые, и дети.

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

РФЯЦ–ВНИИТФ отгрузил первые волоконные лазеры собственного производства Лазеры Всероссийского научно-исследовательского института технической физики имени академика Е. И. Забабахина (РФЯЦ–ВНИИТФ) предназначены среди прочего для применения в отечественных 3D-принтерах по технологии селективного лазерного сплавления металлопорошковых композиций. ...

На карты 2ГИС добавили подробные трёхмерные развязки дорог, мосты и туннели Команда картографического сервиса 2ГИС объявила о запуске большого обновления в рамках развития карт нового поколения. После летнего запуска реалистичных изображений зданий и парков в геосервисе появились детальные изображения дорог.  Сгенерировано нейросетью Midj...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Кому и зачем нужны разработчики мобильных приложений в 1С Платформа 1C:Enterprise — самый простой способ перейти в сферу мобильной разработки. Научиться писать приложения на 1С проще, чем освоить Swift, Java или Kotlin. Обучение займёт всего пару месяцев. При этом специалист с такими знаниями всегда будет востребован на рынке благо...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Российская компания «Протон-ПМ» за год увеличила производство ракетных двигателей на 25% В Перми прошло совещание по итогам работы АО «Протон-ПМ» (входит в «НПО Энергомаш» госкорпорации «Роскосмос») в 2023 году и планах на 2024 год, сообщает пресс-служба Правительства Пермского края. Заявлено, что по итогам прошлого года ...

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

15+ полезных ссылок для начинающего специалиста по Data Science Привет, Хабр! Меня зовут Раф. Сейчас я работаю аналитиком ценообразования в Яндекс Лавке, куда попал после стажировки в команде аналитики Яндекс Браузера. Параллельно учусь в НИУ ВШЭ и уже успел пройти курс «Специалист по Data Science» в Практикуме.В этой статье я собрал пол...

Бренд Aurus будет развивать «Газпром». За машинами и мотоциклами могут последовать бизнес-джеты и яхты Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что его ведомство обсуждает с компанией «Газпром» развитие бренда Aurus. Так он ответил на вопрос о возможной покупке «Газпромом» доли в компании Aurus, которая занимается производством ...

Смотрим, как устроен первый сторонний магазин приложений для iPhone Ни для кого не секрет, что выход iOS 17.4 стал революцией для операционной системы iOS. Впервые на смартфонах компании Apple появилась возможность устанавливать приложения не из App Store. Да, это послабление действует лишь на территории Евросоюза, но, как многие справедлив...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Смартфоны Realme 12 Pro установили рекорд продаж в Индии Недавно компания Realme уже отчитывалась об успехах смартфонов Realme 12 Pro и Realme 12 Pro+ на индийском рынке. Сегодня стало известно, что новинки стали самыми продаваемыми устройствами на старте продаж для смартфонов в сегменте 25-35 тысяч рупий. На данный момент продано...

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

«Очевидно, Р-ФОН и иностранный смартфон — это два совершенно разных устройства», — появился комментарий от создателей российского смартфона Официальный канал пресс-службы РОСА Мобайл и Рутек опубликовал комментарий по поводу схожести российского телефона «Р-ФОН» и Symphony Helio 80, который выпускается в Бангладеш. Сегодня появилась информация про визуальную схожесть Р-ФОНа с бангладешским. Так ...

У КамАЗа появится собственный «автомат» Как сообщает Quto.ru со ссылкой на «Авторевю», КамАЗ работает над собственной автоматизированной трансмиссией для грузовиков. Раньше такие коробки передач закупали у немецкой ZF, но эта компания ушла из России, а бывшее совместное предприятие «ZF КАМА&...

Новую память UFS 5.0 в смартфонах придётся ждать ещё долго. Samsung опубликовала свежую дорожную карту Флеш-память UFS 5.0 появится в смартфонах ещё нескоро. Компания Samsung рассказала, что она уже работает над этим стандартом, но ждать придётся ещё весьма долго.  Свежая дорожная карта корейского гиганта указывает на появление UFS 5.0 не ранее чем в 2027 году. Пра...

Очень скоро в России стартует выпуск Haval Jolion 2024. Что изменится и сколько будет стоить этот хитовый кроссовер? На недавно состоявшейся дилерской конференции раскрыли планы Haval по выводу новых моделей на российский рынок. Одной из первых новинок станет Haval Jolion 2024. Производство модели в России стартует уже в январе, а в продаже он появится в феврале. Примерно так будет в...

Нейросети на работе: какие задачи они могут взять на себя уже сейчас Вместе с сервисом онлайн-образования Яндекс Практикум разобрались, как искусственный интеллект меняет рынок труда, каким специалистам будет полезно освоить эту технологию и с какими задачами нейросети смогут им помочь.

Сначала AMD и Qualcomm, затем Intel, а Apple будет последней. Появилась дорожная карта, описывающая график выхода новых процессоров всех компаний Согласно данным аналитиков Canalys, однокристальная система Apple M4 может увидеть свет в начале 2025 года.  Дорожная карта, построенная аналитиками, говорит о запуске продуктов на SoC M4 в первом или втором квартале 2025 года.  Напомним, SoC M3 присутствует ...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Это санкции США вызвали катастрофу? За текущий год в Китае закрылось почти 11 000 компаний, связанных с производством чипов: это по 30 компаний в день Когда SMIC удалось выпустить для Huawei 7-нанометровую SoC, можно было бы подумать, что санкции США только укрепляют силу китайских производителей чипов, хотя американцы заявляют, что всё не так просто. Однако данные DigiTimes указывают на то, что на самом деле ситуация...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

НИУ ВШЭ запустила проект по обучению преподавателей использованию ИИ Высшая школа экономики (ВШЭ) представила новый проект по обучению преподавателей использованию искусственного интеллекта (ИИ). Курс позволит преподавателям освоить современные методы ИИ, узнать о его применении в образовании и исследованиях.

Цены на автомобили из списка госзакупок не будут расти необоснованно Минпромторг будет отслеживать цены на автомобили из опубликованного списка моделей, которые рекомендованы для госзакупок. Как пишет «Российская газета», Минпромторг рассчитывает не допустить необоснованного повышения цен. «Актуальные цены на автомобили...

Выпуск 28-нм чипов в России планируется освоить к 2027 году, 14 нм – к 2030 году Планы, впрочем, склонны меняться

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Влияние Nvidia на рынок становится огромным. Спрос на ускорители поколения Blackwell приведёт к большому росту продаж памяти HBM3e и спросу на CoWoS Аналитики TrendForce утверждают, что ускорители Nvidia Blackwell сильно повлияют на рынок.  Согласно прогнозам, уже в следующем году Nvidia поставит на рынок миллионы ускорителей нового поколения. Кроме прочего, это очень сильно повысит спрос на технологию объёмно...

Wildberries выплатила компенсацию за половину сгоревшего товара. Открытие нового склада в Петербурге задерживается Компания Wildberries уже выплатила компенсацию более 50% или около 160 тыс. предпринимателей, чьи товары находились на сгоревшем складе в Шушарах. Как сообщает ТАСС, в данный момент продолжается работа с покупателями. «Также сейчас работа идет с новыми отгрузками,...

У КамАЗа появился новый мотор мощностью 450 л.с. «Тутаевский моторный завод» (входит в КамАЗ) освоил производство нового дизельного мотора ТМЗ 8487.10-03 мощностью 450 л.с. Первые десять моторов уже изготовлены и отправлены компании «ДСТ-Урал» в Челябинск, их установят в тяжёлые гусеничные буль...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

5 советов для начинающих IT-специалистов Если вы хотите освоить IT-профессию или уже обучаетесь на курсах — эта статья для вас. За последние 5 лет я прошёл огни, воды и медные трубы, чтобы научиться программировать и войти в IT. Настало время поделиться опытом, поэтому держите несколько советов, которые облегчат ва...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Как пользоваться Claude: знакомство с главным конкурентом ChatGPT и базовые правила его использования В последние годы мы стали свидетелями стремительного развития и роста популярности чат-ботов на базе искусственного интеллекта. Одним из наиболее известных и широко используемых чат-ботов стал ChatGPT от компании OpenAI, который продемонстрировал впечатляющие возможности в о...

Как выбрать компанию для стажировки В наше время у всех желающих освоить новую профессию есть понятный и четкий алгоритм. Сначала нужно обучиться в вузе или самостоятельно пройти теорию с помощью профильной литературы, уроков на YouTube, онлайн-курсов, наставников или репетиторов. Затем нужно выполнить первые ...

Volvo ушла навсегда. Компании не оставили право выкупа российских активов Как стало известно, компания Volvo окончательно ушла из России. Сделка по передаче активов шведской автомобилестроительной компании Volvo, расположенных на территории Российской Федерации, не включала опцион на обратный выкуп. «Volvo — без опциона», &m...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Российский смартфон «Р-Фон» выйдет в продажу в следующем году Как мы уже писали, компания «Рутек» официально анонсировала российский смартфон «Р-Фон» на собственной операционной системе «Роса Мобайл». По заявлению производителя, новое мобильное устройство полностью закрывает потребности бизнеса,...

Освоить 2,2 млрд рублей: в России наладят производство комплектующих для КамАЗ, Aurus и сельскохозяйственной спецтехники «Муромский машиностроительный завод» благодаря займу создаст производства импортозамещающих комплектующих для электробусов КамАЗ, автомобилей Aurus и сельскохозяйственной спецтехники. «Фонд развития промышленности профинансировал юбилейный 1500-й проек...

Индии потребуется не менее десяти лет, чтобы освоить выпуск 28-нм чипов По консервативным оценкам.

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Началось производство новых российских батареек формата как у старых советских «элементов 373» На заводе «Литий-Элемент» (входит в Ростеха) освоили выпуск источников питания ER 34580 для работы в условиях экстремальной нагрузок (до 150 g). Батарея имеет емкость 14 А•ч, напряжение 3,6 В и срок службы не менее трех лет. Рабочий диапазон температур ...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Nvidia готовит урезанную GeForce RTX 3050 с 6 ГБ памяти и 96-битной шиной Пока Intel собирается наконец-то выпустить представленную более года назад видеокарту Arc A580, которая будет конкурировать с GeForce RTX 3050, Nvidia собирается обновить линейку RTX 30, представив самую дешёвую модель. Такой будет RTX 3050, но с 6 ГБ памяти.  RTX...

Первая китайская SoC автомобильного класса Geely Dragon Eagle-1 установлена на более чем 200 000 автомобилей 25 декабря компания SiEngine объявила, что ее 7-нанометровый чип автомобильного класса Dragon Eagle-1 теперь установлен на более чем 200 000 транспортных средствах с момента первого выпуска чипа в декабре 2021 года. На данный момент Dragon Eagle-1 можно найти в автомоби...

King Price — новый «российский» бренд SSD, блоков питания, корпусов для ПК и других комплектующих. Он принадлежит «Мерлиону» В условиях ухода многих западных брендов электроники с российского рынка, отечественные дистрибуторы ищут новые возможности для развития бизнеса. Одним из перспективных направлений становится выпуск компьютерных компонентов под собственными торговыми марками (СТМ). Так,...

Chevrolet Corvette, Tahoe и Suburban нового поколения ожидаются в 2024 году. Компания представила новый слоган Chevrolet меняется вместе со временем и анонсирует новый слоган, Together Let's Drive, который сменил старый (Find New Roads). Chevrolet также заявила, что Bolt является самым доступным электромобилем в Америке. Компания также предлагает Colorado за 30 695 долларов...

Вслед за телевизорами: в Wildberries выпустили электроинструменты под собственным брендом RAZZ Команда крупнейшей российской торговой онлайн-площадки Wildberries объявила о начале продаж электроинструментов под собственной торговой маркой RAZZ. В сентябре на Wildberries покупателям стали доступны фирменные сварочные аппараты, торцовочные и циркулярные пилы, дрели...

КамАЗ упростил производство деталей кабины K5: российские лонжероны не уступают немецким от Daimler Truck AG КамАЗ в рамках стратегии импортозамещения решил оптимизировать и улучшить процесс производства лонжеронов кабины флагманского семейства K5. Ранее до 2023 года эти компоненты поставлялись готовыми от Daimler Truck AG и производились немцами методом горячей листовой штамп...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Huawei придётся продолжать использовать старую-новую SoC Kirin 9000s в смартфонах 2024 года. Эту платформу может получить базовая модель P70 Компания Huawei, возможно, создала для смартфонов линейки P70 новую SoC Kirin 9010, хотя её параметры пока неизвестны. Согласно свежим данным, младшая модель P70 получит старую платформу.  фото: Bloomberg  Тот же инсайдер Smart Pikachu говорит, что модель Hua...

В России выйдут 7 моделей нового бренда Chery Nev и 3-4 модели Chery в 2024 году Компания Chery, которая недавно сообщила о запуске нового бренда Chery Nev, подтвердила, что в следующем году на российском рынке появится семь новых моделей. По словам руководителя марки Chery Nev Дмитрия Максимова, пять из них будут гибридами, а две - электромобилями:...

Сможет ли AMD повторить свой прорыв, который совершила в настольном сегменте с переходом на чиплеты? Мобильные APU компании тоже станут такими Возможно, следующие мобильные процессоры AMD перейдут на чиплетную компоновку, как это реализовано в настольных Ryzen уже много лет.  В Сети появились новые подробности о линейках Strix, Sarlak и Kracken, и для всех указано наличие кристалла ввода-вывода, причём у...

Наше — началось серийное производство российских мониторов «Аквариус» Компания «Аквариус» заявил о начале серийного производства российских мониторов. Доступны две модели с экранами диагональю 27" и 23,8". Обе оснащены антибликовым покрытием, имеют разрешение Full HD и поддерживают технологии Low Blue Light, FreeSync...

Starfield будет получать апдейты каждые 6 недель с февраля 2024 года На данный момент Starfield — вероятно, единственная игра, которая получает поддержку от Bethesda, так что не удивительно, что студия хочет постоянно давать игрока всё больше и больше нового контента. В специальной теме на форуме Reddit представители компании обратились к фан...

Стали известны планы по моделям Jeep на ближайшие годы Автоконцерн Stellantis и профсоюз UAW достигли предварительного соглашения о новом контракте для рабочих. В ходе этих переговоров стали известны производственные планы для нескольких моделей, включая Jeep и Dodge, как сообщает Motor1. Большинство популярных внедорожнико...

Российские чиновники смогут пересесть на представительскую «Ладу». Lada Aura будет максимально отвечать критериям госзакупок Lada Aura закупят для российских чиновников Компания АвтоВАЗ заявила, что её представительский автомобиль Lada Aura будет максимально отвечать критериям госзакупок, поэтому именно этот автомобиль может отлично подойти для российских чиновников.  Безусловно, все мо...

В России начали производить запчасти для Renault, Nissan и Mitsubishi Компания «Резинотехника» запустила на предприятии в Балакове опытное производство запасных частей для автомобилей иностранных брендов, включая Renault, Nissan и Mitsubishi. Об этом сообщила пресс-служба министерства промышленности и энергетики Саратовской об...

Как за 2 недели мы освоили Unreal Engine и запустили собственную игру-портфолио Привет, Хабр! На связи Антон, креативный директор Пиробайта. В последние недели 2023 года в студии решили изучить игровой движок, чтобы сделать небольшое 3D-портфолио к новому году. Но, как и в большинстве игр, без боссов на пути не обошлось. В статье рассказал о первом опыт...

TSMC поможет SK hynix к 2026 году освоить выпуск HBM4 Компании подписали меморандум о взаимопонимании.

Chery может начать масштабное производство в Италии: речь о сотнях тысяч машин Итальянское правительство ведет переговоры с китайским автопроизводителем Chery Auto о возможности организации производства на территории страны. Целью является увеличение национального выпуска автомобилей до 1,3 млн единиц в год с текущих 800 тысяч. Министр промышленно...

«Аквариус» и Росатом хотят выпускать микроконтроллеры для шифрования данных По сообщению издания «Коммерсантъ», Росатом и «Аквариус» создают совместное предприятие по выпуску микроконтроллеров для шифрования данных в вычислительной технике. Об этом изданию рассказал источник, знакомый с проектом, но сами компании информа...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Робот Boston Dynamics освоил работу на автомобильном производстве Робот-гуманоид Atlas от Boston Dynamics освоил работу на автомобильном производстве и теперь способен переносить некоторые части автомобилей. В новом видеоролике, опубликованном Boston Dynamics, показано, как робот Atlas выполняет «кинетически сложную» работу, перемещая…

«Росатом» освоил технологию изготовления нового оборудования для комплекса по переработке ТРО Руководитель проекта "КП РАО» АО «СвердНИИхиммаш" Сергей Коржавин: "СвердНИИхиммаш» разработал аналог с модернизацией, учитывая опыт эксплуатации прессового оборудования предыдущих модификаций. Данные решения позволили нам выпустить новый продукт на рынок России и не зависет...

Тестирование смарт-контрактов в Foundry (часть 1) Foundry — это довольно свежий и очень мощный инструмент для разработки, деплоя и тестирования смарт-контрактов на языке Solidity, и в последнее время он набирает бешенную популярность. Предлагаю создать собственный проект с помощью Foundry и освоить его волшебные инстру...

Белорусское — это теперь российское. Белорусскую микроэлектронику определённого типа начали приравнивать к российской Как сообщает ресурс «Ведомости», белорусскую микроэлектронику начали приравнивать к российской.   Пока это коснулось только аналоговых базовых матричных кристаллов (БМК), но вполне может распространиться и на другие виды продукции.  Суть в том, чт...

Samsung представила карту microSD со скоростью передачи данных до 800 МБ/с. Это быстрее любого SSD с SATA Компания Samsung Electronics представила первую в отрасли карту памяти microSD с поддержкой интерфейса SD Express. Скорость передачи данных у такой карты достигает впечатляющих 800 МБ/с.  Это больше, чем может обеспечить любой SSD с интерфейсом SATA и вчетверо быс...

США не могут полагаться на Россию в плане поставок топлива для АЭС. Страна вкладывает миллиарды в собственное производство Президент США Джо Байден заявил, что Вашингтон не может полагаться на Москву в плане поставок топлива для американских атомных электростанций, а также озвучил инвестиции в производство современного ядерного топлива. Слишком долго мы полагались на импорт урана как топлив...

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Как освоить разную вышивку, чтобы украшать джинсы, футболки и вообще всё Рассказываем про всевозможные техники — от простых до броских и затейливых.

[Перевод] Реверс-инжиниринг электромеханического компьютера с самолёта-истребителя Определить скорость полёта и высоту самолёта-истребителя сложнее, чем можно представить. При низких скоростях высоту, скорость полёта и другие «воздушные данные» можно рассчитывать по показаниям давления. Но когда самолёт близок к скорости звука, для точного вычисления этих...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Intel может уйти с рынка дискретных видеокарт, в который только успела зайти? Надёжный источник говорит, что от поколения карт Battlemage не осталось и следа Похоже, у Intel снова проблемы с тем, чтобы выпустить новые дискретные видеокарты вовремя. Поколение адаптеров Battlemage может не выйти в этом году. Или вообще.  Инсайдер Golden Pig Upgrade Pack утверждает, что от Battlemage не осталось и следа, а линейка DG3 в с...

В России сократится производство Lada Granta, Vesta и Niva. Стратегия АвтоВАЗа на ближайшие годы будет определена на рубеже 2023 и 2024 годов. Президент АвтоВАЗа Максим Соколов заявил в кулуарах форума «Транспорт России», что итоговое производство автомобилей Lada на 2023 году может сократиться на 10%. «Мы выйдем примерно на те плановые показатели, о которых говорили еще год назад, ...

Теперь китайцы получат ускорители Nvidia, которые в лучшем случае почти в семь раз медленнее, чем H100. Для обхода санкций представлены HGX H20, L20 PCIe и L2 PCIe Компания Nvidia уже представила те самые ускорители для ИИ, предназначенные для Китая, о которых мы говорили сегодня ранее.  Как и сообщалось, анонсировано три модели: HGX H20, L20 PCIe и L2 PCIe. Они довольно сильно отличаются друг от друга, а все параметры можно...

Toyota Camry, RAV4 и Kia Sportage перестали быть российскими хитами. Ни одна из этих машин не входит в топ-50 самых популярных моделей Всего несколько лет назад корейские, японские и европейские машины составляли основу продаж на российском рынке, а сейчас ситуация изменилась в корне: как сообщает «Автостат», по итогам апреля 2024 года модельный топ-50 представлен исключительно отечественны...

В России восстановят производство препарата "Аспарагиназа" для лечения лейкоза Первый заместитель главы Минпромторга России, Василий Осьмаков, объявил о планах возобновления производства субстанции для препарата «Аспарагиназа». Этот препарат применяется при лечении лейкоза, и его восстановление запланировано на следующий год, пишет ТАСС.

Samsung пересчитает ГБ на смартфонах: в One UI 6 используется новый метод, чтобы не вводить пользователей в заблуждение Компания Samsung Electronics объявила об изменениях методов расчёта размеров файлов и ёмкости хранилища устройства в фирменной оболочке One UI. Отмечается, что эти изменения были внедрены, чтобы уменьшить путаницу среди пользователей.  Начиная с One UI 6.0, смартф...

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

Ещё один заменитель Lada под новым российским брендом XCITE? На АвтоВАЗе изучают седан Aeolus Yixuan (DongFeng) Стало известно, какую ещё модель может выпускать «Автозавод Санкт-Петербург», помимо кроссовера Chery Tiggo 7 Pro. Как сообщает инсайдерский телеграм-канал «Автопоток», в данный момент на АвтоВАЗ в Санкт-Петербурге специалисты изучают седан Aeolu...

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Как сделать аналог Wildberries на рынке кредитных и финансовых сервисов? В условиях, когда стоимость привлечения нового клиента на рынке зашкаливает, роль волшебной палочки могли бы сыграть финансовые платформы для знакомства потенциальных клиентов и банков. Однако революционных прорывов пока не наблюдается, и копирование западного опыта не очен...

Остатки Kia и Hyundai на бывшем российском заводе начнут собирать 9 января. Рабочих уже вызывают из простоя Завод «Хендэ Мотор Мануфактуринг Рус» в Санкт-Петербурге начинает вызывать работников на производство после периода простоя. Первый рабочий день на заводе намечен на 9 января 2024 года, как сообщает Telegram-канал «Автопоток» со ссылкой на собств...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Наконец-то для смартфонов Huawei появились карты NM объёмом 512 ГБ. Такую представила Lexar Компания Lexar представила первую в мире карту памяти NM объёмом 512 ГБ. Напомним, это карты памяти для некоторых смартфонов Huawei.  Huawei представила данный формат ещё в 2018 году. Особой популярности он не снискал, однако, даже несмотря на санкции, Huawei от н...

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

В России хотят ужесточить доступ к программе льготного лизинга автомобилей: многие китайские модели могут вылететь из программы Министерство промышленности и торговли (Минпромторг) России выступает за ужесточение условий участия автомобилей в государственной программе льготного лизинга путем введения минимальной планки по уровню локализации производства. Это решение, о котором сообщает газета &l...

Intel обновила дорожную карту, включив в неё 1-нанометровый процессор Судя по всему, работа над ним завершится к концу 2027 года

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

В России создают чёрный список YouTube-блогеров. В него будут попадать те, кто сознательно не работает в российских соцсетях В России в скором времени появится чёрный список блогеров, использующих YouTube.  создано DALL-E Как сообщает ТАСС, Общественная палата (ОП) РФ начинает работу над созданием некоего черного списка российских блогеров, ведущих каналы на платформе YouTube.   Ра...

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

«Мир» распространяется по миру Директор Латиноамериканского департамента МИД РФ Александр Щетинин на конференции «Россия - Латинская Америка» заявил, что Центральный банк России ведет работу со странами Латинской Америки по внедрению в них российских карт «Мир». Вы знаете, эта...

В России создали уникальные диэлектрики для космической аппаратуры Холдинг «Росэлектроника» госкорпорации Ростех освоил производство полимерных диэлектриков, которые применяются в электронных блоках для изоляции микросхем от воздействия внешних факторов. Об этом рассказали в пресс-службе госкорпорации.  Иллюстрация: &...

Всё ближе к отметке в 2,5 млн рублей. Хитовый кроссовер Omoda C5 подешевеет в России в апреле Omoda C5 является одним из самых популярных кроссоверов в России, если говорить о рынке новых машин, и в апреле он может стать еще популярнее за счет новой комплектации Fun. Как сообщают «Китайские автомобили», Omoda C5 Fun станет самой доступной версией. Н...

Российские люксовые автомобили Aurus обновят дважды: в 2026 году появится обновленная платформа Российские люксовые автомобили Aurus Senat и Aurus Komendant будут обновлены дважды в течение 7 лет. Первое обновление состоится уже в 2026 году, второе запланировано на 2030 год. Об этом рассказал глава Минпромторга Денис Манутров. Фото: Aurus «Следующий этап &m...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Сборку российских двигателей для Haval показали на фото и видео. Новые детали Фотографии и видеоролик, которые демонстрируют сборку российских двигателей для автомобилей Haval в Тульской области, опубликовал главный редактор журнала «За рулем» Максим Кадаков. Строительство завода двигателей началось в ноябре 2020 года. Китайцы обещали...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Чтобы Китаю вообще ничего не досталось. США собираются запретить поставки в Поднебесную ускорителей Nvidia, созданных специально для Китая В своё время США ограничили поставки новейших ускорителей Nvidia в Китай, из-за чего Nvidia создала специальные урезанные версии: A800 и H800. И вот теперь США взялись и за эти модели.  Министерство торговли США объявило, что планирует ограничить продажу Китаю и э...

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

АвтоВАЗ неудержим. Завод запустит в серию 12 новых моделей Сегодня во время запуска опытного производства Lada e-Largus глава АвтоВАЗа Максим Соколов рассказал о планах по выпуску новинок на ближайшие шесть лет: за это время компания запустит в серийное производство 12 новых моделей! И это должно обеспечить АвтоВАЗу лидерство н...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

В Россию привезли BMW 760i xDrive 2023, Mercedes-Benz GLE 350 AMG 2024, Weltmeister EX6, совершенно новую Tesla Model 3 и Huawei Aito M7 Завтра в Москве стартует форум «CarX: революция в автобизнесе», в рамках которого будет представлено около 30 новинок российского авторынка. Не все они абсолютные (например, покажут машины, которые только-только появились у дилеров), но будут и полноценные п...

В России восстанавливают завод ТагАЗ с вековой историей Реконструкцию второго корпуса предполагают завершить к сентябрю 2024 года. Всю территорию ТагАЗа (всего 80 га) планируется освоить к 2025 году. При выходе на полную мощность здесь начнут работать полторы тысячи человек.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Уже 6 лет HUAWEI продает вам смартфоны на старом процессоре. Не попадитесь на эту уловку! Вот уже несколько лет HUAWEI находится под санкциями США, что значительно ограничивает ее возможности. Китайская корпорация лишилась доступа ко многим американским технологиям и теперь вынуждена использовать наработки прошлого в своих новинках. Так, недорогие смартфоны HUAW...

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

В 2024 году смартфоны сильно изменятся. Ждем массовое развитие ИИ и нейросетей Искусственный интеллект в смартфоне в ближайшее время должен стать не просто массовым, но и очень качественным. Компания Google продемонстрировала наиболее серьезные на сегодня возможности искусственного интеллекта в телефонах на базе Tensor G3, предложив набор интересных ф...

Cтарые ядра Corte-A75, поддержка только Wi-Fi 5 и eMMC. Представлена формально новая платформа MediaTek Helio G91 Компания MediaTek представила новую однокристальную систему семейства Helio без поддержки 5G. Новинка называется Helio G91.  Это решение для недорогих смартфонов без поддержки сетей пятого поколения. К сожалению, ядра в основе новой платформы лежат вовсе не новые....

Прогноз прибытия общественного транспорта появится во всех российских городах Минтранс России и АО «ГЛОНАСС» начали тестирование Федеральной навигационно-информационной системы (ФНИС). Она создана на основе системы «ЭРА-ГЛОНАСС» и будет бесплатной для всех регионов России, сообщает пресс-служба АО «ГЛОНАСС». Бл...

Первая собранная «Волга» нового поколения появится в середине 2024 Вице-премьер и глава Минпромторга РФ Денис Мантуров, который занимает пост председателя совета директоров АвтоВАЗа, подтвердил планы на запуск производства автомобилей Волга в середине 2024 года в Нижнем Новгороде. Насколько мне известно, в ближайшее время нижегородский...

В России освоили технику воздушного минирования и успешно блокируют украинские позиции FPV-дронами К такому бойцов ВСУ не готовили инструкторы НАТО

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

Huawei сотрудничает с Global Peter Drucker Forum, чтобы помочь малому и среднему бизнесу   Huawei принял участие в 15-ом ежегодном форуме Global Peter Drucker Forum, на котором компания впервые провела семинар для европейских стартапов. Учебный курс появился в рамках обязательства Huawei обучить 10 000 стартапов по всему миру к 2025 году и 1000 стартапов в ...

Изучаем DevOps: подборка курсов, которые помогут освоить новую профессию или углубить знания

Яндекс представил новую Алису на основе YandexGPT ассистентом можно будет общаться, как с умным вдумчивым собеседником: Алиса умеет объяснять сложные понятия и явления, предлагать идеи и удерживать контекст беседы. Новая Алиса доступна всем пользователям на всех основных устройствах и платформах. Алиса научилась объяс...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

Это будут недорогие видеокарты Intel нового поколения с 12 ГБ памяти. Адаптеры Battlemage засветились в Сети В Сети засветились видеокарты Intel следующего поколения (Battlemage).   Они появились в базе SiSoftware Sandra. Линейка Xe2-HPG, судя по базе ПО, точно будет включать две модели с 20 и 24 ядрами Xe. Напомним, у Arc A770, которая сейчас является флагманом своей ли...

Samsung оставила сотрудников полупроводникового подразделения без годового бонуса. А бонусы Samsung порой огромны Компания Samsung отменила все бонусы для своих сотрудников полупроводникового подразделения из-за плохого окончания 2023 финансового года.  создано DALL-E У Samsung есть традиция выплачивать бонусы в конце года сотрудникам всей компании в знак благодарности за хор...

Российская компания «Гравитон» начала серийный выпуск твердотельных накопителей Российский производитель вычислительной техники «Гравитон» начал серийное производство нового поколения твердотельных накопителей. SSD типоразмера M.2 2280 с интерфейсом PCIe Gen3x4 NVMe подойдут для использования в ПК, моноблоках и ноутбуках «Гравитон...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

В российских ПК Life Tech для государственных структур не оказалось заявленных отечественных комплектующих В российских настольных компьютерах Life Tech, предназначенных для государственных структур, нет заявленных отечественных компонентов, о чем пишет CNews, показывая фотографии устройств. В 2023 году сумма госзаказов на эти ПК превысила 100 млн рублей. Устройства под...

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Мошенники используют технологии дипфейков на сайтах знакомств для создания ложных образов Злоумышленники на сайтах знакомств освоили технологии дипфейков для создания ложных образов в видеочатах, целью которых является обман и вымогательство денег у доверчивых пользователей.

[Перевод] Взлёт TSMC Семь-восемь лет назад TSMC производила процессоры, которые отставали от аналогичных процессоров Intel на несколько поколений. Пятнадцать лет назад выпускала дешёвые чипы на заказ, которые не ставили рекордов производительности. А тридцать лет назад едва появилась на свет. &n...

Анонс Blade & Soul NEO Classic — классической версии MMORPG на UE 4 Корейская компания NCSoft продолжила череду анонсов, о которой мы сообщали ранее, и представила классическую версию MMORPG Blade & Soul под названием NEO Classic. Судя по всему, нас ждёт не полноценная игра, а несколько серверов, собранных из старых билдов на движке Unre...

В России запущен бренд полуприцепов Wagnermaier, открыто СП с европейским производителем. Локализация достигнет 75% Дефицит полуприцепов на российском рынке решается довольно быстро запуском новых производств. На территории ОЭЗ «Алабуга» в Татарстане открылось производство магистральных полуприцепов бренда Wagnermaier, на котором ежегодно будут производить 3 000 един...

Bloomberg: На восстановлении Украины может быть освоен 1 триллион долларов от зарубежных инвесторов Для украинских чиновников-коррупционеров это настоящий финансовый пир

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Apple сама предложила открыть NFC в iPhone для бесконтактных платежей. Ждем Mir Pay на iOS? Модуль NFC в iPhone всегда был священной коровой, контролировать который могла только Apple. В Купертино объясняли, что открыть к нему доступ для сторонних разработчиков равно подрыву безопасности iOS в целом, поскольку потенциально позволило бы им управлять бесконтактными ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Microsoft анонсирует новый собственный AI-чип Athena уже в следующем месяце Первое поколение проекта Athena может быть произведено с использованием 5-нанометрового процесса TSMC.

Google работает над переходом к полностью собственному производству чипов Tensor Google решила полностью перейти на собственное производство чипов Tensor, о чём говорят последние контракты компании с тайваньскими TSMC и King Yuan Electronics Corp. (KYEC).

Освоить Excel и SQL: топ курсов, где научат инструментам для работы с данными 

В ГК «КОРТРОС» поделились деталями внедренной в семейном квартале iLove системы доступа в здание Девелопер «КОРТРОС» сообщил о внедрении системы доступа в здание по BLE и Face ID в московском ЖК iLove. Действующая в России перспективная программа стандартизации сферы умных домов, зданий и сооружений на 2023-2030 гг., по словам замглавы Минпромторга РФ Василия Шпака, нац...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Volkswagen Tiguan вышел на уровень Geely Monjaro. Живые фото Volkswagen Tiguan L Pro с тремя экранами на передней панели Схема с тремя экранами на передней панели уже давно освоена автопроизводителями из Поднебесной, а сейчас по их стопам пошла Volkswagen. В Китае вживую засняли новейший Volkswagen Tiguan L Pro (он ещё не представлен официально), и у него «цифровое трюмо» спер...

В России появится наказание за дипфейки Минцифры совместно с МВД и Роскомнадзором разработают нормы правового регулирования дипфейков. Результаты работы ведомства представят до 1 ноября 2024 года.

Улучшенный eVTOL H1X от компании Doroni можно освоить за 20 часов Прошло чуть больше полугода после дебюта первого eVTOL американской компании Doroni Aerospace, как уже готова новая модель Н1Х. Она оснащена четырьмя подъемными и двумя винтами «толкателями», которые развивают крейсерскую скорость 200 км/ч в течение 40 минут полета. Аппарат ...

Новые iPhone получат «телевик» с 10-кратным оптическим зумом. Возможно, первым будет iPhone 17 Pro Max Смартфон iPhone 15 Pro Max первым в линейке Apple получил «телевик» на основе тетрапризмы с пятикратным оптическим зумом. В будущем Apple может перейти на тетрапризму с 10-кратным зумом.  создано DALL-E Как сообщается, Apple заменит LG Innotek, которая...

"Камаз" представит свой туристический автобус На инвестиционном форуме "Россия зовет!" генеральный директор "Камаза" Сергей Когогин объявил о планах компании создать собственный туристический автобус в следующем году, пишет ТАСС.

«Мы ждём отечественный, нижегородский, бренд», — «Волга» может вернуться в недалеком будущем Губернатор Нижегородской области Глеб Никитин сообщил, что власти региона планируют перезапустить производство автомобилей под собственным брендом. «Автопром, безусловно, окреп, я это абсолютно ответственно говорю. Он был более зависим от конъюнктуры, от различных...

Конкурс Golden Panda Awards поспособствовал росту кооперации, доверия и культурного обмена В современном взаимосвязанном мире, в котором судьбы стран тесно переплетены, сосуществование и взаимный обмен между цивилизациями являются важными движущими силами развития человеческого общества и поддержания мира во всем мире. В ходе форума художники разного этнического п...

Это самая дешёвая из современных видеокарт. Arc A310 вышла в розницу с ценой 110 долларов Похоже, в ближайшее время нас ждёт сразу две новых видеокарты Intel. Правда, если Arc A580 будет действительно новой моделью, то вот Arc A310, о которой речь пойдёт ниже, новой не является.  Она уже присутствует на рынке, но изначально была выпущена только для про...

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Предприятие Ростеха освоило выпуск комплектующих для аддитивного производства Обнинское научно-производственное предприятие «Технология» имени А. Г. Ромашина выпускает комплектующие из технической керамики для оборудования, применяемого в производстве металлических порошков для промышленных 3D-принтеров. Мощности предприятия позволят закрыть дефицит, ...

Apple готовит складной iPad в 2026 году Есть информация от надёжного источника о том, что компания Apple впервые перейдёт от технологии miniLED к OLED для линейки планшетов iPad Pro в 2024 году, после чего эти панели в конечном итоге появятся и в премиальной линейке ноутбуков MacBook. Кроме того, поставщики секрет...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Хак-группа Chimera более 2,5 лет сохраняла доступ к сети полупроводниковой компании NXP Связанная с Китаем кибершпионская хак-группа Chimera (она же G0114) более двух лет похищала данные из корпоративной сеть компании NXP, производящей полупроводниковые компоненты, которые используются в смартфонах, смарт-картах и электромобилях.

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Главный конкурент КамАЗа К5 вышел на российский рынок. Стартовали продажи тягача «Валдай 45»: у него кабина как Mercedes-Benz Actros, двигатель Cummins и трансмиссия ZF TraXon Как сообщает Quto.ru, в России стартовали продажи тягача «Валдай 45». По своим характеристикам он близок к магистральному тягачу КамАЗ К5, но цена даже ниже — 9,6 млн рублей против примерно 10,5 млн рублей за КамАЗ-54901. Фото: ГК СТТ Производите...

Мошенники освоили новый развод с фейковым налогом Обещают сэкономить десятки тысяч рублей

Infinix Note 40 Pro+ 5G получит поддержку беспроводной зарядки В сети появились подробности о смартфоне Infinix Note 40 Pro+ 5G, который еще не был представлен официально. Утверждается, что аппарат оснастят поддержкой быстрой проводной зарядки мощностью 100 Вт и беспроводной зарядки неизвестной мощности, изогнутым экраном и модулем NFC....

Обуховский завод освоил производство аддитивных систем 3D-печати литейной оснастки Два 3D-принтера «Бархан-1200» по технологии струйно-порошковой печати песчаными смесями (Binder Jetting) уже изготовлены и готовятся к отправке заказчикам.

Что нового появится в MacBook Air M4 и когда он выйдет В марте Apple выпустила следующее поколение одних из самых популярных ноутбуков компании MacBook Air. В новой версии лэптопы сохранили прежний дизайн, диагональ экрана и получили процессор Apple M3, выполненный по 3-нм техпроцессу и гарантирующий компьютерам высокую произво...

Правительство России обсуждает ужесточение оборота SIM-карт — активация только после проверки данных в МВД Правительство рассматривает возможность ужесточения контроля за оборотом SIM-карт. В частности, власти высказывают идею об активации SIM-карт операторами лишь после тщательной проверки паспортных данных абонентов в МВД. Как пишет «Коммерсантъ», на текущий мо...

До конца 2027 года Intel освоит выпуск чипов по технологии Intel 10A И внедрит на предприятиях роботов.

Книги по C# для новичков в разработке: что стоит почитать в 2024 году Разработчикам любого уровня нужно постоянно учиться — смотреть, слушать и читать всё, что может пригодиться для развития и становления в качестве специалиста. Особенно это касается новичков в разработке, ведь им нужно освоить огромное количество информации. И книги — один из...

Отечественные сельхозтоваропроизводители получат новые каналы сбыта В ходе прошедшей на полях «Золотой осени» межрегиональной конференции развития фермерского движения России состоялось обсуждение различных аспектов развития агроконтрактации. Активным участником проекта «Агроагрегатор» является ТС «Магнит». Директор департамента по контрактн...

Технический специалист Apple заявил, что нагревание iPhone 15 Pro является «ожидаемым поведением» Однако владельцы iPhone 16 Pro могут рассчитывать на исправление проблемы, поскольку, по слухам, Apple изучает возможность использования графена для устранения температурных проблем.

Aptoide дал возможность российским пользователям совершать платежи картами «Мир»  Aptoide, ведущий альтернативный глобальный магазин приложений, предоставил пользователям в России возможность совершать простые и безопасные покупки внутри игр и приложений без использования международных кредитных карт или обходных путей с помощью VPN. Являясь собственной п...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Каждый седьмой iPhone собирают в Индии За прошлый финансовый год компания Apple собрала смартфонов iPhone на сумму свыше 14 миллиардов долларов — и это только в Индии. Это вдвое больше, чем за предыдущий период, что свидетельствует о стремлении компании сократить свою зависимость от Китая в ближайшем будущем. По ...

Tecno Camon 30S Pro засветился в России В базе данных отечественного регулятора EЭК появилось упоминание смартфона Tecno Camon 30S Pro, который еще не был представлен официально. Технические характеристики и дата релиза не раскрываются. Любопытно, что модель Camon 30 Pro была представлена лишь пару месяцев назад и...

Xiaomi 14 появился в российской продаже Отечественные ритейлеры дали старт российским продажам флагманского смартфона Xiaomi 14, который недавно был представлен в глобальном масштабе. В России новинка оценена в 100 и 110 тысяч рублей за версии с 12/256 ГБ и 12/512 ГБ оперативной и флеш-памяти соответственно. Польз...

Чипы для «умных» устройств начали производить в России В России появилось собственное производство чипов для «умной» электроники

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

В комментариях к товарам на Wildberries стало опасно. Зашёл почитать — лишился денег Мошенники освоили новый способ поиска жертв.

МТС планирует выпускать до 20 тысяч базовых станций в год Компания «Иртея» (дочерняя структура оператора МТС) планирует с 2025 года выпускать по 10-20 тысяч базовых станций ежегодно. Об этом рассказал президент МТС Вячеслав Николаев на форуме «Телеком 2024». По его словам, в 2024 году МТС планирует собр...

Индия хочет по примеру EC обязать всех перейти на USB-C, но Apple это очень не нравится. Компания просит сделать исключение для старых iPhone Apple уже перевела свои смартфоны на порт USB-C из-за свежих законов Евросоюза, но теперь то же самое хочет внедрить и Индия, и для Apple это проблема.  фото: Apple Как сообщает Reuters, Индия тоже хочет обязать производителей перейти на единый стандарт USB-C и се...

Sony нет смысла выпускать PlayStation 5 Pro У компании Sony нет необходимости выпускать игровую консоль PlayStation 5 Pro, поскольку некоторые разработчики считают, что им пока что не удалось выжать максимум производительности из обычной версии. Журналист информационного издания GamesIndustry рассказал, что хотя польз...

В России могут наладить сборку автомобилей Livan – это суббренд Geely В России могут наладить производство автомобилей Livan – это один из суббрендов Geely. О том, что компания рассматривает такую возможность, сообщила «Газета.ru» со ссылкой на главу российского представительства Livan Куна Шуайя. Пока особой конкре...

Nvidia создаст для Китая видеокарту GeForce RTX 4090 D (Dragon), которая не будет попадать под санкции США Найдены виновники подорожания GeForce RTX 4090 за пределами Китая. Различные продавцы собирают запасы карт для продажи в Китай Когда стало известно о запрете поставок GeForce RTX 4090 в Китай появилось логичное предположение, что Nvidia, по примеру ускорителей для ИИ, ...

Очень краткий словарь числового программного управления. Часть 1 Каждый раз, когда появляются новые, нетипичные для нашей обыденной деятельности задачи, мы начинаем общаться со специалистами по их решению. И каждый раз это общение начинается с непонимания или недопонимания. Нам кажется, что мы недооценили сложность проблемы, что нам не хв...

Как делать потрясающие кадры: подборка курсов для тех, кто хочет освоить фотографию

TSMC поможет SK hynix освоить выпуск памяти типа HBM4 И усилить позиции в противостоянии с Samsung Electronics.

AMD собирается «забросать» рынок своими ускорителями Instinct MI300X и занять 7% рынка Nvidia является неоспоримым лидером на рынке ускорителей для ИИ. Возможно, её позиции усилятся после выхода моделей на основе чудовищного GPU Blackwell с более чем 200 млрд транзисторов. Однако AMD тоже не спит и готовится фактически лишь одним своим продуктом занять до...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Пока обычные пользователи получат новые процессоры Intel без Hyper-Threading, новые Xeon нарастят количество ядер вдвое. Появились подробности о Granite Rapids-AP Компания Intel недавно формально анонсировала серверные процессоры Xeon 6, которые являются шестым поколением Xeon Scalable. Сегодня же о них появились новые данные.  Речь о линейки Granite Rapids-AP, то есть это процессоры, включающие только большие ядра. Это буд...

Компания ASML Holding NV представила свой новый аппарат для создания чипов Новинка стоит порядка 380 миллионов долларов и позволяет производить 8-нанометровые чипы

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Опубликован полный список китайских автобрендов, официально представленных в России. В прошлом году их количество выросло до 29 В прошлом году на российском автомобильном рынке появилось почти два десятка (19) новых китайских брендов, при этом суммарно в нашей стране продаются автомобили уже 29 компаний из Поднебесной. Об этом сообщает РИА «Новости» со ссылкой на ассоциацию «Ро...

Уникальный смартфон Nokia, выпущенный в Европе в количестве всего 30 штук. HMD Global представила Nokia XR21 Limited Edition Компания HMD Global представила свой первый смартфон Nokia, произведённый в Европе.   Производство налажено в Венгрии, и первым смартфоном, который там производится, стал защищённый Nokia XR21. Правда, пока что HMD заказала только партию из 50 таких аппаратов, а в...

TSMC придётся к 2025 году найти новое место для строительства своего передового предприятия на Тайване Иначе к 2027 году она не успеет освоить выпуск 1,4-нм продукции.

Медитация глазами обычного человека: что, зачем и как В современном мире мы уделяем время многим вещам (карьера, здоровье, семья, отношениям). Но зачастую забываем про самое важное — себя любимого.Может ли быть такое, что все вокруг несчастные, а тебе вроде норм? И наоборот, все вокруг та...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Скоро для оплаты понадобятся сертификаты Минцифры. Где их взять и как установить на Android Онлайн-оплата, появившаяся еще раньше, чем бесконтактная, уже давно не вызывает ни удивления, ни страха. Главное — следи за тем, где именно платишь, не вводи данные банковских на неизвестных ресурсах, и все будет в порядке. Однако в самом начале 2024 года неожиданно в...

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Действительно RTX 4080 Super всего за 1000 долларов. Видеокарты RTX 40 Super уже прописались в магазинах до запуска продаж Видеокарты GeForce RTX 40 Super появятся в продаже в течение этого месяца, а модель RTX 4070 Super можно будет купить уже с 17 января. И мы уже можем посмотреть на цены, которые установили магазины на новинки.  Больше всего вариантов для RTX 4070 Super, так как он...

В российской столице пройдет саммит модной индустрии С 28 ноября по 2 декабря в Москве будет проходить Международный форум BRICS+ Fashion Summit. В ходе форума участники уделят внимание обсуждению перспективных направлений международного сотрудничества, сохранению культуры в современной моде, применению современных технологий,...

Как определить день недели по дате, не заглядывая в календарь Придётся освоить пару формул.

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

TSMC запустит 6-нм полупроводниковый завод в Японии По имеющимся данным, Taiwan Semiconductor Manufacturing Company (TSMC) планирует к 2027 году начать производство 6-нанометровых полупроводников на своем втором заводе в Кумамото (Япония). Для поддержки этого предприятия японское правительство рассматривает возможность предос...

Производство «автомобиля для чиновников» Lada Aura начнётся в сентябре 2024 года Вице-президент по внешним связям и взаимодействию с акционерами компании «АвтоВАЗ» Сергей Громак в ходе круглого стола в Совете Федерации рассказал, когда начнётся производство автомобиля Lada Aura. Напомним, Lada Aura — это модель представительского к...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

«Кориандр» и «Борнео». АвтоВАЗ начал красить Lada Granta в два новых цвета Новые «Лады» красят в основном в белый по одной простой причине – современное оборудование, установленное на заводе, рассчитано на работу исключительно с западными эмалями, а их, после ухода из России компаний BASF и PPG, нет. Сейчас постепенно процесс...

Российские операторы тестируют отечественные SIM-карты для интернета вещей Издание «Коммерсантъ» со ссылкой на Минпромторг сообщило, что в декабре российские операторы «МегаФон», МТС и «Вымпелком», а также «ряд специализированных компаний», получили для тестирования образцы российских SIM-карт дл...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Китай все активнее развивает индустрию производства чипов. И не всегда явно Относительно недавно мы публиковали статью «Huawei продолжает развиваться, только тайно». В ней говорилось о том, что Huawei сейчас строит новые предприятия по производству полупроводниковых элементов и систем на территории Китая. Причем делает это через посредников, скрыв...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Таких видеокарт на рынке не сыскать. Galax представила однослотовую GeForce RTX 4060 Ti, и речь не о карте с водоблоком Пока Asus выпустила двухслотовую GeForce RTX 4070 Turbo с «турбиной», компания Galaxy анонсировала первую на рынке однослотовую видеокарту линейки RTX 40 с воздушным охлаждением.   Модель называется RTX 4060 Ti 16GB Max и тоже оснащена «турбиной&...

В Rutube запустили плеер для вертикальных видео Команда отечественного видеохостинга Rutube, входящая в состав «Газпром-Медиа Холдинга», объявила о запуске новой полезной функции. Как сообщила пресс-служба, в Rutube появился новый вертикальный плеер для коротких видео — собственная разработка команд...

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

От ноубуков до видеокарт и геймпадов: в России запустили новый отечественный бренд OSiO с собственным производством Группа компаний ICL из Казани объявила о запуске одного из крупнейших в России заводов по производству радиоэлектронной продукции и нового бренда OSiO, под котором электроника будет продаваться в розницу.  Первыми в продаже появятся OSiO FocusLine в магазинах круп...

На развитие квантовых технологий в России направят 100 миллиардов рублей «Дорожной картой» по развитию квантовых вычислений предусматривается создание собственного 100-кубитного процессора к 2025 году. Зампред правительства РФ Дмитрий Чернышенко провел совещание по развитию высокотехнологичной отрасли «Квантовые вычисления». С основным докладо...

В Японии будет освоен выпуск чипов по технологиям тоньше 2 нм При поддержке национальных инвесторов и государства.

Мошенники освоили многоходовочку: они не просят номер карты, но элегантно оставят вас без гроша Будьте трижды внимательны!

Минпромторг может запретить госкомпаниям закупать оборудование у иностранных производителей Консорциум «Вычислительная техника» («Аквариус», Yadro, Depo и др.) обсуждает с Минпромторгом РФ возможность изменения правил постановления правительства №878 «О мерах стимулирования производства радиоэлектронной продукции на территории РФ». Цель консорциума заключается в то...

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Lenovo ThinkBook TGX позволит создавать самые мощные внешние видеокарты. Этот корпус поддерживает Oculink Компания Lenovo представила корпус для внешней видеокарты, оснащённый портом Oculink.   Модель ThinkBook TGX отличается этим от большинства других подобных устройств, так как обычно корпуса для создания внешних видеокарт опираются на Thunderbolt. Но пока Thunderbo...

В РЖД хотят создать веб-приложение для ОС «Аврора» ОАО «РЖД» планирует создать pwa-приложение для пассажиров, которое подойдёт для операционной системы «Аврора». Об этом рассказал замглавы РЖД Евгений Чаркин на форуме «Цифровая транспортация». PWA (Progressive Web Application) —...

Открыт набор на октябрьские занятия в Академии Кодебай Erid: Kra23ayXD Академия Кодебай начинает набор на октябрьские курсы. Середина осени — отличное время, чтобы освоить новые навыки, получить свежие знания от экспертов и открыть для себя новые карьерные возможности. Реклама. Рекламодатель: ООО «АКАДЕМИЯ КОДЕБАЙ». ИНН 970602...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

На форуме «Re:старт» обсудили кейсы развития исторических центров в десяти городах и исторических поселениях России Специалисты в сфере архитектуры, градостроения, урбанистики и комфортной среды из различных регионов России и Белоруссии собрались в Краснодаре, чтобы поделиться спецификой развития исторических центров российских городов и исторических поселений и практическими кейсами. В х...

GeForce RTX 4090 может справиться со сложными паролями за минуты. Hive Systems показали, на что способны современные ускорители и суперкомпьютер в этих задачах Компания по кибербезопасности Hive Systems показала, на что способны современные видеокарты в задаче подбора паролей. Оказалось, что очень на многое.  создано DALL-E Авторы взяли несколько видеокарт разных поколений: GeForce RTX 2080, RTX 3090, RTX 4090, а также с...

В Москве запустили серийное производство материнских плат. Они изначально созданы под отечественные процессоры По сообщению руководителя московского Департамента инвестиционной и промышленной политики Владислава Овчинского, компания — резидент особой экономической зоны (ОЭЗ) «Технополис Москва» разработала и запустила в производство материнскую плату с поддержк...

ToolChain: Настройка сборки прошивок для микроконтроллеров Artety из Makefile Настало время освоить очередное семейство микроконтроллеров: от компании Artery Technology. Компания Artery Technology уже возвела полноценную экосистему для своих процессоров. Есть фирменные отладочные платы, программаторы, документация, исходные коды MCAL и даже кодо генер...

PIC32MZ и Быстрое Преобразование Фурье PIC32MZ и Быстрое Преобразование ФурьеМикроконтроллер от компании Microchip далеко не новый. Но пока нам дружественный Китай увы и ах, и такого (415 MIPS на частоте 252 MHz ядра) предложить не может. Ведущие производители микроконтроллеров в Китае - Artery, Nation Semiconduc...

Искусственный интеллект будет в смартфонах на уровне железа. Спасибо Google и Qualcomm Искусственный интеллект пока не изменил нашу жизнь настолько, чтобы она больше не была такой, как прежде. Но некоторые изменения уже есть, и если правильно пользоваться ими, то все становится намного проще. Было лишь вопросом времени, когда искусственный интеллект появится ...

Самый дешёвый складной смартфон на глобальном рынке. Представлен Energizer Ultimate U660S всего за 500 евро Компания Avenir привезла на MWC 2024 дешёвый складной смартфон под брендом Energizer. Называется новинка Ultimate U660S и стоит всего 500 евро.  Фактически это самый дешёвый складной смартфон на глобальном рынке. Есть ещё Libero Flip, который без акций стоит 420 д...

«Москвич» раскрыл названия и класс двух новых моделей В следующем 2024 году завод «Москвич» планирует представить на российском рынке, как минимум, одну новую модель — кроссовер D-класса под названием «Москвич 8». «Мы хотим, чтобы в нашей линейке были представлены автомобили разных сегме...

Poco X6 Pro засветился в базе данных FCC В базе данных американского регулятора FCC появились сведения о смартфоне Poco X6 Pro, который еще не был представлен официально. Ведомство подтвердило наличие версий на 8/256 ГБ и 12/512 ГБ оперативной и флеш-памяти соответственно. По предварительным данным, смартфон о...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

КамАЗ создаст туристический автобус Глава КамАЗа Сергей Когогин сообщил, что компания собирается создать собственный туристический автобус, который на фоне роста внутреннего труизма точно будет востребован. Изображение: КамАЗ «У нас есть намерения в следующем году спроектировать свой собственный ту...

Snapdragon 8 Gen 3, аккумулятор больше 5000 мА•ч и никакого изогнутого экрана. Появились новые данные о Redmi K70 Pro В Сети появились новые данные о смартфоне Redmi K70 Pro, который будет флагманом своей линейки.  Согласно данным инсайдера Digital Chat Station, новинка получит SoC Snapdragon 8 Gen 3, так что будет производительнее всех вышедших на данный момент смартфонов. Также...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Намерения TSMC и Samsung освоить выпуск 2-нм чипов в США спутали планы японской Rapidus Теперь она лишается серьёзного рыночного преимущества.

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Яндекс научит школьников и педагогов пользоваться нейросетями Это позволит учителям повысить квалификацию и компетенции в работе с новыми технологиями, а ученикам — освоить новые IT-навыки.

В «М.Видео» стартовали продажи ноутбуков нового российского бренда OSiO с собственным производством Команда «М.Видео-Эльдорадо» договорилась о сотрудничестве с компанией ICL и начинает продажи ноутбуков российского бренда OSiO. Устройства собираются в Татарстане.  На старте продаж в розничных магазинах и онлайн-площадках «М.Видео» и &laqu...

Официально: Lada Granta может получить новую версию АвтоВАЗ рассматривает возможность расширения линейки автомобилей Lada Granta за счет добавления бюджетных вариантов без подушек безопасности. Об этом сообщили представители компании в пятницу, подчеркнув, что эти автомобили полностью соответствуют действующим в России н...

Компания АО «Р7» опубликовала предварительные итоги 2023 года Российская компания АО «Р7», которая занимается разработкой офисного ПО с расширенным функционалом для совместной работы и коммуникации, сообщила о предварительных результатах деятельности в 2023 году. Выручка компании возросла более чем на 100 за период январь-ноябрь, в сра...

Ликбез по вхождению в Data Science: что для этого нужно и стоит ли пытаться? Всем привет! Меня зовут Надя, и сейчас я выступаю в роли ментора на программе Mentor in Tech и помогаю людям «войти» в Data Science. А несколькими годами ранее сама столкнулась с задачей перехода в DS из другой сферы, так что обо всех трудностях знаю не понаслышке. Поро...

Какие процессоры чаще всего устанавливают в Android-смартфоны, и почему вы должны знать свой Процессор (чипсет) — это сердце смартфона. От него зависит не только производительность устройства, но и масса других показателей, включая автономность и качество фото. Но задумывались ли вы, какой процессор стоит в телефоне? Если нет, то абсолютно зря. Ведь информация о чи...

Как изменить регион в Google Play и скачивать недоступные в России приложения без VPN Начиная с 2022 года скачивать приложения из Google Play в России стало намного сложнее. Во-первых, некоторые разработчики скрыли свои игры и программы от наших глаз. Во-вторых, компания Google запретила оплачивать покупки. Но все ограничения можно обойти, если просто измени...

Объявлены кандидаты на звание «Автомобиль года в Европе 2024». Самые большие шансы на победу — у Китая Кандидатами на звание «Автомобиль года в Европе 2024» стали семь моделей китайского производства. Всего в списке 28 кандидатов. И 25% из них являются китайскими: BYD Atto 3 (Yuan Plus), BYD Han , BYD Dolphin, BYD Seal, Nio ET7, Smart #1 и Volvo EX30. Да, Sma...

Lada Aura не будет производиться в Санкт-Петербурге Сборка Lada Aura точно не будет осуществляться на заводе в Санкт-Петербурге, о чём пишет инсайдерский телеграм-канал «Автопоток». Вчера на некоторых ресурсах появилась информация о том, что Lada Aura (длиннобазная Веста) будет собираться в Петербурге. Это не...

Помочь клиентам и себе: 6 курсов для психологов, которые хотят прокачать карьеру Эти программы позволят освоить новые методы терапии и развить гибкие навыки, необходимые для общения с клиентами.

OPPO Find X7 Ultra не выйдет в Европе Компания Oppo объявила на выставке MWC 2024, что её новые смартфоны вернутся на европейский рынок после конфликта с Nokia. Китайский производитель заключил соответствующее соглашение с испанской компанией Telefonica. Что касается конкретных смартфонов, то представители компа...

Qualcomm крепко взялась за RISC-V. Вместе с другими четырьмя участниками Qualcomm создала компанию Quintauris Компания Qualcomm явно сильно заинтересовалась перспективами архитектуры RISC-V. Сначала стало известно, что новая платформа Snapdragon Wear будет опираться именно на эту архитектуру, а теперь сообщается, что Qualcomm вместе с другими компаниями создаёт компанию Quintau...

3 хакатона = 6 лет стажа: как я сделал красивое резюме и получил первую работу в IT Привет, хабравчане! Меня зовут Максим. Я из Новосибирска, мне 36 лет. Два года назад я начал воплощать в жизнь юношескую мечту: занялся программированием. Надо всех обойти, а я из продаж, IT не изучал. Базово освоив Python, я стал мониторить рынок труда и через два отклика н...

[Перевод] Самая сложная часть создания программного обеспечения — это не написание кода, а сбор требований Несмотря на все статьи о том, насколько удивительными были все разработки в области искусственного интеллекта, многие страдают от возможности того, что мы, как разработчики программного обеспечения, вскоре можем остаться без работы, и их заменит искусственный интеллект. Они ...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Redmi 13 получит 33-Вт зарядку В сети появились новые подробности о смартфоне Redmi 13, который еще не был представлен официально. Устройство с кодовым наименованием Breeze оснастят 4-нанометровой однокристальной системой Qualcomm Snapdragon 4 Gen 2 с тактовой частотой до 2,2 ГГц, батареей ёмкостью 5000 м...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Huawei инвестирует $1,66 млрд в завод по производству чипов, чтобы не зависеть от США Компания Huawei вкладывается в собственное производство чипов, чтобы не зависеть от США и ЕС, переманивая лучших специалистов со всего мира.

Автоматизация бизнес-процессов в Heflo: от А до Я Привет, Хабр! Меня зовут Анастасия Андреева, работаю процессным аналитиком направления Business Process Management в компании GlowByte. Не так давно мой коллега рассказывал о Heflo – универсальной системе бизнес-моделирования. В рамках деятельности Heflo Club мы в GlowByte с...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Пассивное охлаждение, четыре ядра Intel, объём менее 200 мл и красненькая бирочка. Представлен мини-ПК MeLe N100 PCG02 Pro Компания MeLe представила мини-ПК N100 PCG02 Pro, который имеет габариты всего 146 х 61 х 20 мм и весит лишь 184 г.   Новинка охлаждается пассивно, но из-за этого рассчитывать на высокую производительность не стоит. Сердцем ПК служит CPU Intel N100, который, впроч...

Российский смартфон «Р-ФОН» оказался копией Nuu A25 Российский смартфон «Р-ФОН» внешне является полной копией устройства под названием Nuu A25, которое предлагается в США. Главный редактор портала Mobiltelefon Евгений Макаров в личном Telegram-канале опубликовал следующее сравнение, которое он прокомментиров...

В России заканчиваются внедорожники Haval H9. Но скоро появится современная замена, уже раскрыты ее характеристики и цена Как сообщают «Китайские автомобили», у официальных дилеров в России заканчиваются внедорожники Haval H9. Дизельные версии уже убрали с официального сайта Haval, на складе таких машин больше нет. В самой компании не скрывают, что модель постепенно уходит с ры...

ChatGPT заполучит собственные ускорители вычислений? OpenAI рассматривает возможность разработки своих чипов для работы с ИИ Компания OpenAI, известная своим генеративным ИИ ChatGPT, может создать свои собственные ускорители для обучения искусственного интеллекта.  создано DALL-E в Bing Подобные обсуждения в компании начались ещё в прошлом году на фоне нехватки высокопроизводительных чи...

BAIC, CATL и Xiaomi Auto объединили усилия: компании решили заняться выпуском умных аккумуляторных элементов BAIC BluePark New Energy Technology объявила, что для обеспечения стабильных поставок аккумуляторов и поддержки промышленного развития компания планирует создать платформенную компанию в партнерстве с BAIC Investment и Beijing Hainachuan. Уставный капитал платформенной ...

Skoda может уйти из Китая, и это грозит дефицитом автомобилей Skoda в России Ранее автомобили Skoda поставляли из Европы и производили в самой России. Но прошлый год все изменил, и сейчас авто Skoda в основном ввозят из Китая по параллельному импорту. Однако этот ручей скоро может пересохнуть: по данным Automotive News Europe, чешский бренд може...

«Кстати, отличный автомобиль, не хуже, чем Ford или даже BMW "пятерка"». Глава Минфина сообщил, что ведомство получило первую партию Lada Aura Министр финансов Антон Силуанов сообщил, что подконтрольное ему ведомство получило партию машин Lada Aura. Количество автомобилей в этой партии он не уточнил, но в январе Минфин сообщил, что рассчитывает получить пять Aura уже в первом квартале текущего года.  Не и...

Тему технологической независимости обсудят участники форума «Сделано в России» 20 октября на Международном экспортном форуме «Сделано в России» обсудят важнейшую составляющую суверенитета современного государства — технологическую независимость: как ее добиться и что делать, чтобы отечественные технологии заняли свои ниши внутри России и за ее пределам...

УАЗ «Патриот» получит обновленный двигатель уже в декабре. Что заменили? Автомобили УАЗ получат обновленный двигатель с другими звездочками привода газораспределительного механизма (ГРМ). «Коломенский завод порошковой металлургии» в городе Коломна Московской области запустил серийное производство комплектующих для новых двигателе...

К концу 2024 в Call of Duty: Warzone вернётся оригинальная карта Верданск Как сообщает издание Insider Gaming, к концу 2024 года в Call of Duty: Warzone вернётся оригинальная карта «Верданск». Точная дата пока что не уточняется, но уже известно, то разработчики будут следовать традиционному графику выхода карт, так что Верданск появится в рамках B...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

МегаФон начинает продажи шести новых моделей телефонов под собственной торговой маркой МегаФон расширяет линейку кнопочных телефонов под собственным брендом Fontel до семи моделей. В 2024 году компания рассчитывает увеличить свою долю продаж в сегменте кнопочных аппаратов до 35% в розничных сетях российских телеком-операторов.

299 л.с. с полным приводом и расходом всего 5,9 л/100 км. Характеристики и стоимость совершенно нового Haval Dargo для России На закрытой конференции для российских дилеров Haval анонсировали совершенно новый Haval Dargo. Производство этого автомобиля в России стартует в августе следующего года, в продажу новинка поступит в октябре.    Так выглядит Haval Dargo второго поколения. Он ...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

В России создан уникальный автокран грузоподъемностью 60 тонн для дорог общего пользования Новый автокран "Челябинец" с грузоподъемностью 60 тонн от Челябинского механического завода соответствует всем нормам дорожного законодательства и обладает уникальными особенностями, такими как высокий грузовой момент и компактные габариты.

Минцифры РФ совместно с Headhunter создадут центр сертификации IТ-специалистов Министр цифрового развития Российской Федерации, Максут Шадаев, объявил о планах создать единый центр сертификации для IT-специалистов в начале 2024 года. Этот проект разрабатывается совместно с онлайн-платформой по рекрутингу Headhunter, сообщает ТАСС.

Polestar Phone официально представили В прошлом году китайский производитель электромобилей Nio представил свой собственный смартфон, и это, похоже, крайне заинтересовало конкурентов, которые тоже решили выпустить свои смартфоны под личным брендом. Сегодня, например, компания Polestar анонсировала свой первый см...

Nvidia представила видеокарту с 64-битной шиной и производительностью ниже, чем у GTX 1650. Анонсирована RTX A400, а вместе с ней и более мощная RTX A1000 Компания Nvidia представила пару новых профессиональных видеокарт: RTX A400 и A1000.   Это самые младшие представители линейки, причём данные адаптеры основаны на архитектуре Ampere, а не Ada Lovelace. Вероятно, выпуск таких моделей обусловлен финансовой выгодой.&...

Vivo совсем скоро представит смартфон X100 Ultra Смартфон Vivo X100 Pro на текущий момент считается одним из лучших смартфонов на рынке благодаря приличным техническим характеристикам и привлекательному дизайну. Кроме того, сегодня появилась информация о том, что Vivo планирует представить ещё и смартфон Vivo X100 Ultra вм...

КамАЗ поборол санкции: производство флагманских грузовиков КамАЗ К5 взлетело в 3,5 раза КамАЗ рассказал о результатах работы в первом квартале текущего года. Продажи техники выросли в годовом выражении на 1,5% — до 8488 единиц, но куда существеннее увеличилось производство флагманских грузовиков К5 — сразу в 3,5 раза (за первый квартал произвед...

Глава OpenAI, возможно, нашёл первого инвестора в рамках инициативы по сбору 5-7 трлн долларов на фабрики для производства чипов для ИИ Возможно, это самый опасный ИИ-инструмент, который будет доступен людям в 2024 году. Нейросеть OpenAI Sora выйдет на рынок уже скоро Компания OpenAI для своей работы использует ускорители Nvidia, которые заполучила в том числе благодаря инвестициям Microsoft. Однако се...

МТС начала готовить специалистов в области цифровой моды ПАО «МТС» запускает всероссийскую образовательную программу по цифровой моде на онлайн-платформе «Поколение М». За короткий срок школьники получат базовые навыки дизайнера цифровой одежды, освоят технологии 3D-моделирования и узнают, как с помощью искусственного интеллекта М...

QSAN представит новейшие решения на выставке COMPUTEX 2024 Искусственный интеллект шагает по планете, трансформируя целые отрасли и стимулируя предприятия к внедрению ИИ в свои бизнес-процессы. Для компаний, которые готовятся воспользоваться этой невероятной возможностью быстрого роста, первым шагом является модернизация их ИТ-инфра...

Citroen C5 Aircross российской сборки скоро появится в салонах: ОТТС будет выдано в начале апреля, после этого стартуют продажи У официальных дилеров Citroen в России скоро появятся кроссоверы отечественной сборки, выпуск которых налажен на калужском заводе «ПСМА Рус». Как сообщает Drom.ru, Одобрение типа транспортного средства на российский Citroen C5 Aircross будет получено в начал...

iPhone 17 Pro Max может получить 48-мегапиксельный «телевик» В iPhone остаётся всё меньше сторонних компонентов. В смартфонах iPhone 17 Pro может появиться чип Wi-Fi собственной разработки Apple Смартфон iPhone 15 Pro Max первым среди аппаратов Apple получил «телевик» с тетрапризмой — аналог перископных модулей...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Росэнергоатом запустит самый большой дата-центр уровня Tier IV в России «ЦОД «Москва-2» станет самым большим дата-центром в России и первым объектом в сети Росатома с таким высоким уровнем надежности. При его создании используется современное и надежное оборудование всех систем жизнеобеспечения. Открытие такого дата-центра поз...

Росэнергоатом запустит самый большой дата-центр уровня Tier IV в России «ЦОД «Москва-2» станет самым большим дата-центром в России и первым объектом в сети Росатома с таким высоким уровнем надежности. При его создании используется современное и надежное оборудование всех систем жизнеобеспечения. Открытие такого дата-центра поз...

Heinz помогает ИИ освоить кулинарию с помощью кулинарной книги Компания Heinz в партнерстве с VML Spain стремится преодолеть разрыв между едой и технологиями, выпустив первую книгу рецептов, которая учит ИИ, а также и людей, профессионально готовить.

TSMC планирует освоить передовые 2-нм и 1,4-нм технологические процессы к 2025 и 2027 годам Apple, скорее всего, станет первым заказчиком обоих узлов, если в ближайшие несколько лет не произойдет чего-то неожиданного.

Hyundai будет перерабатывать навоз и пластиковые отходы в водород Компания Hyundai заявила, что водород будет играть «решающую роль в дорожной карте устойчивого развития Hyundai, поскольку он является экологически чистым источником энергии». Компания предполагает, что водород будет приводить в действие не только грузовики,...

Освоить новую профессию или хобби: 6 курсов, которые помогут развиваться в дизайне и иллюстрации В подборке есть и небольшие программы для изучения графических редакторов или техник, и долгие — для полноценного освоения творческих диджитал-профессий.

Всё потому, что ни AMD, ни Nvidia не выпускают новых бюджетных видеокарт. ASRock представила новинку в виде Radeon RX 550 Low Profile 4GB В сегменте очень дешёвых видеокарт уже давно нет ничего нового. А так как такие продукты всё равно нужны, на рынке остаются очень старые адаптеры. И порой появляются даже новые версии. К примеру, ASRock представила новую модель — Radeon RX 550 Low Profile 4GB.&nbs...

АвтоВАЗ переходит на отечественные детали для Lada из российского композита: на Granta уже устанавливаются наши бензобаки В рамках программы импортозамещения АвтоВАЗ начал использовать бензобаки, изготовленные из российского композитного материала. Поставщик бензобаков, компания «ДИПО», успешно заменила импортный полиэтилен низкого давления (HDPE) на аналогичный материал, произ...

Нужно больше автомобильных туристов в России. Правительство утвердило план развития автомобильного туризма до 2035 года Правительство России утвердило план развития автомобильного туризма до 2035 года.  создано DALL-E Как сообщается в Telegram-канале правительства, концепция объединит усилия разных уровней власти и бизнеса, чтобы синхронизировать строительство такой разнообразной и...

«Москвич» празднует свой первый день рождения. Завод планирует начать поставки машин в другие страны Возрожденный завод «Москвич» празднует свой первый день рождения. Производство городского кроссовера «Москвич 3» стартовало ровно год назад. О достижениях за этот год и о планах на будущее рассказал Олег Масляков, директор по производству автозав...

Россия обсудила с Saipa и Iran Khodro совместное производство машин и комплектующих Официальные лица Ирана и России подчеркнули расширение двустороннего сотрудничества в области испытаний и совместного производства транспортных средств и автомобильных запчастей. На состоявшейся в субботу в Тегеране встрече официальные лица Ирана и России обменялись мне...

Для всех, кто скучает по Mitsubishi Pajero, Toyota Land Cruiser Prado и Nissan Patrol, Jetour привезет в Россию внедорожник Jetour T2 В российском офисе Jetour сообщили о том, что уже в первом квартале следующего года в России появится внедорожник Jetour T2. Он, судя по всему, станет четвертым автомобилем в линейке, которая сейчас представлена моделями X90 Plus, X70 Plus и Dashing. Фото: Jetour По сл...

В России освоили новую технологию производства прочных стекол для поездов и истребителей В России освоили уникальную малозатратную технологию изготовления стекол для транспорта, в том числе для поездов и истребителей. Об этом рассказал начальник сектора научно-исследовательской лаборатории предприятия Дмитрий Чечин, который добавил, что технологией создания...

В 2ГИС теперь можно увидеть на карте друзей и поделиться своим местоположением Картографический сервис 2ГИС запустил новую функцию «Друзья на карте», она уже работает в новейшей версии мобильных приложений для операционных систем iOS и Android. С её помощью можно поделиться своим местоположением с друзьями и близкими: теперь прямо в 2Г...

CGTN:Как Китай намерен повышать уровень финансовой открытости после ключевого совещания   В Пекине прошло ключевое финансовое совещание, на котором был намечен курс финансового развития Китая. Центральное совещание по вопросам финансовой политики, проводимое дважды в десятилетие, является финансовой встречей высшего уровня в Китае и определяет курс финансо...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Samsung Exynos 2400 представят уже сегодня, а «чип мечты» Exynos 2500 лишится GPU Radeon Samsung якобы подтвердила, что представит однокристальную систему Exynos 2400 уже сегодня, на мероприятии Tech Day 2023, о чем сообщает проверенный инсайдер Ice Universe. Ранее появилась информация, что Samsung рассматривает возможность разработки собственного уникально...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

YouTube стал замедлять работу всего сайта для пользователей с включенными блокировщиками рекламы Недавно YouTube начал замедлять работу сервис при обнаружении блокировщиков рекламы. Единственным решением является отключение блокировщика рекламы или переход на премиум-версию. Чтобы бороться с растущей частотой рекламы на YouTube, люди давно используют блокировщики р...

Возможно, это самый опасный ИИ-инструмент, который будет доступен людям в 2024 году. Нейросеть OpenAI Sora выйдет на рынок уже скоро Нашумевшая недавно нейросеть Sora компании OpenAI в скором времени станет доступна всем желающим.  Технический директор OpenAI Мира Мурати рассказала, что Sora выйдет на рынок уже в этом году. Точную дату она не раскрыла, но добавила, что «это может занять н...

Вся линейка Samsung Galaxy S25 будет основана только на SoC Exynos? Свежие слухи говорят, что Snapdragon останется лишь у складных флагманов Согласно различным слухам, компания Samsung работает над совершенно новой SoC Exynos, которую якобы называют Dream Chip. Свежие данные говорят о том, что вся линейка флагманов Galaxy S 2025 года будет опираться на эту самую платформу.  Инсайдер Connor (OreXda), ко...

EA Sports официально представила гоночный симулятор F1 24 Отдел EA Sports, который является частью компании Electronic Arts и отвечает за спортивные игры, уточнил дату релиза F1 24 и опубликовал рекламный трейлер с первыми кадрами предстоящего симулятора. Судя по сообщениям в «западных соцсетях», приуроченных к началу сезона Формул...

Новая эпоха в развитии смартфонов или просто очередной необычный гаджет? Представлен Rabbit R1 — устройство с собственным ИИ, который делает всё сам Возможно, именно так будут выглядеть гаджеты ближайшего будущего, которые заменят смартфоны. Стартап Rabbit представил устройство под названием R1, которое опирается на искусственный интеллект и может делать условно всё.  Конечно, очень условно, но всё же. Основна...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

После релиза Xiaomi SU7 китайцы умоляют Xiaomi выпустить цифровую камеру «вроде Fujifilm XS20 или Canon G7X» Xiaomi мощно дебютировала в автомобильном сегменте со своим электроседаном Xiaomi SU7, но пользователи соцсети Weibo призывают компанию освоить еще один сегмент — цифровых фотокамер. Один из пользователей соцсети Weibo после премьеры Xiaomi SU7 написал пост-обращ...

Radeon RX 7900 GRE окончательно перебралась в Европу. Видеокарта появилась во многих странах по цене от 672 евро На днях мы сообщали, что видеокарта Radeon RX 7900 GRE появилась в европейской рознице, но цена в 720 евро выглядела далеко не самой адекватной. Теперь же появились более доступные варианты.  На данный момент самый доступный вариант — адаптер XFX с ценой 672...

Chery Tiggo 7 Pro российской сборки во всей красе: XCITE X-Cross 7 заняли на площадке бывшего завода Nissan в Санкт-Петербурге На этой неделе ООО «Автозавод Санкт-Петербург» (бывший российский завод Nissan) представило автобренд XCITE. О первом автомобиле новой марки ничего не рассказали, но инсайдеры уже всё рассекретили: первая модель – XCITE X-Cross 7, он же Chery Tiggo 7 P...

В январе Китай освоил 112,71 млрд юаней иностранных инвестиций Снижение по сравнению с аналогичным периодом прошлого года составило 11,7%

Российских телефонов «Мегафон» будет больше Сотовый оператор «Мегафон» расширит линейку собственных кнопочных телефонов до семи моделей до конца текущего года, о чем сообщила пресс-служба оператора. Все модели будут оснащены цветным дисплеем, FM-радио, фонариком, плеером, диктофоном, будильником, каль...

Как оплатить игру или приложение из Google Play в России В 2022 году Google запретила россиянам совершать транзакции внутри Play Маркета. Говоря простым языком, компания ограничила встроенные покупки, а некоторые разработчики и вовсе скрыли свои игры и программы для пользователей из России. Но это не означает, что теперь совсем ...

ИИ Google научился делать игры из одной картинки Google DeepMind продемонстрировала уникальный продукт — Genie, искусственный интеллект (ИИ), способный создавать игры по простому запросу, освоив механику игр из сотен тысяч видеороликов. Genie, сокращение от Generative Interactive Environments, создает 2D-платформеры на осн...

Приоритет компании «Симпреал» – развитие персонифицированного подхода к работе с заказчиком ПАО «Симпреал», один из лидеров российского рынка каолинитового керамического сырья, развивает направление персонализированного подбора материалов для керамической продукции, проводит анализ состава готовых изделий и изготавливает тестовые образцы продукции в собственных лаб...

В процессорах AMD Ryzen Threadripper 7000 сгорает специальный предохранитель, если пользователь решил разогнать CPU Компания AMD интегрировала в процессоры Ryzen Threadripper 7000 некий специальный предохранитель, который позволяет ей узнать, разгонялся ли CPU.   Неясно, как именно он работает, но AMD говорит фактически о перегорании этого самого предохранителя, то есть о физич...

Компанию Nvidia обвинили в том, что компания превратилась в картель GPU и не терпит, когда её клиенты обращаются к конкурентам Похоже, компания Nvidia действительно ведёт себя достаточно агрессивно со своими партнёрами, если речь заходит о сотрудничестве этих самых партнёров c конкурентами Nvidia.  создано DALL-E Компания Groq, недавно представившая впечатляющий своей производительностью ...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Доплата за «Монстра» – 300 тыс. рублей. Раскрыта стоимость совершенно нового Haval F7 (Haval Monster) для России Нынешний Haval F7, собираемый на заводе под Тулой, доживает последние месяцы: в июне 2024 года на российском предприятии наладят производство совершенно нового Haval F7 (в Китае он известен как Haval Monster). Мы уже писали об этой машине, а сейчас появились новые ...

Бесплатная программа «Frontend-разработчик с нуля» Чтобы разбавить засилие платных курсов, мы подготовили для вас программу обучения «Frontend-разработчик с нуля», которая состоит только из бесплатных материалов. Если вы размышляете над тем, чтобы освоить новую профессию, можете воспользоваться нашей программой. Чи...

[Перевод] Как создать собственный шрифт В этом месяце я решил узнать, как создать шрифт, который буду использовать в своём комиксе hakum. Раньше я рисовал текст в цифре на своём планшете. Результат оказывался хорошим, но иногда трудночитаемым (размер текста часто скакал на одной странице). Мне не хотелось использо...

Полностью новый премиальный дизайн Halo, почти как у Xiaomi 13 Ultra, только в очень бюджетном смартфоне. Представлен Redmi A3 Компания Xiaomi представила один из самых дешёвых своих смартфонов — Redmi A3. Цену компания пока не раскрыла, но мы уже видели другие утечки, где говорилось о 85 долларах. Само собой, от страны к стране цена будет меняться. Впрочем, и без сегодняшнего анонса цен...

[recovery mode] Где изучать Linux в 2024. Бесплатные курсы, книги и ресурсы В настоящее время существует множество бесплатных ресурсов, которые предлагают уроки по Linux, от курсов до университетских программ, что делает эту ОС доступной для широкого круга людей. Знание Linux может открыть двери к различным IT‑профессиям, таким к...

Intel и UMC объединяют усилия для разработки 12-нанометровых чипов Intel Foundry и тайваньская компания UMC объявили о стратегическом партнёрстве для разработки 12-нанометровой технологии полупроводников.

В России создадут фабрику больших данных на базе искусственного интеллекта Министр цифрового развития Российской Федерации, Максут Шадаев, объявил о планах создания государственной фабрики больших данных, которая будет использовать технологии искусственного интеллекта. Он представил идею на форуме Finopolis, пишет ТАСС.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)