Социальные сети Рунета
Воскресенье, 12 мая 2024

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Графические процессоры Moore Threads S80 и S70 получили 100% прирост производительности Графические процессоры Moore Threads S80 и S70 получили 100-процентный прирост производительности благодаря обновлению драйверов

Глава банка США предупреждает, что процентные ставки могут вырасти до 8% Генеральный директор JPMorgan Chase предупредил о возможном повышении процентных ставок в США до 8% из-за инфляционного давления и государственных расходов. Федеральная резервная система в настоящее время прогнозирует снижение ставок.

Процессор Intel "Lunar Lake-U" мощностью 17 Вт почти на 50% быстрее прошлого поколения Процессор Intel "Lunar Lake-U" мощностью 17 Вт обеспечивает почти 50-процентный прирост производительности в многопоточном режиме по сравнению с "Meteor Lake" мощностью 15 Вт, несмотря на отсутствие HTT

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Китай снизит норму банковских резервов в попытке стимулировать экономический рост и рынки Глава НБК заявил, что 5 февраля RRR будет снижен на 0,5 п.п. — Отказ ФРС от повышения процентных ставок открывает возможности для поддержки экономики

Курс биткоина опустился до $61 000 – ФРС может еще больше поднять процентные ставки 20 марта первая криптовалюта обвалилась до уровня $61 000, за сутки просев на 5,5%. Forbes ожидает решения ФРС по процентной ставке.

NVIDIA снимает с производства GeForce RTX 4080 и 4070 Ti для замены их моделями Super Ожидается, что GeForce RTX 4070 Super и 4070 Ti Super обеспечат заметный прирост производительности по сравнению со своими предшественниками.

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Анонсирован ноутбук Xaoxin Pro16 2024 Ryzen Edition Компания Lenovo анонсировала скорый выход ноутбуков Xiaoxin 14/16 Ryzen Edition и Xiaoxin Pro 14/16 Ryzen Edition, которые будут основаны на процессоре AMD Ryzen 7 8845H. Это 8-ядерное 16-поточное решение с интегрированной графикой Radeon 780M, производительности которой хва...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Китайцы захватывают собственный рынок: доля машин местного производства превысила 60% Китайская автомобильная ассоциация опубликовала данные, согласно которым в январе 2024 года объем продаж легковых автомобилей китайских брендов составил 1,278 млн единиц, что на 68,6% больше, чем в прошлом году. Их доля составила 60,4%, увеличившись на 8,8 процентных пу...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Затяните пояса: цены на SSD резко вырастут в 2024 году Приготовьтесь к повышению цен на твердотельные накопители (SSD). Новый анализ предсказывает 50-процентный рост в 2024 году.

Официально объявлен четвертый халвинг биткоина Исторически, после халвинга можно ожидать как минимум 200-процентный прирост в течение следующих 18 месяцев.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Самая масштабная забастовка авторабочих обошлась General Motors в 9,3 млрд долларов Компания General Motors заявила, что ее договоренности с бастующими членам профсоюзов UAW и Canadian Unifor увеличат затраты на 9,3 миллиарда долларов до 2028 года. Компания также объявила о выкупе акций на 10 миллиардов долларов. Эти данные были озвучены после подписан...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Новая функция DirectX 12 Work Graphs может обеспечить значительный прирост производительности В видеоролике, подготовленном компанией Compusemble, впервые показана новая функция, и показано, как она обеспечивает схожую производительность в большинстве случаев

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

У Apple снова получилось очень хорошо. SoC M3 в первых тестах на 20% опережает M2 и почти догоняет M2 Pro В базе Geekbench появились первые результаты тестирования нового MacBook 14 с SoC M3.   Новая платформа набирает около 3000 и около 11 700 баллов в однопоточном и многопоточном режимах соответственно. Для сравнения, M2 в среднем набирает около 2700 и 9700 соответс...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Представлены процессоры Intel Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF. В сравнении с прошлым поколением цены не выросли Компания Intel представила процессоры Core 14-го поколения (Raptor Lake Refresh). Как и ожидалось, сегодня анонсировали только старшие модели с разблокированным множителем.  Утечек об этих CPU было множество, так что ничего нового, кроме цены, Intel не раскрыла. Н...

Машины, которые выпускают в России, рекордно подорожали Цены на российские автомобили выросли на 5,5%, согласно данным Росстата за период с 31 октября по 7 ноября. В тот же период иномарки слегка подешевели на 0,4%. Рост цен на отечественные автомобили на 5,5% является рекордом за этот год. С начала текущего года цены на авт...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Представлен 170-Гц монитор Machenike MK34QGSN2 Компания Machenike пополнила ассортимент игровых мониторов моделью MK34QGSN2, которая оценена на местном для производителя китайском рынке в 290 долларов. Новинка характеризуется 34-дюймовой матрицей Fast IPS с разрешением 3440:1400 пикселей (формат WQHD), соотношением сторо...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

90-процентная локализация Huawei Pura70 — это ложь? Японская компания Fomalhaut не проводила никаких исследований новинки Huawei На днях в Сети появилась информация о том, что смартфон Huawei Pura70 якобы на 90% состоит из китайских компонентов. Однако это, вероятно, неправда.  фото: Huawei По крайней мере компания Fomalhaut Techno Solutions, на которую ссылались китайские источники, не про...

Повышение ключевой ставки ФРС США до 7% будет иметь очень негативные последствия для всего мира — АТ Продолжающееся повышение процентных ставок в Соединенных Штатах грозит посеять хаос на долговых рынках, фондовых площадках и рынках недвижимости

Инфляция в США ускорилась на фоне роста цен на топливо и жилье Цены в США растут быстрее ожидаемого. Рост обусловлен увеличением расходов на топливо, жилье, питание и одежду, что может привести к дальнейшему повышению процентных ставок.

Падение акций Intel и высокие ожидания: Как компания справляется с вызовами Акции производителя микросхем Intel упали на 8%. Инвесторы волнуются из-за высоких ожиданий и угрозы повышения процентных ставок, создавая непредсказуемую ситуацию на рынке.

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

Закуп Китаем полупроводникого оборудования вырос на 42% В «полупроводниковом буйстве» Китай стал бесспорным чемпионом, наращивая свои экономические «мускулы» благодаря 42-процентному росту закупок оборудования для производства полупроводников.

SSD, карты памяти и прочие накопители резко подорожают? Samsung собирается существенно повысить цены на память NAND уже в следующем месяце Samsung Electronics собирается резко и ощутимо поднять цены на микросхемы памяти NAND уже в следующем месяце.  Память NAND (и не только она) долгое время дешевела, но, похоже, этой тенденции приходит конец. Samsung, которая во второй половине этого года начала сущ...

Прирост от перехода с Core i3 на Core i5 с одинаковой видеокартой измерили На YouTube-канале Odin Hardware узнали, насколько большой будет разница в игровой производительности между i3−13100F и i5−12 400.

Процессор Intel Lunar Lake оказался на 50% быстрее своего предшественника в многопотоке Как сообщается, процессоры Intel Lunar Lake обеспечат значительный прирост многопоточной производительности благодаря новой архитектуре P-Core и E-Core.

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Прогнозируется, что игровые консоли следующего поколения будут стоить дороже Прогнозируется, что игровые консоли следующего поколения будут стоить дороже или обеспечат меньший прирост производительности

NVIDIA представила GPU Blackwell B200 с 208 млрд транзисторов На конференции GTC 2024 NVIDIA представила графический процессор Blackwell B200. Новый GPU обеспечит пятикратный прирост производительности в области искусственного интеллекта по сравнению с Hopper H100 текущего поколения, и имеют в четыре раза больше встроенной памяти. B200...

Забастовка сценаристов изменит то, как работает Голливуд Гильдия сценаристов Америки (WGA) прекратила забастовки и теперь рассматривает контракт, заключенный с AMPTP. В резюме контракта говорится о значительном повышении оплаты труда: минимальное увеличение компенсации на 18% для фильмов со стриминговых платформ с бюджетом не мене...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

Mediatek выпустила чипсет Helio G91 с поддержкой 90 Гц дисплеев и камер на 108 Мп Эта новая система на кристалле предназначена для повышения производительности и возможностей бюджетных смартфонов, предлагая поддержку дисплеев FHD+ с частотой 90 Гц и значительный прирост возможностей камеры.

AMD анонсирует мобильные процессоры серии Ryzen 8040 с обновленным ИИ и значительным приростом производительности

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

ФАС возбудила дело в отношении Сбербанка Федеральная антимонопольная служба (ФАС) России возбудила дело в отношении Сбербанка и СберСтрахования. Служба выявила в действиях организаций признаки заключения антиконкурентного соглашения. Об этом рассказала пресс-служба ФАС.  Фото: Михаил Воскресенский / РИА ...

Очередной показатель того, что для современных CPU Intel очень важен планировщик потоков. Компания выпустила патч для Linux, повышающий производительность Гетерогенные процессоры Intel сильно зависят от эффективности планировщиков потоков. Отличный тому пример — повышение производительности CPU Intel в Linux на 14% после выхода нового патча.  Фото: Intel Intel выпустила патч, который улучшает работу планировщи...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Теперь Intel обещает 20-40% прироста и даже больше, но хватит ли этого, чтобы догнать монстров AMD? В Сеть попал документ о CPU Xeon поколения Emerald Radips В первой половине следующего года Intel выпустит серверные процессоры Xeon поколения Emerald Radips, которые заменят Sapphire Rapids и будут самыми производительными CPU компании. Сегодня в Сети появились документы Intel, посвящённые этим процессорам.  Характерист...

Энтузиаст разогнал встроенный в Ryzen 7 8700G GPU Radeon 780M до 3,15 ГГц: производительность увеличилась на 37%, но потреблять APU стал больше, чем GeForce RTX 4060 Энтузиаст, известный под ником SkatterBencher, задался целью разогнать Radeon 780M – графическое ядро, интегрированное в процессор Ryzen 7 8700G. В результате повышения частоты ОЗУ DDR5-6400 до 8000 МГц ему удалось повысить частоту GPU до пиковых 3,15 ГГц (и 3086 ...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Core i9-14900HX и GeForce RTX 4070 Laptop от китайских товарищей. Представлен Thunderobot Blade 16 Китайский бренд Thunderobot анонсировал игровой ноутбук Blade 16 нового поколения. Главное новшество тут, как и в новых Legion, – высокопроизводительные процессоры Intel Core 14 поколения. У Thunderobot Blade 16 будет две конфигурации: топовая – с Core i9-1...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Видеокарты Intel Arc всё хорошеют и хорошеют. Новый драйвер повышает производительность в ряде игр вплоть до 119% Компания Intel продолжает улучшать производительность своих видеокарт Arc. На сей раз свежий драйвер Intel 31.0.101.4826, по заверениям самой компании, обеспечивает гигантский прирост в ряде игр, вплоть до более чем двукратного.  В основном производительность повы...

Intel представила Gaudi 3: борьба с Nvidia за превосходство в области ИИ Intel бросила вызов Nvidia в ИИ-гонке, анонсировав новый мощный ускоритель Gaudi 3. Этот чип нацелен на «доминирование» на рынке обучения и выводов ИИ, обещая значительный прирост производительности и ориентируясь на открытые стандарты.

Росатом перешёл на собственную импортонезависимую финансовую автоматизированную систему, которая быстрее западных аналогов Более 250 предприятий атомной отрасли перешли на импортозамещенную финансовую автоматизированную систему, о чем заявили в АО «Гринатом», которое выступает IT-интегратором Росатома. «Сотрудники казначейств и финансовых служб предприятий атомной отрасли ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Представлен ноутбук Mechanical Revolution Unbounded 14 Pro 2024 Компания Mechanical Revolution пополнила ассортимент ноутбуков моделью Unbounded 14 Pro 2024, которая основана на новом процессоре Intel Core Ultra 5 125H (производительность на уровне AMD Ryzen 7 7840HS). Новинка также характеризуется 32 ГБ оперативной памяти DDR5-5600, тве...

Специальные скидки на расходные материалы для 3D-печати от Filamentarno! Компания Filamentarno! предлагает участникам сообщества 3Dtoday 15-процентные скидки на любые фирменные филаменты для FDM/FFF 3D-принтеров.Предложение распространяется на весь ассортимент, начиная со стандартных полимеров — АБС, ПЛА и СБС и так далее — и заканчивая различным...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Процесс перехода с монолитиной архитектуры на микросервсную Общий процесс перехода с монолитной архитектуры на микросервисную:1. Анализ текущей архитектуры: Оцените текущие монолитное приложение, определите его слабые места и проблемы, которые хотели бы решить с помощью микросервисной архитектуры. Это может включать в себя высокую сл...

Volkswagen и Nissan поднимают зарплаты после крупнейшей забастовки Автопроизводители продолжают повышать заработную плату после рекордного соглашения профсоюза UAW с Ford, General Motors и Stellantis. Volkswagen объявил, что сотрудники Chattanooga Assembly получат 11-процентную прибавку к заработной плате, начиная с декабря. Это не еди...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Новый драйвер для видеокарт Arc дает десятки и сотни процентов прироста в играх Intel обеспечивает еще более впечатляющий прирост производительности с помощью нового драйвера для видеокарт Arc

Intel сообщила о 7-миллиардных убытках в 2023 году Похоже, что хватка Intel в мире производства микросхем ослабевает: ее подразделение Client Computing Group (CCG) сообщило о операционных убытках в размере 7 миллиардов долларов в 2023 году. Это значительный скачок по сравнению с убытком в 5,2 миллиарда долларов в 2022 году, ...

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

MediaTek показала новый процессор начального уровня Helio G91 MediaTek незаметно анонсировала свой новый процессор Helio G91, который пришёл на смену Helio G88, представленного ещё 3 года назад. Новая SoC предназначена для повышения производительности и возможностей бюджетных смартфонов, предлагая поддержку дисплеев FHD+ с частотой 90…

«До конца года, к сожалению, ещё ожидаем рост цен», — в РОАД прогнозируют 30-процентное повышение цен на машины за год Новые легковые автомобили в России продолжат дорожать вплоть до конца 2023 года. В целом за год рост цен на авторынке может достигнуть 30%, сообщил РИА «Новости» Вице-президент и исполнительный директор профильной ассоциации «Российские автомобильные д...

Новый MacBook Air на чипах M3 показал прирост одноядерной производительности на 20% Многоядерная производительность MacBook Air выросла на 18% по сравнению с М2

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

PELADN анонсировала три новых игровых мини-ПК серии YO с различными оригинальными дизайнами Новые модели мини-ПК, входящие в новую серию под названием PELADN YO, призваны обеспечить высокую производительность в небольшом, привлекательном корпусе.

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Новый патч Alan Wake 2 повысит производительность на старых видеокартах серии GeForce GTX 10 до 100% Прирост производительности на 57% для GeForce GTX 1070, 85% для GTX 1080 и 100% для GTX 1080 Ti – следующий патч сделает Alan Wake 2 по-настоящему проходимой игрой.

Microsoft представила "Azure Boost" для повышения скорости виртуальных машин Некоторые виртуальные машины Microsoft Azure получают прирост производительности

Hyper от GAC выпустит электромобили с запасом хода 1000 км в 2026 году По словам GAC, в аккумуляторе используется 100-процентный твердотельный электролит.

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Найден способ купить Apple Vision Pro с 25-процентной скидкой Для этого нужно всего лишь быть сотрудником Apple.

ЦБ четвёртый раз подряд повысил ключевую ставку — до 15% годовых Это на два процентных пункта больше предыдущего значения.

Тесные отношения между игровым гигантом miHoYo и Apple ... Согласно новому отчету, китайский разработчик чрезвычайно популярной мобильной игры Genshin Impact дважды пытался уйти от 30-процентного снижения цены на покупки в приложении от Apple.

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Qualcomm Snapdragon X Elite протестировали в играх Достаточно ожидаемая система на кристалле Snapdragon X Elite, которую компания Qualcomm анонсировала некоторое время назад, была протестирована в новой видеоигре Baldur's Gate 3 — ноутбук на базе этого чипа работал со скоростью 30 FPS, что достаточно неплохо для мобильного ч...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

«Вы принесли много жертв, от многого отказались и спасли автомобильную промышленность», — президент США впервые в истории поддержал забастовку работников автозаводов Забастовка членов профсоюза UAW против компаний Ford, General Motors и Stellantis продолжается уже вторую неделю. При этом президент США Джо Байден посетил рабочих на забастовке. Этот визит стал историческим: ранее президенты США на посту не поддерживали подобные меропр...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Крипторынок обвалится при росте процентных ставок по доллару до 7% Мировая экономика, включая криптовалютную отрасль, может столкнуться с серьезными проблемами

MediaTek увеличивает доходы благодаря чипу Dimensity 9300, ожидается подъем благодаря Dimensity 9400 MediaTek сообщила о 70-процентном росте выручки в 2023 году, преимущественно за счет успешного запуска чипа Dimensity 9300

Центральный банк Японии повысил процентную ставку впервые с 2007 года Этот шаг сделает кредиты более дорогими для потребителей и бизнеса.

Биткойн преодолел отметку в 50 000 долларов впервые с 2021 года Биткойн впервые за два года превысил отметку в 50 000 долларов, благодаря ожиданиям снижения процентных ставок и росту интереса к криптовалютам.

Токен SOL достиг 620-процентного роста за последние 12 месяцев и поднялся выше $90 22 декабря капитализация SOL составляет $39,5 млрд

Представлен 4K-монитор HKC P273U MAX Компания HKC пополнила ассортимент мониторов моделью HKC P273U MAX, которая основана на 27-дюймовой матрице IPS Black производства LG с разрешением 3840:2160 пикселей (формат 4K). Новинка также характеризуется частотой обновления 60 Гц, контрастностью 2000:1, максимальной яр...

Игровое подразделение Microsoft после покупки Activision Blizzard продемонстрировало почти 50-процентный рост выручки Что для масштабов данного холдинга и суммы, в которую он обошёлся Microsoft — вообще ни о чём.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Технология Intel 14A обеспечит 15% преимущество по производительности на ватт относительно 18А Осталось дождаться начала производства через пару лет.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Российская компания представила новый бизнес-помощник на базе ИИ Российская компания IVA Technologies анонсировала запуск нового сервиса — бизнес-помощника на базе искусственного интеллекта под названием IVA GPT. Этот инструмент предназначен для улучшения корпоративных коммуникаций и повышения производительности сотрудников.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Европейский Союз на грани долгового кризиса Долговой хаос в ЕС: из-за роста процентных ставок, политических разногласий и экономической неопределенности в 2024 году надвигается кризис.

ФРС США: в скором времени может начаться снижение ключевых процентных ставок Ускорить этот процесс может дальнейшее увеличение числа безработных

98-дюймовый Huawei Smart Screen V5 Pro оценен в $5060 Компания Huawei представила флагманскую серию телевизоров Smart Screen V5 Pro, в которую вошли модели с диагональю экранов 85 и 98 дюймов. Новинки характеризуются панелями Super Mini LED с разрешением 4K, яркостью 1600 и 2000 нит соответственно, кадровой частотой 120 Гц, 95-...

Узел Intel 14A обеспечивает 15-процентное улучшение по сравнению с 18A, A14-E добавляет еще 5 % Intel перестраивает свое литейное производство, и компания намерена стать сильным соперником для таких конкурентов, как TSMC и Samsung

Автомобили УАЗ получат экологичные моторы «Евро-5», но не сразу Вчера УАЗ заявил о том, что больше не оснащает свои автомобили моторами «Евро-0», а сегодня предприятие рассказало, как будет переходить на двигатели «Евро-5». Этот процесс растянется примерно на полгода. Фото: УАЗ «С февраля 2024 года мод...

АвтоВАЗ заплатит часть кредитов за покупателей Lada Vesta NG, которым также дарят комплект зимней резины за 1 рубль АвтоВАЗ запустил программу компенсации процентной ставки по кредитам на покупку автомобилей Lada Vesta NG, о чем сообщает РИА Новости со ссылкой на заявление главы предприятия Максима Соколова. Завод будет частично компенсировать процентную ставку по таким кредитам. На ...

Рынок подержанных смартфонов показал почти 10-процентный рост По данным IDC, в 2023 году мировые поставки бывших в употреблении и восстановленных аппаратов достигли 309,4 млн штук.

Новое оружие AMD на ближайшие год или более. Gigabyte подтвердила, что грядущие настольные процессоры будут называться Ryzen 9000 Компания Gigabyte подтвердила, что настольные процессоры Ryzen нового поколения будут называться Ryzen 9000.   Это прямо указано в описании очередного обновления BIOS для некоторых системных плат компании. Когда состоится анонс, неизвестно, но есть мнение, что на ...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

Подорожала самая экстремальная «Нива»: стартовали продажи Lada Niva Bronto 2024 Если ранее Lada Niva Bronto — самая экстремальная из «Нив» — оснащалась мотором, отвечающим нормам выброса «Евро-2», то с переходом в новый модельный год «Нива» стала экологичнее — теперь она отвечает нормам экологич...

Hyundai оставила Европу без бензиновых «заряженных» хетчбэков Компания Hyundai оставила Европу без бензиновых  «заряженных» хетчбэков от своего спортивного подразделения, о чем пишет Carscoops. Выпуск моделей i20 N и i30 N для европейского региона прекращен. Теперь суббренд Hyundai N будет представлен в стран...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Apple, а не ждёт ли нас прирост на 5%, как у Intel? Появились характеристики SoC M3, M3 Pro и M3 Max, которые компания может показать уже сегодня Уже сегодня ночью компания Apple проведёт мероприятие, на котором, кроме прочего, как ожидается, анонсирует новые SoC линейки M3.  И свежие данные от журналиста Bloomberg говорят о том, что новые платформы могут оказаться не особо-то и быстрее текущих. По крайней ...

Эксперты прогнозируют рост в процентном соотношении специализированных компьютеров для задач ИИ По мнению аналитиков, в ближайшие годы железо для технологий искусственного интеллекта станут доступны и обычным потребителям

Производительность PlayStation 5 Pro будет повышена на 45% в сравнении с оригинальной моделью Наблюдается неожиданной прирост в эпоху замедления роста производительности всей цифровой техники.

Производительность APU AMD Strix Point сравнима с RX 6400 Согласно свежим данным компания AMD продемонстрирует заметный прирост производительности встроенной графики Strix Point, построенной на основании архитектуры RDNA 3+.

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Tiny Corp верит, что AMD предоставит доступ к прошивке графического процессора (GPU) Генеральный директор компании Tiny Corp., Джордж Хотц, выразил "70-процентную уверенность" в том, что AMD предоставит открытый доступ к определенным битам прошивки графического процессора (GPU)

Представлен 180-Гц монитор MSI MAG 256F Компания MSI пополнила ассортимент геймерских мониторов моделью MSI MAG 256F, которая основана на 24,5-дюймовый панели Rapid IPS с разрешением 1920:1080 пикселей (формат Full HD). Новинка также характеризуется кадровой частотой 180 Гц, динамической сменой частоты обновления ...

Дональд Трамп пригрозил 100-процентными тарифами на китайские автомобили из Мексики В случае прихода Трампа к власти, отношения между США и Китаем могут ухудшиться ещё сильнее

Ноутбук MSI Titan 18 HX получил 18-дюймовый экран Mini LED Компания MSI анонсировала топовый геймерский ноутбук Titan 18 HX, который первым в мире получит 18-дюймовый дисплей Mini-LED с разрешением 4K и кадровой частотой 120 Гц. Экран также характеризуется яркостью 1000 кд/кв.м и 100-процентным охватом цветовой палитры DCI-P3. Остал...

Не стоит бояться, что новые процессоры AMD обеспечат незначительный прирост производительности. CPU на Zen 5 уже тестируются клиентами AMD Чистая прибыль AMD выросла на 1000% и сравнялась с чистой прибылью Intel Компания AMD уже располагает образцами процессоров на архитектуре Zen 5, а некоторые клиенты AMD уже успели опробовать такие CPU и остались довольны.  Глава компании Лиза Су (Lisa Su) говорит...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Ограниченное по времени питание связали с 91-процентным риском смерти от болезней сердца Ограниченное по времени питание — это одна из форм периодического голодания. Учёные обнаружили, что она может значительно повысить риск смерти.

Выручка SMIC увеличилась на 20% благодаря восстановлению спроса на чипы SMIC, ведущий китайский производитель полупроводников, сообщает о почти 20-процентном увеличении выручки, что отражает глобальное восстановление спроса на чипы и усилия компании в области исследований и разработок.

Huawei сообщает о продажах на сумму около 100 млрд долларов и преодолела "осаду" санкций США Эти цифры свидетельствуют о 9-процентном скачке продаж, что указывает на то, что санкции США и конкуренция со стороны Apple в Китае недолго сдерживали Huawei.

Qualcomm Snapdragon X Elite демонстрирует прирост производительности Некоторое время назад мобильный процессор Qualcomm Snapdragon X Elite прошёл целый цикл тестов в составе какого-то ноутбука компании Lenovo (производитель не называет модель и она не отображается в синтетическом тесте, так что об этом можно только догадываться). Данный ноутб...

Ученые улучшили производительность литий-серных батарей Ученые из университетов Аделаиды и Тяньцзиня, а также Австралийского синхротрона представили новый подход к повышению производительности литий-серных батарей, опубликовав результаты исследования в журнале Nature Nanotechnology.

Loongson переходит на 7-нм нормы – новый процессор 3A7000 выпустит китайская SMIC Производство стартует не раньше 2025 года

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Клиенты билайна могут приобрести КАСКО на одну поездку или на время парковки с кешбэком 10% Клиенты мобильного оператора билайн получили возможность приобретать краткосрочные полисы КАСКО с 10-процентным кешбэком на баланс.

Epomaker обновила программируемую механическую клавиатуру с экраном TH80 Pro до версии V2 Клавиатура имеет 75-процентную раскладку со встроенным 1,14-дюймовым настраиваемым RGB-экраном, позволяющим пользователям создавать макросы и переназначать клавиши

Intel, тут ведь даже и 5% прироста нет. Опубликованы тесты Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF Вчера компания Intel представила процессоры Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF поколения Raptor Lake Refresh. Сегодня в Сети появились их обзоры. Напомним, только модели Core i7 получили больше ядер, чем их предшественники, тогда как все остальные...

Intel Lunar Lake обещает 1,5-кратное повышение производительности в многопоточном режиме Новая заметка от известного источника предлагает заманчивый взгляд на многопоточную производительность этих процессоров.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Прирост от перехода с Ryzen 5 на Ryzen 7 X3D AM4 измерили В одном из выпусков на YouTube-канале Benchmark PC Tech рассказали, насколько Ryzen 7 5700X3D быстрее, чем Ryzen 5 5600.

Центральный банк США сохранил процентные ставки, указав на недостаток прогресса в снижении инфляции Центральный банк США решил сохранить процентные ставки, указав на недостаток прогресса в снижении инфляции. Банк будет ожидать дополнительные данные и подчеркивает необходимость терпения перед принятием решения о снижении ставок.

Qualcomm представляет Snapdragon 8 Gen 3 с большим приростом производительности и возможностями ии Qualcomm заявляет, что новый процессор на 30% быстрее и на 20% эффективнее, чем Snapdragon 8 Gen 2 предыдущего поколения.

Stable Diffusion стал быстрее на Intel Arc A770 благодаря Microsoft Olive и новому драйверу Прирост производительности в несколько раз. Другие видеокарты Intel тоже выиграют от обновления.

Canon совершила революцию в мире полупроводников – что не так с технологией NIL-литографии Canon представила новое оборудование для производства полупроводников по 5-нм нормам

Опять этот разъем: CableMod отозвала адаптеры к 12VHPWR вновь из-за угрозы плавления Головная боль от новейших GPU Nvidia достигла очередной «точки кипения», когда CableMod объявила массовый отзыв своих 16-контактных адаптеров питания 12VHPWR из-за опасений перегрева и плавления. Поступают сообщения о черных экранах и 100-процентной скорости вращения вентиля...

В Украине стремительно увеличивается количество электрокаров – конфликт не мешает популярности Tesla По данным аналитиков, Украина уже сравнялась по процентному количеству автомобилей Tesla с США

Прибыль Euroclear резко выросла на российских активах на фоне юридических ограничений Процентные доходы международного депозитария Euroclear от замороженных активов РФ выросли в 5,5 раза.

Nintendo Switch 2 получит новый процессор На прошлой неделе в сети появилось множество новостей о том, что компания Nintendo готовится к релизу консоли Switch 2 — нового поколения портативного решения, которое будет обладать приличной производительностью для запуска современных видеоигр. Проблема в том, что на самом...

Прорыв в области твердотельных аккумуляторов ION: более 125 циклов, снижение емкости менее 5% Американская компания ION Storage Systems (ION) объявила о том, что ее твердотельные батареи Solid-State успешно прошли более 125 циклов с менее чем 5-процентной деградацией емкости, а в будущем их можно использовать более чем на 1000 циклов.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Qualcomm анонсирует Snapdragon X Elite Gen 2: новый уровень производительности для ноутбуков Qualcomm готовит к выпуску Snapdragon X Elite Gen 2 с улучшенным графическим процессором Adreno 830, обещая высокую производительность и энергоэффективность для ноутбуков

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Realme GT Neo6 SE показали на пресс-рендере Авторитетный информатор Digital Chat Station опубликовал первое пресс-изображение смартфона Realme GT Neo6 SE, официальный релиз которого состоится уже в следующем месяце. Отметим двухцветную тыльную панель с двумя модулями основной камеры и круглую двойную светодиодную вспы...

Новые MacBook Air с чипами M3 продемонстрировали значительный прирост производительности Ноутбуки уже засветились в базе синтетических тестов Geekbench.

Трассировку лучей в AMD RDNA4 называют совершенно новой разработкой, а не доработкой существующей Прирост производительности может быть многократным.

Sapeon анонсировала новый чип X330 для ускорения работы языковых моделей Южнокорейский стартап Sapeon анонсировал новый чип X330, который обещает 2-кратное увеличение производительности для языковых моделей по сравнению с конкурентами

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Аналитики ожидают первое снижение ставки ФРС в июне Экономист Citi Вероника Кларк и ИТ-директор Bleakley Advisory Group Питер Буквар оценили текущее состояние экономики, рыночные тенденции и мнение ФРС о процентных ставках в их недавнем разговоре на CNBC

Вышел новый релиз RuPost 2.6 с расширенным функционалом и повышенной производительностью В числе ключевых улучшений – добавление страницы мониторинга с визуализацией основных характеристик работы почтового сервера, повышение производительности системы, усовершенствование механизма логирования, упрощение работы со списками рассылок.

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Первые обзоры новейшей RTX 4070 Ti Super раскрыли минимальный прирост производительности Новейшая видеокарта RTX 4070 Ti Super от NVIDIA, которая выходит уже сегодня, 24 января, привлекла внимание благодаря своим характеристикам и производительности.

AMD совершит очередной «квантовый скачок»? Производительность ядра Zen 5 может быть более чем на 40% выше, чем у Zen 4 Процессоры AMD на архитектуре Zen 5, возможно, принесут не просто большой, а огромный прирост производительности относительно предшественников.  Известный инсайдер Kepler утверждает, что ядро Zen 5 более чем на 40% производительнее, чем Zen 4. Правда, тут не очень...

165-Гц монитор Cooler Master GP2711 оценен в $450 Компания Cooler Master представила игровой монитор GP2711, который основан на 27-дюймовой VA-панели с разрешением 2K. Новинка также характеризуется кадровой частотой 165 Гц, подсветкой Mini LED с 576 локальными зонами затемнения, 95-процентным охватом цветового пространства ...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Snapdragon 7 Plus Gen 3 может превратить средние смартфоны в премиальные Новый процессор Snapdragon 7 Plus Gen 3 несёт с собой значительный прирост производительности

Бюджетный Core i3-14100F практически не уступил народному Core i5-12400F в играх Новое поколение процессоров Intel демонстрирует приличный прирост производительности

Sony PlayStation 5 Pro обещает существенный прирост производительности Sony готовит к скорому выпуску новую игровую консоль PlayStation 5 Pro, и последние новости о ней воодушевляют.

PlayStation 5 Pro выйдет до конца 2024 года О консоли PlayStation 5 Pro в прошлом году было очень много информации — инсайдеры слили характеристики процессора, графического ускорителя и памяти, хотя, конечно, никакого подтверждения этой информации нет. Теперь же поставщики секретной информации заявили, что компания So...

BMW: продажи автомобилей с ДВС достигли пика, рост обеспечивают электромобили. Но механическая коробка передач пока остаётся в линейке Финансовый директор BMW Вальтер Мертл заявил о значительном сдвиге в динамике продаж компании, заявив, что продажи автомобилей с двигателями внутреннего сгорания достигли пика. Мертл подчеркнул, что электромобили сейчас обеспечивают большую часть роста продаж бренда. Ож...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

В России начали выпускать новые дизельные моторы: 6 цилиндров, 360 л.с. и ресурс 1 млн километров Ярославский моторный завод «Автодизель» запустил в серийное производство рядные шестицилиндровые моторы ЯМЗ-537. От предшественников серии ЯМЗ-536 они отличаются увеличенным с 6,65 л до 7,7 л объемом, повышенной до 360 л.с. мощностью и более высоким крутящим...

В AMD Zen 5 производительность инструкций AVX-512 может значительно вырасти Утечка данных об исполнительном механизме AMD Zen 5 свидетельствует о значительном повышении производительности для AVX-512

Apple упростит перенос данных с iPhone на Android Согласно новому закону о цифровых рынках, Apple обязана обеспечить лёгкий переход с iPhone на другие операционные системы

Intel Core i9-14900K демонстрирует огромный прирост в играх благодаря новому оптимизатору приложений Малообсуждаемая новая функция процессоров Intel 14-го поколения может значительно повысить производительность в играх.

Представлены телевизоры Redmi Smart TV 2025 Компания Xiaomi пополнила ассортимент телевизоров линейкой Redmi Smart TV 2025, в которую вошли модели с диагональю экрана 50, 55 и 65 дюймов. Новинки характеризуются панелями с разрешением 4K, кадровой частотой 120 Гц, максимальной яркостью 300 нит, 78-процентным покрытием ...

ChatGPT анонсирует новую функцию для повышения конфиденциальности пользователей ChatGPT анонсировал "Временный чат" - новую функцию, которая повышает конфиденциальность пользователей. В настоящее время эта функция доступна в веб-версии, а вскоре появится и в мобильных версиях.

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Google разработала новый специализированный процессор Axion на архитектуре Arm Первый ARM-чип от Google для центров обработки данных обещает прирост производительности на 30% по сравнению с ARM-чипами от Amazon и Microsoft.

Новый драйвер AMD обеспечивает генерацию кадров почти в любой игре с DirectX 11 и 12 Красная команда обещает колоссальный прирост производительности для владельцев новых видеокарт Radeon.

Отчет BlackBerry по глобальным угрозам показывает 70 % рост числа атак вредоносным ПО BlackBerry Limited опубликовала свой последний Ежеквартальный аналитический отчет по глобальным угрозам, который показывает 70-процентный рост числа атак новым вредоносным ПО, который обнаружили системы кибербезопасности BlackBerry на базе ИИ. При 26 кибератаках в минуту это...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Python, JavaScript или C++? Рассказываем, какие языки программирования изучать в 2024 году Высокие зарплаты, отсрочка от армии, ипотека с пониженной процентной ставкой — хорошие IT-специалисты востребованы на рынке и могут рассчитывать на льготы от государства. Для тех, кто тоже хочет стать айтишником, рассказываем, какие языки программирования популярны в 2024 г...

Компания NVIDIA анонсировала чип Blackwell Согласно пресс-релизу NVIDIA, новые чипы Blackwell предлагают значительное улучшение производительности и энергоэффективности. Чипы Blackwell в 7-30 раз быстрее предшественников H100 и потребляют в 25 раз меньше энергии. Это достижение стало возможным благодаря использованию...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

85-дюймовый телевизор Skyworth A4E оценен в 780 долларов Компания Skyworth пополнила ассортимент телевизоров серией Skyworth A4E, которая состоит из моделей с диагональю 55, 65, 75 и 85 дюймов. Телевизоры характеризуются панелями с подсветкой Mini LED, разрешением 4K, кадровой частотой 120 Гц, 130-процентным покрытием цветовой пал...

Процессор Apple M4 сделал iPad Pro 2024 мощнее новых макбуков. Насколько он круче других чипов от Apple? 7 мая компания Apple представила iPad Pro 2024, неожиданным компонентом которого стал новый процессор Apple M4. Внезапным это появление стало по двум причинам. Во-первых, предшествующий Apple M3 вышел меньше года назад. Во-вторых, процессоры M-серии, будучи самыми производи...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Mitsubishi и Nissan выпустят новые внедорожники Nissan и Mitsubishi расширяют свое партнерство с новыми моделями, включая новый внедорожник в кузове пикапа. Automotive News пишет, что в конечном итоге он может стать Nissan Frontier следующего поколения, но он также может вернуть в Штаты пикап под маркой Mitsubishi, в...

Рост цен на Solana шокирует инвесторов XRP Инвесторы XRP шокированы невероятным успехом платформы смарт-контрактов Solana. Токен SOL достиг 620-процентного роста за последние 12 месяцев.

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Samsung Galaxy S24 Ultra показал мощнейший прирост производительность Ждём!

[Перевод] Вам не нужен новый iPhone — вам просто нужен новый аккумулятор Знаете, как говорят: аккумуляторы для iPhone - это как упаковка ягод. Они портятся гораздо раньше, чем хотелось бы.Даже если никто так не говорит, то это правда. Срок службы литий-ионного аккумулятора вашего iPhone истекает, и, к сожалению, на нём не указан срок годности.Вме...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

Графические карты Moore Threads получили поддержку OpenGL 3.3 и прирост FPS до 80% Максимальный прирост производительности был достигнут в игре PUBG благодаря выходу новых драйверов с многочисленными оптимизациями

Лучшие умные весы 2024 года: хиты продаж Умные весы помогут не просто контролировать массу тела — они показывают процентное соотношение мышечной, костной и жировой ткани, количество воды в организме и другие данные. Это помогает тщательнее следить за здоровьем. Редакция ZOOM.CNews собрала наиболее популярные модели...

Новый процессор Apple M3 — реальный апгрейд или маркетинговый трюк? Сравнение с M2 и M1 Apple наконец провела свое очередное мероприятие, для которого было выбрано довольно непривычное время суток. Проснуться посреди ночи, живя в России, действительно стоило: купертиновцы показали не только компьютеры, но и линейку процессоров M3, состоящую из трех новых чипсе...

Intel 10A представят в 2028 году Сегодня компания Intel официально анонсировала передовой технологический процесс под названием 10A (на деле это 1 нм) — производитель планирует его внедрение примерно в 2028 году, но пока что это только предположение. Кроме того, стоит понимать, что значит, что компания Inte...

Wi-Fi 7 утвердят в начале 2024 года - он обеспечит почти 5-кратный прирост по сравнению с Wi-Fi 6 Появление совместимых устройств ожидается не раньше, чем через год.

Представлен ноутбук Acer Predator Triton Neo на Intel Core Ultra Компания Acer пополнила ассортимент геймерских ноутбуков моделью Predator Triton Neo 16, которая основана на новых процессорах Intel Core Ultra. Новинку также оснастили графическими адаптерами NVIDIA GeForce серии RTX 40 вплоть до GeForce RTX 4070, нейронным процессором (NPU...

Предложенные Трампом пошлины в 60% на импорт из Китая обрушат мировую экономику, считают эксперты Трамп угрожает ввести 60-процентные тарифы на весь китайский импорт - этот удар, не только уничтожит двусторонние торговые связи и приведет к резкому росту инфляции в США, но и обрушит мировую экономику, считают западные эксперты

Новые решения Supermicro повышают производительность 5G и облачных рабочих нагрузок   Компания Supermicro, Inc., поставляющая комплексные ИТ-решения для ИИ, облака, хранения данных и 5G/Edge, представила расширенный ассортимент специализированных инфраструктурных решений для повышения производительности и эффективности 5G и телекоммуникационных рабочих...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Новый драйвер Intel Arc GPU обещает огромный прирост производительности в играх DX11 и DX12 До 750% в одной игре DX11 и 53% в одной игре DX12.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Одно из самых бессмысленных смен поколений процессоров, и на сей раз у AMD. Представлены мобильные Ryzen 8000U/H/HS Компания AMD сегодня в рамках мероприятия Advance AI представит новые мобильные процессоры Ryzen 8000. Материалы с презентации уже попали в Сеть, так что новинки для нас полностью раскрыты.  Новое поколение называется Hawk Point и, к сожалению, оно почти ничем не ...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Seagate представила NVMe-накопители BarraCuda 530 нового поколения для игровых ПК Компания Seagate анонсировала выход SSD BarraCuda 530 на смену популярной модели 520. Новинка обещает значительный прирост скорости и надежности. В новой модели реализован более современный протокол NVMe 2.0

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Эти китайские процессоры смогут потягаться хотя бы с современными Core i3? Loongson представила CPU 3B6600 и 3B7000 Компания Loongson представила новые потребительские процессоры: 3B6600 и 3B7000. Это новое поколение, которое, к кроме прочего, приносит больше ядер.  Многих подробностей пока нет, так как анонс, видимо, предварительный. Но слайды с презентации позволяют понять, ч...

Подписчики YouTube TV получили эксклюзивную 25%-скидку на планшет Google Pixel YouTube TV предложил своим подписчикам 25-процентную скидку на планшет Pixel Tablet. Эта эксклюзивная привилегия для подписчиков следует за историей YouTube TV по предоставлению бесплатных Chromecast.

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

Xiaomi представила мини-ПК по цене смартфона Мини-ПК Xiaomi возвращается с обновлением к 2023 году. Новое устройство теперь комплектуется процессором Core i5-1340P, который, по заявлению Xiaomi, обеспечивает 10% прирост одноядерной производительности и 11% прирост многоядерной производительности по сравнению с предшест...

Moore Threads обновила драйвер для видеокарт S70 и S80 Прирост производительности составляет до 40%.

Qualcomm официально представила Snapdragon 7+ Gen 3 Компания Qualcomm сегодня анонсировала свой новый процессор под названием Snapdragon 7+ Gen 3, который относится к чипам среднего класса и обладает достаточно неплохим набором возможностей. Платформа является эволюцией Snapdragon 7 Gen 3 и предоставляет производительное ядро...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Нынешние монстры Nvidia H100 и H200 померкнут на его фоне. Компания дразнит мощью грядущего ускорителя B100 Компания Nvidia представила сегодня ускоритель H200, который впервые для такого решения получил память HBM3e. На фоне H100 новое решение в ряде задач может быть почти вдвое быстрее. Но и H200 померкнет на фоне B100, согласно обещаниям Nvidia.  Компания решила, что...

Представлен 65-дюймовый телевизор Infinix W1 QLED Компания Infinix пополнила ассортимент телевизоров серии Infinix W1 QLED двумя новыми моделями с диагональю экранов 55 и 65 дюймов. Они будут стоить 455 и 575 долларов соответственно. Ранее линейка состояла из моделей 32 и 43 дюйма, который оценены в 135 и 255 долларов соотв...

Бывший российский завод Bridgestone будет выпускать премиальные шины Бывший завод Bridgestone в Ульяновске перезапустит производство шин до конца 2024 года, о чем пишет «Автопоток» со ссылкой на Вадима Володина. Гендиректор компании «Кордиант» Вадим Володин заявил журналистам, что в 2025-м бывший завод Bridgestone...

Первый в мире монитор с частотой эффективной чёткости движения 2000 Гц. Asus ROG Swift Pro PG248QP поддерживает ULMB 2 Компания Asus раскрыла все характеристики своего ультимативного игрового монитора ROG Swift Pro PG248QP. Напомним, он выделяется кадровой частотой 540 Гц.  Оказалось, что этот монитор ещё интереснее, чем считалось ранее. Похоже, это первая модель, которая получила...

Обнаружено, что лечение женщиной-врачом повышает шансы на выживание В рамках недавнего исследования эксперты провели анализ случайной 20-процентной выборки из базы данных Medicare. Всего они рассмотрели карты более 458 тысяч женщин и более 318 тысяч мужчин. Все они с 2016 по 2019 год были в американских клиниках по медицинским показаниям. Пр...

Представлен 100-Гц монитор Xiaomi Monitor A24i Компания Xiaomi представила на международный рынок бюджетный монитор Xiaomi Monitor A24i, который основан на 23,8-дюймовой IPS-матрице с разрешением 1920:1080 пикселей (формат Full HD). Новинка также характеризуется кадровой частотой 100 Гц, временем отклика 6 мс, отображени...

LG переходит на OLED и прекращает производство ЖК-дисплеев В рамках стратегического решения, компания LG Electronics объявила о прекращении производства ЖК-дисплеев и полном переходе на технологию OLED.

Анонсирована камера DJI Osmo Pocket 3 с новым сенсором и гибким экраном Компания DJI, специализирующаяся на производстве дронов, анонсировала новую миниатюрную 4K-камеру Osmo Pocket 3

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Тесты чипа Apple M4 показали прирост на 22% в одноядерных и на 25% многоядерных задачах Компания Apple представила новый чип M4, основанный на собственном дизайне Apple Silicon, который обладает улучшенной производительностью и возможностями искусственного интеллекта

Tesla долго загрязняла воду в Германии, из-за чего её завод могут закрыть О возможной приостановке производства на заводе американского автопроизводителя Tesla из-за явного превышения допустимых норм загрязнения окружающей среды сообщает ТАСС со ссылкой на немецкий телеканал N-tv. Эта информация получена из письма Ассоциации водоснабжения Штр...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Раскрыты спецификации GPU NVIDIA GeForce RTX 4080 SUPER, 4070 Ti SUPER и 4070 SUPER Чем старше графический процессор, тем меньше прирост производительности

Модеры обновили память старой RTX 2080 с 8 до 16Gb Существенного прироста производительности это не дало.

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Nintendo Switch 2 получит производительность уровня PlayStation 4 Pro Сегодня появилась информация о том, что игровая приставка Nintendo Switch 2 в режиме подключения к док-станции, скорее всего, будет иметь производительность, сравнимую с производительностью PlayStation 4 Pro. По крайней мере, об этом уверенно говорит достаточно крупный канал...

Intel просто выпускает новый драйвер, а производительность её видеокарт Arc повышается вплоть до 268% Компания Intel в очередной раз выпустила драйвер, который снова очень существенно повышает производительность её видеокарт Arc в ряде игр.  На сей раз ПО позволяет повысить количество кадров в секунду более чем в 20 играх. Среди них есть Tekken 8, The Last of Us P...

Проверка компании Boeing выявила десятки проблем в производстве Boeing 737 Американское Федеральное управление гражданской авиации (ФАУ) провело проверку производственных линий Boeing, результаты которой выявили, что авиационный гигант мог сознательно игнорировать нормы безопасности производства и тем самым поставить под угрозу жизнь пассажиров.

Как сделать процессор Intel вдвое быстрее без повышения энергопотребления? Тесты показали преимущество AVX-512 у процессоров Xeon Emerald Rapids Процессоры Intel Xeon поколения Emerald Rapids, как оказалось, обеспечивают огромный прирост производительности при активации инструкций AVX-512, и при этом этот прирост почти бесплатный с точки зрения энергопотребления.  Авторы ресурса Phoronix протестировали фла...

110-дюймовый телевизор Hisense UX оценен в $1895 Компания Hisense пополнила ассортимент телевизоров флагманской линейкой Hisense UX, в которую вошли модели с диагоналями 98 и 110 дюймов. На родном для производителя китайском рынке новинки оценены в 47 тысяч и 100 тысяч юаней (6530 и 13895 долларов) соответственно. Тел...

Процессоры Intel Core 12-го, 13-го и 14-го поколения практически не отличаются, если приравнять их по основным параметрам Компания Intel недавно выпустила процессоры Core 14-го поколения в виде линейки Raptor Lake Refersh, которая от обычных Raptor Lake технологически ничем не отличается. В свою очередь, Raptor Lake являются лишь слегка улучшенными моделями Alder Lake с увеличенным количес...

Intel выпускает бета-драйвер с поддержкой новых игр и повышением производительности Оптимизации для Nightingale и Pacific Drive, улучшения для Assassin's Creed Odyssey, Fortnite и Remnant 2.

Micron Technology представила новую память LPCAMM2 Оперативная память LPDDR5X DRAM от Micron, встроенная в LPCAMM2, обеспечивает снижение энергопотребления на 61% и повышение производительности на 71%

Intel готовит для видеокарт Arc новый драйвер со значительным повышением производительности Может стать доступен уже сегодня.

Intel выпустила бета драйвер 31.0.101.5379 без WHQL с оптимизациями для новых и старых игр Значимое повышение производительности в ряде наименований.

Владелец команды и звездный игрок в Call of Duty подали в суд на Activision Call of Duty League столкнулась с серьезной юридической проблемой: основатель OpTic Gaming Гектор «H3CZ» Родригес и звездный игрок Сет «Скамп» Абнер подали иск на сумму в 680 миллионов долларов против Activision Blizzard. В иске утверждается, что контроль издателя над Лигой ...

Как защитить электрооборудование в доме от перепадов напряжения?  Падение или, наоборот, повышение напряжения выше нормы — обычно дело в небольших населенных пунктах и садовых товариществах. Как избежать выхода из строя электрооборудования и домашней техники при таких аномалиях?

Intel готовится к производству процессоров по 2-нм нормам – чипы на базе Intel 18A появятся в 2025г Глава Intel рассказал о планах компании на несколько лет

Intel выпустила драйверы с поддержкой графики Core Ultra и улучшениями для Battlefield 1 В Battlefield 1 (DX11) можно рассчитывать на прирост производительности до 30%.

Новая утечка указывает на значительный прирост производительности GPU в PlayStation 5 Pro Новая утечка содержит множество интересных подробностей о консоли Playstation 5 Pro от Sony. В утечке упоминается новая дата выхода, а также огромная разница в производительности консоли.

Новая утечка указывает на значительный прирост производительности GPU в PlayStation 5 Pro Новая утечка содержит множество интересных подробностей о консоли Playstation 5 Pro от Sony. В утечке упоминается новая дата выхода, а также огромная разница в производительности консоли.

Новый драйвер Intel для видеокарт Arc и Iris Xe повышает производительность в играх до 750% Правда такое увеличение достигается только в одной игре Halo: The Master Chief Collection, а в остальных прирост будет гораздо меньше

Сообщается, что Qualcomm запросила у Samsung и TSMC образцы 2-нм чипов Слухи предполагают, что Snapdragon 8 Gen 5 может использовать двойной литейный процесс, поскольку Qualcomm стремится получить заказы от Samsung и TSMC. Разработка прототипа может занять от 6 до 12 месяцев, и Qualcomm уже запросила 2-нм образцы для оценки. Производительность ...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Как выжать максимум из iGPU, доведя производительность почти до уровня GTX 1650 Laptop. Radeon 780M разогнали до 3,3 ГГц Разгон интегрированного графического ядра Radeon 780M до частоты 3,15 ГГц, о котором мы сегодня уже рассказывали, оказался не максимальным. Оверклокер SkatterBencher пошёл дальше и добился работы iGPU на частоте 3,3 ГГц, а заодно провёл тесты.  Начнём с того, что ...

Машины точно подорожают: после грандиозной забастовки Ford будет «тщательно думать, где выпускать автомобили» Увеличение заработной платы и льгот для сотрудников Ford приведет к увеличению цен на автомобили. Ford заявила, что автомобили будут стоить на 900 долларов больше из-за контракта, как только он вступит в силу. Как и остальная часть отрасли, автопроизводитель готовится к...

Представлен огромный телевизор Redmi MAX 100 2025 Компания Xiaomi объявила о выпуске нового поколения своего гигантского телевизора Redmi MAX 100, который уже доступен для предварительного заказа на домашнем для производителя китайском рынке по цене в 1245 долларов. Новинка оснащается 100-дюймовой матрицей с разрешением...

Ноутбук Lenovo Xiaoxin Pro 16 2024 вышел в версии с Ultra 9 185H Компания Lenovo дала старт продажам новой конфигурации ноутбука Xiaoxin Pro 16 2024, которая основана на 16-ядерном 22-поточном процессоре Intel Core Ultra 9 185H с тактовой частотой до 5,1 ГГц. Цена данной версии составляет 940 долларов. Ноутбук также характеризуется 32...

Snapdragon 7+ Gen 3 обеспечит производительность для устройств среднего класса Появились новые тесты, демонстрирующие производительность будущего чипсета Qualcomm, Snapdragon 7+ Gen 3.

NVIDIA выпускает HPC-модуль HGX H200 В ходе конференции SC23 компания NVIDIA представила новый вычислительный модуль HGX H200, ведущую вычислительную платформу ИИ. Этот модуль обещает заметный прирост в производительности генеративных ИИ благодаря новой архитектуре NVIDIA Hopper.

Первые обзоры RTX 4080 SUPER — та же производительность дешевле на 200 долларов Новинка показала минимальный прирост относительно оригинальной модели.

ЦП Intel Core Ultra получили значительный прирост производительности благодаря обновлениям BIOS Об этом сообщили участники Ultrabook Review в своей оценке ноутбука Meteor Lake - ASUS Zenbook 14 OLED UX3405 2024 года.

AMD Zen 5: новая утечка информации о мощных процессорах Утечка информации о поставках раскрыла секреты грядущих процессоров AMD, включая долгожданную архитектуру Zen 5. Эта утечка позволяет заглянуть в планы AMD по выпуску настольных и мобильных процессоров следующего поколения, намекая на значительный прирост производительности ...

Apple разрешила покупки за пределами App Store, но продолжит брать с разработчиков комиссию до 27%. Это новые правила для США Компания Apple вносит серьезные изменения в свою политику в отношении App Store в США: разработчики теперь могут направлять клиентов в другие магазины для покупки контента, за пределами App Store. Apple разрешает приложениям размещать внутри приложения единственную ссыл...

ASUS анонсировала новый игровой монитор ROG Swift OLED PG34WCDM Этот ультраширокий монитор обладает рядом инновационных функций, которые призваны обеспечить настоящее погружение в игровой мир

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Архитектура AMD Zen 5 получит поддержку новых наборов команд для повышения производительности ИИ Архитектура AMD Zen 5 будет поддерживать несколько новых наборов инструкций для дальнейшего повышения производительности ИИ

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Новая RTX 4060 8 ГБ против старой RX 6700 XT 12 ГБ: кто быстрее На YouTube-канале EDWARD Gaming эксперты выяснили, какую производительность могут обеспечить сегодня в играх RTX 4060 8 ГБ и RX 6700 XT 12 ГБ.

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Ноутбук Honor MagicBook Pro 16 получил 165-Гц экран Компания Honor представила ноутбук MagicBook Pro 16 2024, который позиционируется производителем первой моделью на рынке с искусственным интеллектом и поддержкой пространственного звучания (spatial audio). Новинку оснастили новейшими процессорами Intel Core Ultra 7, гра...

Представлен 180-Гц монитор Koorui X71Q Сетевые источники сообщают о выходе на китайский рынок очередного доступного монитора с приличными характеристиками. Новинка под названием Koorui X71Q оснащается 27-дюймовой IPS-матрицей с разрешением 2560:1440 пикселей, частотой обновления изображения 180 Гц, поддержкой тех...

Лазерный проектор Hisense Laser Cinema PX3-Pro оценен в $3500 Компания Hisense представила ультракороткофокусный лазерный проектор Laser Cinema PX3-Pro, который появится в продаже в третьем квартале года по цене в 3500 долларов. Новинка характеризуется лазерным элементом яркостью 3000 ANSI люмен, проекцией изображения до 150 дюймов, 11...

Ноутбук HP Star Book 15 появился в продаже Компания HP выпустила на китайский рынок ноутбук Star Book 15, который основан на чипах Intel Core Ultra. Версия с Core Ultra 5 125H, 16 ГБ оперативной памяти и твердотельным накопителем вместимостью 512 ГБ оценен в 620 долларов, с Core Ultra 5 125H, 32 ГБ ОЗУ и SSDна 512 ГБ...

Китайская компания SMIC скоро запустит производство 5-нм чипов. На этот раз по-настоящему Примерно месяц назад мы публиковали статью «Чуда не произошло: "китайский" 5-нм процессор Kirin 9006C выпускала фабрика TSMC». В ней говорилось о том, что на самом деле чип Kirin 9006C, который установила Huawei в своём ноутбуке, произведён не в Китае, его поставила в Поднеб...

Утечка раскрывает характеристики линейки процессоров для настольных ПК AMD Ryzen 8000G AM5 По прогнозам сайта, прирост производительности варьируется от 40% в Geekbench Compute до 200% в Doom Eternal по сравнению с Ryzen 5700G

Эксперты сравнили DDR4 и DDR5 в играх — разница составила всего 7% в пользу DDR5 Прирост производительности оказался незначительным

Эксперты сравнили DDR4 и DDR5 в играх — разница составила всего 7% в пользу DDR5 Прирост производительности оказался незначительным

Флагманская GeForce RTX 5090 выйдет в этом году, а остальные модели уже в следующем Новинка принесет с собой существенный прирост производительности

Intel выпустила графические драйверы Arc GPU Graphics Drivers 101.5074 Beta В версии 101.5074 Beta добавлена оптимизация для игры "Аватар: Границы Пандоры". Прирост производительности составляет до 8 %

«Честная ставка»: Chery раздаёт кредиты на все машины со ставками от 0,01% Chery в сотрудничестве с  «Открытие Авто» анонсировала запуск  кредитной программы под элегантным названием «Честная ставка», предоставляя низкие процентные ставки от 0,01%. Максимальный срок кредита, зависящий от величин...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Рост в 3 раза за пару лет: российские магазины утонули в поддельных отзывах Недавнее исследование показало, что россияне с головой погрузились в онлайн-отзывы. Они читают и пишут их, но доверие к этим мнениям резко падает. При 12-процентном росте числа тех, кто тщательно изучает отзывы перед покупкой (сейчас этот показатель составляет 83%), поворот ...

Представлены телевизоры Huawei Vision Smart Screen 4 Компания Huawei выпустила на китайский рынок линейку телевизоров Vision Smart Screen 4, в которую вошли модели с диагональю 65, 75 и 86 дюймов. Новинки оценены в 690, 900 и 1240 долларов соответственно. Новинки характеризуются разрешением 4K, кадровой частотой 120 Гц, 92...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Представлен ноутбук MSI Star Shadow 14 Air Компания MSI пополнила ассортимент ноутбуков моделью Star Shadow 14 Air, которую уже можно предзаказать в Китае. Новинку оснастили 14-дюймовым дисплеем с разрешением 1920:1200 пикселей, кадровой частотой 144 Гц и 100-процентным покрытием цветового пространства sRGB, восьмияд...

Представлен игровой ноутбук Mechrevo Questyle G16 Pro Компания Mechanical Revolution пополнила ассортимент недорогих геймерских ноутбуков моделью Questyle G16 Pro, которая основана на 14-ядерном 20-поточном процессоре Intel Core i7-13650HX с тактовой частотой до 4,9 ГГц. Новинка также характеризуется графическим адаптером NVIDI...

Ноутбук Redmi G Pro 2024 будет поддерживать 96 ГБ ОЗУ Компания Xiaomi раскрыла новые характеристики игрового ноутбука Redmi G Pro 2024, который будет официально представлен уже 4 марта. Итак, новинку оснастят двумя слотами SO-DIMM для оперативной памяти суммарным объемом 96 ГБ, двумя слотами M2 с максимальной вместимостью тверд...

Телевизоры Redmi MAX 100 2025 появились в продаже Компания Xiaomi выпустила в китайскую продажу телевизор Redmi MAX 100 2025, который был представлен полторы недели назад. Его цена составляет 9000 юаней или около 1265 долларов. Телевизор характеризуется 100-дюймовой панелью с разрешением 3840:2160 пикселей (формат 4K), час...

Эксперты считают, что переход на новые стандарты памяти будет доступен лишь после 2030 года Одной из ключевых преград, является дороговизна производства комплектующих нового типа

Google работает над переходом к полностью собственному производству чипов Tensor Google решила полностью перейти на собственное производство чипов Tensor, о чём говорят последние контракты компании с тайваньскими TSMC и King Yuan Electronics Corp. (KYEC).

Представлен недорогой игровой ноутбук Lenovo Legion Y7000P 2024 Lenovo объявила о выпуске игрового ноутбука Legion Y7000P 2024 — последнего дополнения к популярной игровой линейке Legion. Новый ноутбук оснащен процессором Intel Core i7−14700HX 14-го поколения, видеокартой NVIDIA GeForce RTX 4070 и новой системой охлаждения, которая, как ...

Snapdragon 8 Gen 3 оставляет Apple A17 Pro далеко позади. Новая платформа набирает в AnTuTu около 2 млн баллов Инсайдер Digital Chat Station поделился данными о производительности SoC Snapdragon 8 Gen 3.  создано DALL-E в Bing Согласно его данным, в AnTuTu (видимо, версии 10) новая платформа набирает около 2 млн баллов. Для сравнения, Snapdragon 8 Gen 2 набирает около 1,6 ...

Революционные аккумуляторы повысят привлекательность электромобилей даже в холодных регионах Твердотельные аккумуляторы Winter Pro от SK On и твердотельные аккумуляторы Samsung SDI — это прорыв в технологии электромобилей, обещающий повышение производительности и экологичности. Эти инновации решают такие проблемы, как производительность в холодную погоду и время зар...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

В России подешевели Chery Tiggo 7 Pro, Nissan X-Trail, Volvo XC90 и многие другие автомобили Auto.ru провел мониторинг и выяснил, какие автомобили подешевели в России в ноябре. Данные приводятся как по подержанным авто, так и по новым. Volvo XC90. Фото: Volvo Если говорить о рынке подержанных машин, то больше всего (в процентном выражении) стоимость снизилась ...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Представлен 240-Гц монитор HKC VG253KM Компания HKC пополнила ассортимент недорогих мониторов моделью HKC VG253KM, которая предназначена для геймеров. Новинка характеризуется 24,5-дюймовой матрицей Huaxing HVA с разрешением 1920:1080 пикселей (формат Full HD), кадровой частотой 240 Гц, временем отклика 1 мс (GTG)...

ЦИК заявил о 15-процентном браке в подписях за Бориса Надеждина ЦИК нашел в подписях Бориса Надеждина более 15% брака, что в разы выше допустимой нормы. В штабе кандидата претензии к подписям уже признали, добавив, что намерены бороться за их обеление. Специалисты телеграм-канала Мейстер отметили, что столь отвратительное качество сбора ...

Наглядный показатель мощи Snapdragon 8 Gen 3. Фотографии кристаллов Snapdragon 8 Gen 3, Gen 2 и Snapdragon 7 Gen 1 демонстрируют большую разницу между SoC В Сети появилась фотография кристаллов SoC Snapdragon 8 Gen 3, Gen 2 и Snapdragon 7 Gen 1. Это сравнительное фото, которое позволяет наглядно увидеть разницу между этими платформами.  Начать стоит с банального — размеров. Snapdragon 7 Gen 1 имеет площадь 77,...

Philips представила 48.8-дюймовый монитор для бизнесменов Philips представила новый монитор, предназначенный для бизнесменов, — 9B2U6900CH. Экран (32:9) с диагональю 48.8 дюйма и VA-панелью нацелен на повышение производительности в офисной среде.

Как вдохнуть в отношения новую жизнь с помощью плана повышения производительности Этот метод стал популярным в TikTok, хотя подходит далеко не всем.

Intel выпустила новый графический драйвер Arc Graphics 101.5234 WHQL Очередное обновление порадует владельцев видеокарт Arc, принесет значительное повышение производительности и ряд исправлений

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Представлена 100-Гц версия монитора Redmi Display A24 Компания Xiaomi пополнила ассортимент бюджетных мониторов новой версией модели Redmi Display A24, которая отличается частотой обновления изображения 100 Гц вместо 75 Гц. Оригинальная модель вышла в прошлом году по цене в 75 долларов, а 100-Гц оценена в Китае в 68 долларов. ...

Слухи об Nvidia Blackwell: MCM и прирост производительности 170% Сейчас в Сети начинают активно муссироваться слухи о будущей топово видеокарте NVIDIA, которая придёт на смену RTX 4090.

[Перевод] SwiftUI: Разбираемся с identity на примере переходов Концепция идентификации (identity) в SwiftUI не так проста, как могло бы показаться на первый взгляд. Один из лучших способов разобраться в ней — понять роль идентичности в переходах (transitions).В SwiftUI идентификация является ключом к пониманию работы системы рендеринга....

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Путин заявил о 40-процентной доле женщин среди руководителей в России Владимир Путин в своем видеообращении к участникам Всероссийского женского форума заявил, что 40% руководящих постов в России занимают женщины, «и они добиваются впечатляющих результатов». По его словам, женщины вносят «огромный вклад в достижение национальных целей развития...

75-дюймовый телевизор Hisense E8 оценен в $1370 Китайская компания Hisense представила линейку телевизоров Hisense E8, в которую вошли модели с диагональю экранов на 65 и 75 дюймов. Новинки оценены в 1100 долларов и 1370 долларов соответственно. Новинки характеризуются панелями ULED X с разрешением 4K, подсветкой Mini LED...

Представлен телевизор Xiaomi TV S85 Mini LED Компания Xiaomi пополнила ассортимент телевизоров моделью Xiaomi TV S85 Mini LED, которая основана на 85-дюймовой матрице с подсветкой Mini LED. Новинка характеризуется разрешением 3840:2160 пикселей, кадровой частотой 144 Гц, 95-процентным покрытием цветового пространства D...

Представлены 144-Гц телевизоры Hisense U8KL Компания Hisense пополнила ассортимент телевизоров линейкой U8KL, которая состоит из вариантов с диагональю 65, 75 и 85 дюймов. Новинки характеризуются панелями Mini LED с разрешением 4K, кадровой частотой 144 Гц, поддержкой технологии Dolby Vision IQ, 98-процентным охватом ...

65-дюймовый телевизор Hisense Vidda 65V1N-PRO оценен в $395 Компания Hisense пополнила ассортимент телевизоров моделью Vidda 65V1N-PRO, которая основана на 65-дюймовой матрице неназванного типа с разрешением 4K. Новинка также характеризуется частотой обновления изображения 120 Гц, 94-процентным покрытием цветового пространства DCI-P3...

Новое поколение процессоров AMD Zen 5 обещает революционный прирост производительности Предварительные данные указывают на то, что процессоры AMD следующего поколения на архитектуре Zen 5 могут предложить рекордный прирост в однопоточной производительности

Какие устройства Surface стоит ожидать этой весной? В ближайшие несколько недель Microsoft анонсирует несколько новых устройств Surface как для потребительского рынка, так и для бизнес-сегмента. Первая презентация состоится уже 21 марта 2024 года, но, похоже, на ней представят далеко не все готовящиеся новинки. В частности, ...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Corsair анонсирует выпуск компактного игрового ПК Corsair one i500, который состоится 6 мая Corsair утверждает, что новое поколение CORSAIR ONE обновит отраслевые стандарты и обеспечит более эффективное использование пространства.

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Ноутбук Acer Shadow Knight Qing Pro 2024 появился в продаже Компания Acer дала старт китайским продажам игрового ноутбука Shadow Knight Qing Pro 2024, который был представлен неделю назад. Конфигурация с процессором Intel Core i5-13500H и видеокартой NVIDIA GeForce RTX 4050 оценена в 845 долларов, с RTX 4060 – 955 долларов, а с чипом...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Пользователи Weibo проголосовали за то, чтобы Xiaomi 15 оказался дороже Xiaomi 14 Во время официальной презентации смартфонов Xiaomi 14 и Xiaomi 14 Pro глава компании Лей Цзунь (Lei Jun) сказал, что Xiaomi 14 – последний флагман компании с ценой 4000 юаней (545 долларов). Позже он повторил этот тезис на своей страничке в Weibo и запустил голосо...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

OPPO готовится к выпуску своего флагманского смартфона Find X7 Ultra Find X7 Ultra также будет оснащен новым процессором Qualcomm Snapdragon 8 Gen 3, который обеспечит высокую производительность и низкое энергопотребление.

Представлен ноутбук ASUS Daybreak Pro 14 2024 Компания ASUS пополнила ассортимент ноутбуков моделью Daybreak Pro 14 2024, которая основана на 14-ядерном 18-поточном чипе Intel Core Ultra 5 125H с тактовой частотой до 4,5 ГГц и встроенным графическим адаптером Arc Graphics (7-Cores). Новинка также характеризуется 32 ГБ о...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

1310 FPS в Counter-Strike 2. Система на базе разогнанного Core i9-14900K установила рекорд кадровой частоты в новейшем шутере В видеоиграх решающую роль играет производительность 3D-ускорителя, но и возможности CPU со счетов сбрасывать не нужно. Это доказал эксперимент по разгону новейшего Core i9-14900K, проведенный командой Team AU Overclocking при участии Intel. На мероприятии Intel Extrem...

Игровой ноутбук Acer Shadow Knight Qing Pro 2024 оценен от $835 Профильное издание ITHome сообщает, что в Китае в продажу поступил недорогой игровой ноутбук Acer Shadow Knight Qing Pro 2024. Новинка оснащается 12-ядерным процессором Intel Core i5-13500H или 10-ядерным Core i7-13620H, видеокартами NVIDIA GeForce RTX 4050 Laptop или GeForc...

Redmi Book Pro 14 2024 Компания Xiaomi пополнила ассортимент ноутбуков моделью Redmi Book Pro 14 2024, которая получила 14-дюймовый LCD-дисплей с разрешением 2,8K, кадровой частотой 120 Гц, максимальной яркостью 400 нит и 100-процентным охватом цветового пространства sRGB. Новинку также оснаст...

Переносной моноблок HP Envy Move оценен в $900 Компания HP пополнила ассортимент моноблочных ПК моделью Envy Move, которая может похвастаться ручкой для переноски, комплектной подставкой и карманом для клавиатуры. Новинка также характеризуется 10-ядерным процессором Intel Core i5-1335U или 6-ядерным Core i3-1315U, 2...

Microsoft намерена снизить зависимость от Nvidia в производстве ИИ-серверов Глава Microsoft Сатья Наделла обратился к гендиректору Fungible Inc., стартапу, который корпорация не так давно приобрела, с просьбой усилить производство сетевых карт для повышения эффективности серверного чипа Maia AI.

Процессоры AMD Strix Halo получат ядра Zen5 и графику RDNA3.5 Предполагается, что грядущие гибридные процессоры AMD получат значительный прирост производительности, а графическая производительность достигнет уровня мобильной карты GeForce RTX 4070

75-дюймовый телевизор Thunderbird Peng 6 оценен в $490 Компания Thunderbird пополнила ассортимент телевизоров линейкой Thunderbird Peng 6, в которую вошли модели с диагональю экранов 55, 65 и 75 дюймов. Новинки оценены в 280, 360 и 490 долларов соответственно. Телевизоры характеризуется разрешением 4K, кадровой частотой 120 ...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Да, тут 16 ГБ памяти, но это не нужно современным играм. Тесты показывают, что GeForce RTX 4070 Ti Super незначительно быстрее RTX 4070 Ti GeForce RTX 4070 Super оказалась существенно быстрее обычной RTX 4070, при этом цена осталась той же. Теперь же в Сети появились обзоры RTX 4070 Ti Super, у которой кроме большего (в сравнении с RTX 4070 Ti) количества ядер CUDA есть ещё и больше памяти с более широкой ...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

LG подписала крупнейшее соглашение в истории о поставке аккумуляторов для Toyota Toyota подписала соглашение с LG Energy Solution, по которому компания получит литий-ионные аккумуляторные модули для использования в будущих электромобилях, собираемых в США. Как пишет carscoops, эта сделка является крупнейшим соглашением о поставке аккумуляторов для м...

AMD Threadripper PRO 7995WX поставил новый рекорд производительности Время очередных рекордов — процессор AMD Threadripper PRO 7995WX в этот раз преодолел планку в 210 000 баллов в тесте Cinebench R23, установив совершенно новый рекорд в области решений для производительных рабочих станций. Стоит отметить, что процессоры серии Threadripper от...

Представлен смарт-монитор LG MyView 32SR70U Компания LG пополнила ассортимент смарт-мониторов моделями MyView 32SR70U и MyView 32SR83U, которые оценены в Южной Корее в 540 и 575 долларов соответственно. Новинки оснастили 32-дюймовой IPS-матрицей с разрешением 4K, 95-процентным охватом цветовой палитры DCI-P3, яркостью...

Представлены телевизоры Skyworth A5D Pro Компания Skyworth пополнила ассортимент телевизоров серией A5D Pro, которая состоит из моделей с диагональю экрана 55, 65, 75 и 85 дюймов. Новинки уже доступны для заказа в Китае по цене в 3000 юаней (415 долларов), 4400 юаней (610 долларов), 5800 юаней (805 долларов) и 7800...

Представлен 100-Гц монитор AOC Q27E12C Компания AOC пополнила ассортимент мониторов моделью AOC Q27E12C, которая основана на 27-дюймовой IPS-матрице с разрешением 2560:1440 пикселей. Новинка также характеризуется кадровой частотой 100 Гц, временем отклика от серого к серому 4 мс, контрастностью 1300:1, 99-процент...

Мощь Snapdragon 8 Gen 2 теперь доступна в нефлагманской SoC Dimensity 8300. MediaTek анонсировала самую мощную платформу в сегменте Компания Xiaomi сегодня номинально представила смартфон Redmi K70E, оснащённый SoC Dimensity 8300-Ultra, а MediaTek представила, собственно, саму Dimensity 8300, только обычную — без приставки Ultra.   Xiaomi уже похвасталась, что Dimensity 8300-Ultra будет ...

Средний прирост производительности Zen 5 составит более 40 % по сравнению с Zen 4 AMD выпустит процессоры Ryzen 9000 на базе архитектуры Zen 5 в период с апреля по июнь с потенциальным увеличением IPC до 40% по сравнению с Zen 4.

CD Projekt Red обсуждает переход на движок Unreal Engine 5 Польская студия CD Projekt RED в прошлом месяце анонсировала новую часть серии игр-бестселлеров The Witcher и рассказала, что игра будет построена на движке Unreal Engine 5.

Монитор Skyworth F27B23Q оценили в 105 долларов Компания Skyworth представила доступный монитор F27B23Q, который основан на 27-дюймовой матрице типа IPS с разрешением 2560:1440 точек (формат 2K). Новинка также характеризуется кадровой частотой 100 Гц, максимальной яркостью 300 нит, временем отклика 5 мс, 125-процентным по...

Ноутбук Acer Shadow Knight Dragon 2024 оценен в 875 долларов Компания Acer пополнила ассортимент ноутбуков моделью Shadow Knight Dragon 2024, которая оценена на дебютном китайском рынке в 875 долларов. Новинку оснастили 8-ядерным 16-поточным процессором AMD Ryzen 7 7840H с тактовой частотой до 5,1 ГГц, дискретным графическим адаптером...

Ноутбук Lenovo Legion R7000P на Ryzen 7 8845H оценили в $1165 Компания Lenovo представила геймерский ноутбук Lenovo Legion R7000P, который основан 8-ядерном 16-поточном процессоре AMD Ryzen 7 8845H с тактовой частотой до 5,1 ГГц. Новинка также характеризуется графическим адаптером NVIDIA GeForce RTX 4060 с 8 ГБ видеопамяти GDDR6, подде...

PlayStation 5 Pro получит GPU с архитектурой AMD RDNA3 с приростом производительности на 60% В сети появились новые сведения о грядущей игровой консоли PlayStation 5 Pro, известной под кодовым названием Project Trinity. Как сообщается, игровая консоль получит процессор AMD Zen 2 с максимальной динамической частотой до 4,4 ГГц. Чип включает 8 ядер CPU и GPU c 56…

Представлен игровой ноутбук Dell Game Box G15 Компания Dell пополнила ассортимент недорогих геймерских ноутбуков моделью Game Box G15, которая основана на 10-ядерном процессоре Intel Core i5-13450HX или 14-ядерном i7-13650HX, а также графическими адаптерами NVIDIA Geforce RTX 4050 или RTX 4060. Новинка также характе...

Представлен 180-Гц монитор Thunderobot Black Warrior ZQ27F180 Компания Thunderobot пополнила ассортимент геймерских мониторов моделью Black Warrior ZQ27F180, которая оценена на домашнем для производителя китайском рынке в 140 долларов. Новинка характеризуется 27-дюймовой матрицей IPS с разрешением 2560:1440 пикселей (формат 2K), кадров...

100-Гц монитор LG 25MS500 оценен в 90 долларов Компания LG пополнила ассортимент доступных мониторов моделью LG 25MS500, которая обойдется на дебютном китайском рынке всего в 629 юаней или около 90 долларов. Новинка характеризуется 25,5-дюймовой панелью IPS с разрешением 1920:1080 пикселей (формат Full HD), частотой обн...

141 ГБ памяти HBM3e с пропускной способностью 4,8 ТБ/с и до 90% быстрее, чем H100. Представлен ускоритель Nvidia H200 Ускоритель Nvidia H100, который является самым желанным продуктом для компаний, занимающихся современными генеративными моделями ИИ, теперь не самый производительный в мире. Сегодня Nvidia представила модель H200.  Правда, технически это не какая-то совсем уж нова...

Порог беспошлинного ввоза товаров для физлиц снизился до €200 С 1 апреля 2024 порог беспошлинного ввоза товаров для физических лиц снизился до €200 на территории Евразийского экономического союза после истечения срока действия решения о его повышении до €1 тыс. совета Евразийской экономической комиссии (ЕЭК). Как пишет Т...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Доля Windows 11 в Steam упала, но это ненадолго Новейшая операционная система компании Microsoft пользуется неплохой популярностью среди геймеров. Однако согласно свежей статистике, опубликованной Valve по платформе цифровой дистрибуции Steam, популярность Windows 11 снижается.  Сгенерировано нейросетью Midjour...

Обновление компиляторов GNU (GCC) указывает на новые инструкции и более крупные конвейеры в AMD Zen5 Эти изменения должны помочь в достижении целевых показателей повышения производительности на такт до 10…15%.

14,5-дюймовый IPS-экран, AMD Ryzen 7 8845HS и Nvidia RTX 4060 Laptop. Представлен игровой ноутбук Acer Nitro 14 Acer представила свой новый игровой ноутбук серии Nitro, оснащенный новейшим процессором серии Ryzen 8000. Acer Nitro 14 оснащен 14,5-дюймовым IPS-дисплеем с разрешением WQXGA (2560 x 1600), с частотой обновления 120 Гц, с соотношением сторон 16:10 и 100-процентным цвет...

180-Гц монитор KTC Q24T09 оценен в 110 долларов Компания KTC пополнила ассортимент бюджетных геймерских мониторов моделью KTC Q24T09, которая получила 23,8-дюймовую Fast IPS-панель с разрешением 2K. Новинка также характеризуется частотой обновления изображения 180 Гц, временем отклика от серого к серому 3 мс, глубиной цве...

Представлен 180-Гц монитор MSI MAG 27CQ6PF Компания MSI пополнила ассортимент игровых мониторов моделью MSI MAG 27CQ6PF, которая основана на 27-дюймовой изогнутой панели Rapid VA с разрешением 2560:1440 пикселей (формат WQHD). Новинка также характеризуется соотношением сторон 16:9, кадровой частотой 180 Гц, поддержко...

Представлен 144-Гц монитор BenQ Mobiuz EX321UX Компания BenQ представила геймерский монитор Mobiuz EX321UX, который основан на 31,5-дюймовой IPS-матрице с подсветкой Mini LED. Новинка характеризуется 1152 локальными зонами затемнения, разрешением 3840:2160 пикселей (4K Ultra HD), кадровой частотой 144 Гц, временем отклик...

Изогнутый монитор Hisense 34G6K Pro оценен в 205 долларов Компания Hisense выпустила на китайский рынок игровой монитор Hisense 34G6K Pro, который основан на 34-дюймовой изогнутой матрице VA с разрешением 3440:1440 точек (формат WQHD). Новинка также характеризуется радиусом кривизны 1000R, частотой обновления изображения 180 Гц, вр...

Представлен 100-Гц монитор Iiyama G-Master GB2745QSU-B1 Японский производитель Iiyama пополнил ассортимент мониторов моделью G-Master GB2745QSU-B1, которая основана на 27-дюймовой IPS-панели с разрешением 2560:1440 пикселей (формат WQHD). Новинка также характеризуется кадровой частотой 100 Гц, соотношением сторон 16:9, максимальн...

Китайская видеокарта теперь способна обойти даже GeForce GTX 1650. MTT S80 со свежим драйвером намного быстрее, чем раньше Китайская компания Moore Threads после выпуска своей видеокарты MTT S80 активно улучшала свой драйвер. И, как и в случае с видеокартами Intel Arc, это дало весьма заметные плоды. Новые тесты показывают, что S80 существенно быстрее, чем была на момент выхода.  Напо...

Sony выпустила обновление прошивки для PlayStation 5 Обновление прошивки для PS5 выпущено компанией Sony. Оно фокусируется на повышении безопасности, производительности и стабильности консоли без добавления новых функций.

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

85-дюймовый телевизор Samsung QLED 4K Q80D оценен в 3500 евро Компания Samsung выпустила в международную продажу телевизоры серии Samsung Q80D QLED 4K 2024 года, в которую вошли модели с диагональю 50, 55, 65, 75 и 85 дюймов. Новинки оценены в 1200, 1400, 2000, 2500 и 3500 евро соответственно. Новинки характеризуются 4K-экранами с...

Представлен телевизор Xiaomi TV S55 Mini LED Компания Xiaomi представила в Китае телевизоры Xiaomi TV S65 Mini LED и Xiaomi TV S55 Mini LED, которые уже доступны для покупки на местном для производителя рынке по цене в 390 и 485 долларов соответственно. Новинки характеризуются 55- и 65-дюймовыми панелями Mini LED ...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Всё, что известно о «супервидеокартах» Nvidia: линейка RTX 40 Super Приготовьтесь к еще более быстрому поколению RTX. Последние (и не только) утечки раскрыли серию Nvidia GeForce RTX 40 SUPER подробнее. Она обещает прирост производительности и памяти на каждом уровне.

Ноутбук Honor MagicBook X 16 2024 оценили в 63 тысячи рублей Компания Honor выпустила в российскую продажу ноутбук MagicBook X 16 2024, который оценен от 63 до 80 тысяч рублей в зависимости от конфигурации. Новинка характеризуется 8-ядерным 12-поточным процессором Intel Core i5-12450H, 8 ГБ или 16 ГБ оперативной памяти, твердотельным ...

Ноутбук Lenovo Xiaoxin Pro AI 16 2024 появился в продаже Компания Lenovo выпустила в китайскую продажу ноутбук Xiaoxin Pro AI 16 2024, который был представлен неделю назад. Конфигурация с 16 ГБ оперативной памяти оценена в 815 долларов, а с 32 ГБ ОЗУ – 845 долларов. Новинка оснащается 16-дюймовым экраном с разрешением 2500:160...

Представлен телевизор TCL 65T7K Mini LED Компания TCL пополнила ассортимент телевизоров моделью 65T7K Mini LED, которая основана на 65-дюймовой панели с подсветкой Mini LED. Новинка характеризуется 512 участками подсветки, разрешением 3840:2160 точек (формат 4K), частотой обновления изображения 144 Гц, динамической...

Представлен 280-Гц монитор HKC Ant Gaming ANT272VF PRO Компания HKC пополнила ассортимент геймерских мониторов моделью Ant Gaming ANT272VF PRO, которая оценена на местном для производителя китайском рынке в 230 долларов. Новинка характеризуется 27-дюймовой матрицей Fast IPS с разрешением 1920:1080 пикселей (формат Full HD), част...

Компания AMD раскрыла особенности своих новых процессоров Strix Point Новые продукты обеспечат в три раза более высокую производительность искусственного интеллекта по сравнению с процессорами Ryzen серии 7040

Intel выпустила новый драйвер для видеокарт Intel Arc и iGPU Обновление графического драйвера 31.0.101.5379 добавляет оптимизацию для Horizon Forbidden West и Dragon's Dogma 2 и обещает повышение производительности до 174% в некоторых играх DX11.

Телевизор Xiaomi TV S85 появился в продаже Компания Xiaomi выпустила в китайскую продажу представленный чуть больше недели назад телевизор Xiaomi TV S85 Mini LED. Рекомендованная цена новинки составляет 1100 долларов, но сейчас она доступна по акционной цене в 830 долларов. Напомним, что телевизор оснащается 85-...

Представлены телевизоры TCL V8H Pro Компания TCL пополнила ассортимент телевизоров серией TCL V8H Pro, в которую вошли модели с диагональю 55, 65 и 75 дюймов. Новинки оценены на местном для производителя китайском рынке в 290, 385 и 525 долларов соответственно. Новинки характеризуются панелями с разрешени...

Телевизор TCL C855 представлен на международном рынке Компания TCL представила телевизоры серии TCL C855, которая состоит из моделей с диагональю 65, 75, 85 и 98 дюймов. Новинки характеризуются панелями QD-Mini LED, зонами локального затемнения до 2304 у старшей модели, пиковой яркостью до 3500 нит, контрастностью 6000:1, 97-пр...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

AMD Zen 5 будут на 40% быстрее предшественника Согласно свежим отчётам архитектура AMD Zen 5 будет весьма производительной и сможет обеспечить прирост до 40%, по сравнению с нынешней архитектурой Zen 4.

Сборку с i3-12100F и RTX 3060 проверили в новых играх Эксперты YouTube-канала GAMING BENCH узнали, какую производительность в играх может обеспечить i3−12100F и RTX 3060.

AMD Radeon 780M разогнали на 60% Процессоры AMD Ryzen 8000G оснащены самым производительным в мире встроенным графическим процессором, но энтузиаст из интернета сделал этот чип на базе архитектуры RDNA 3 ещё мощнее с помощью ручного разгона, достигнув впечатляющего прироста производительности графики более ...

Российские инженеры анонсировали серийное производство сразу двух новых транспортных дронов В Севастополе научный мир встречает новаторский прорыв: ученые СевГУ объявили о планах по созданию и последующему серийному производству двух уникальных транспортных беспилотных летательных аппаратов (БПЛА).

Сотрудники Apple могут сэкономить на покупке гарнитуры Vision Pro почти 1000 долларов Гарнитура Apple Vision Pro стоит немало, но, как оказалось, сотрудники компании могут получить устройство существенно дешевле.  Если точнее, речь идёт о скидке в 25%, то есть заплатить надо будет около 2624 долларов. Конечно, без учёта налогов.   По данным Bl...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Представлен 85-дюймовый Thunderbird Crane 6 Pro 85 Вслед за 55, 65 и 75-дюймовыми версиями компания TCL представила 85-дюймовый телевизор Thunderbird Crane 6 Pro 85. Он уже доступен для предзаказа на китайском рынке по цене в 1035 долларов. Новинка характеризуется 85-дюймовой матрицей с 800-зонной подсветкой Mini LED, разреш...

Телевизоры Hisense E8N Pro появились в продаже Компания Hisense дала старт китайским продажам телевизоров E8N Pro, которые были представлены пару недель назад. Новинки оснащаются матрицами с подсветкой Mini LED и технологией ULED X, разрешением 4K, частотой обновления изображения до 288 Гц, 95-процентным охватом цветовой...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Сможет ли старый игровой Ryzen 5 5600X3D одолеть новый универсальный Ryzen 5 7600X в современных играх В одном из выпусков на YpuTube-канале TheSpyHood эксперты выяснили, какую производительность способны обеспечить в 1080p и 1440p процессоры Ryzen 5 5600X3D и Ryzen 5 7600X.

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Кроссоверы Jetour начнут выпускать в Калининграде. Первая модель — Jetour Dashing Drom.ru раскрыл подробности запуска производства кроссоверов Jetour в России. По словам заместителя генерального директора «Джетур Мотор Рус» Михаила Роткина, выпуском автомобилей китайского бренда займется калининградский «Автотор» — он же...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

AMD анонсировала Zen 4 процессоры Ryzen 8040 Hawk Point и семейство нового поколения Strix Point В Hawk Point значительно повышена производительность нейронного сопроцессора XDNA.

Arm представила чипы Neoverse N3 и V3 следующего поколения с огромным повышением производительности ИИ

Представлен ноутбук ASUS ZenBook 15 2023 на Ryzen R7 7735U Компания ASUS пополнила ассортимент ноутбуков моделью ZenBook 15 2023, которая оценена в Китае в 985 долларов и 1030 долларов за конфигурации с 16 ГБ и 32 ГБ оперативной памяти соответственно. Новинка характеризуется 8-ядерным процессором AMD Ryzen R7 7735U с тактовой ч...

Представлен ноутбук Lenovo ThinkPad S2 2024 Компания Lenovo пополнила ассортимент ноутбуков моделью ThinkPad S2 2024, основанной на процессорах Intel Core Ultra. Новинка характеризуется чипами Core Ultra 5 125U и Ultra 7 155U, 16 ГБ оперативной памяти стандарта LPDDR5, твердотельным накопителем M.2 2280 PCIe 4.0 вмест...

Представлен легкий ноутбук Lenovo ThinkPad X13 2024 Компания Lenovo пополнила ассортимент легких ноутбуков моделью ThinkPad X13 2024, которая получила корпус толщиной 15,9 мм и массой 1,26 кг. Новинка характеризуется 13,3-дюймовым экраном IPS с разрешением 1920:1200, пиковой яркостью 300 нит и 100-процентным покрытием цветово...

Представлен телевизор Xiaomi TV S75 Mini LED Компания Xiaomi недавно выпустила телевизоры S55 Mini LED и S65 Mini LED, а сегодня была представлена модель Xiaomi TV S75 Mini LED. Новинка характеризуется 75-дюймовой панелью с разрешением 4K, кадровой частотой 144 Гц, пиковой яркостью 1200 нит, 94-процентным покрытием цве...

Прибыль в мировой промышленности рухнула из-за Китая В июле-сентябре текущего года чистая прибыль ведущих мировых промышленных компаний уменьшилась на 9% по сравнению с аналогичным периодом прошлого года, в основном из-за замедления темпов роста в Китае. Это уже четвертый квартал подряд с сокращением прибылей, о чем пишет...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Лазерный телевизор Hisense Starlight S1 оценен в $2780 Компания Hisense пополнила ассортимент лазерных телевизоров моделью Hisense Starlight S1, которая уже доступна для покупки на местном для производителя китайском рынке по цене в 2780 долларов. Новинка характеризуется 100-дюймовой панелью со складной конструкцией, что способс...

Представлен 360-Гц монитор Samsung G60SD Компания Samsung пополнила ассортимент геймерских мониторов моделью G60SD, которая основана на 27-дюймовой матрице QD-OLED с разрешением 2560:1440 пикселей (формат 2K). Новинка также характеризуется кадровой частотой 360 Гц, яркостью 250 нит, временем отклика 0,03 мс, 99-про...

Представлены телевизоры Huawei Vision Smart Screen 4 SE Компания Huawei пополнила ассортимент телевизоров серией Vision Smart Screen 4 SE, в которую вошли модели с диагональю 55, 65 и 75 дюймов. Новинки характеризуются разрешением 4K, кадровой частотой 120 Гц, возможностью отображения 1,07 миллиарда цветовых оттенков, 100-процент...

Внешний монитор Minisforum MSS-A156 оценили в $190 Компания Minisforum, известна в первую очередь как производитель компактных ПК, представила сегодня портативный монитор MSS-A156. Новинка основана на 15,6-дюймовой сенсорной панели IPS с разрешением 2560:1440 пикселей (формат 2K), частотой обновления 144 Гц, максимальной ярк...

AMD умудрилась нарастить поставки видеокарт на 117% от откусить у Nvidia значительную долю рынка. Опубликована статистика за прошлый квартал Аналитики Jon Peddie Research оценили рынок дискретных видеокарт в прошлом квартале.  Согласно данным источника, начиная с 2000 года в общей сложности в мире было реализовано 2,3 млрд дискретных видеокарт на сумму около 482 млрд долларов.  В прошлом квартале...

Настоящий зверь: раскрыт игровой ноутбук Asus ROG Zephyrus с Core Ultra 9 185H и RTX 4090 Долгожданный ноутбук Asus ROG Zephyrus F16 2024 «раскрыл» свои возможности. Он может похвастаться Ultra 9 185H в сочетании с RTX 4090. Утечка информации от инсайдера momomo_us обещает значительный прирост производительности по сравнению с предшественником.

Представлен 75-Гц монитор ViewSonic VX2462-H-3 Компания ViewSonic пополнила ассортимент доступных мониторов моделью VX2462-H-3, которая основана на 23,8-дюймовой IPS-панели с разрешением 1920:1080 пикселей. Новинка также характеризуется частотой обновления 75 Гц, глубиной цвета 8 бит, 97-процентным охватом цветовой палит...

180-герцевый 2K-монитор с IPS всего за 125 долларов. Представлен Koorui X71Q Компания Koorui представила очень дешёвый геймерский монитор с неплохими параметрами.   Модель X71Q стоит всего 125 долларов, при этом предлагая то, что обычно есть в мониторах вдвое дороже. В частности, 27-дюймовую панель IPS с разрешением 2560 х 1440 пикселей, д...

ГК Softline осуществила миграцию на отечественную ITSM-систему SimpleOne ГК Softline осуществила переход на российскую ITSM-систему SimpleOne, что позволило обеспечить высокую эффективность бизнес-процессов, расширить автоматизацию и повысить удобство пользования для сотрудников и клиентов.

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Стандарт Wi-Fi 7 официально сертифицирован Организация Wi-Fi Alliance, как и ожидалось, официально сертифицировала новый беспроводной стандарт Wi-Fi 7 (IEEE 802.11be) и опубликовала его спецификации. Wi-Fi 7 поддерживает технологию Multi-Link Operation (MLO), которая позволяет устройствам передавать и принимать ...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Seagate анонсировала повышение цен на жесткие диски Ранее на подобный шаг пошла Western Digital

Представлен 85-дюймовый телевизор Skyworth Max85 2024 Компания Skyworth пополнила ассортимент телевизоров моделью Max85 2024, которая основана на 85-дюймовой матрице с разрешением 3840:2160 точек (формат 4K). Новинка также характеризуется частотой обновления 144 Гц, максимальной яркостью 1000 нит, глубиной цвета 10 бит, 96-проц...

Представлен ноутбук Lenovo Yoga Pro 16s 2024 Extreme Edition Компания Lenovo выпустила в Китае ноутбук Yoga Pro 16s 2024 Extreme Edition, который может похвастаться мощной начинкой. Новинку оснастили 16-ядерными процессорами Intel Core Ultra 7 155H и Ultra 9 185H, 16 ГБ, 32 ГБ или 64 ГБ оперативной памяти LPDDR5Х-7467, графическими ад...

Ноутбук Thunderobot T-BOOK 16D на Core i7-13620H оценен в $550 Компания Thunderobot пополнила ассортимент ноутбуков моделью T-BOOK 16D, основанной на 10-ядерном 16-поточном чипе Intel Core i7-13620H с тактовой частотой до 4,9 ГГц и графическим адаптером Iris Xe Core. Новинка также характеризуется 16-дюймовый экраном с разрешением 2560:1...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

В погоне за самодостаточностью в полупроводниковой промышленности Китай столкнулся с огромными убытками Ведущий китайский специалист в области полупроводниковой промышленности заявил, что китайская индустрия проектирования микросхем в этом году столкнулась с огромными убытками на фоне чрезмерной конкуренции и наплыва в этот сектор из-за стремления Пекина к большей самодос...

Новый Haval Jolion стал более российским — теперь в нем больше деталей отечественного производства Как сообщает «Коммерсант», российский завод Haval смог нарастить локализацию популярного кроссовера Jolion: в сравнении с декабрем 2022 года он прибавил 330 баллов — в итоге сейчас локализация Jolion оценивается в 1630 баллов. Изображение: Haval Основ...

Представлен 240-Гц OLED-монитор LG 27GS95QE Компания LG пополнила ассортимент геймерских мониторов моделью LG27GS95QE, которая оценена на дебютном китайском рынке в 850 долларов. Новинка основана на 26,5-дюймовой OLED-панели с разрешением 2560:1440 пикселей (формат QHD), кадровой частотой 240 Гц, временем отклика от с...

Привычки для повышения производительности и качества жизни Каждый день мы сталкиваемся с множеством задач. Не секрет, что на пути к цели существуют препятствия, блокирующие наши ресурсы. Положительные привычки могут стать для нас мощным инструментом для повышения продуктивности и качества жизни. Он...

170-Гц монитор Titan Army P2510R оценен в 110 долларов Китайские производители сообщают о выходе на китайский рынок бюджетного геймерского монитора Titan Army P2510R, который основан на 24,5-дюймовой матрице Fast IPS с разрешением 2560:1440 пикселей (формат 2K). Новинка также характеризуется кадровой частотой 170 Гц, временем от...

Представлен 115-дюймовый телевизор TCL X955 Max Компания TCL в рамках проходящей сейчас в Берлине выставки KOOP 2024 представила огромный телевизор TCL X955 Max. Новинка характеризуется 115-дюймовой матрицей со светодиодной подсветкой QD-Mini LED шестого поколения с 20000 зонами затемнения, яркостью в режиме HDR 5000 нит,...

Представлен 480-Гц монитор LG UltraGear 32GS95UE Компания LG пополнила ассортимент мониторов уникальной моделью UltraGear 32GS95UE, которая может работать в двух режимах. Речь идет о технологии Dual-Hz. Местная 32-дюймовая панель способна переключаться между режимами Full HD с частотой обновления 480 Гц на 4K UHD с кадрово...

Представлен 2K-монитор MSI PRO MP275Q Компания MSI пополнила ассортимент бизнес-мониторов моделью MSI PRO MP275Q, которая основана на 27-дюймовой IPS-панели с разрешением 2560:1440 точек (формат 2K). Новинка также характеризуется кадровой частотой 100 Гц, максимальной яркостью 350 нит, временем отклика от серого...

Представлен 165-Гц монитор ViewSonic Optiquest Компания ViewSonic пополнила ассортимент игровых мониторов моделью Optiquest, которая получила 31,5-дюймовую изогнутую панель Fast VA с разрешением 2560:1440 (формат 2K). Новинка также характеризуется кадровой частотой 165 Гц, временем отклика 1 мс, радиусом кривизны 1500R, ...

Представлен 49-дюймовый монитор Gigabyte Aorus CO49DQ Компания Gigabyte пополнила ассортимент геймерских мониторов моделью Aorus CO49DQ, которая основана на 49-дюймовой QD-OLED панели с радиусом кривизны 1800R и разрешением 5120:1440 пикселей (формат DQHD). Новинка также характеризуется частотой обновления 144 Гц, контрастность...

100-дюймовый телевизор TCL Thunderbird 100MAX оценен в $1520 Компания TCL пополнила ассортимент телевизоров огромной моделью Thunderbird 100MAX 2024, которая основана на 100-дюймовой панели с разрешением 3840:2160 пикселей. Новинка также характеризуется кадровой частотой 144 Гц, 540 участками подсветки, максимальной яркостью 1200 кд/к...

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

Тесты показали прирост производительности при использовании внешней видеокарты через монитор Оказалось, что при выводе изображения на внутренний экран ноутбука, пользователь не получает всех возможностей графического ускорителя, подключенного через адаптер eGPU Oculink

Intel заявила, что совокупная производительность процессоров Lunar Lake в ИИ превысит 100 TOPS 45 TOPS обеспечит встроенный NPU.

GAC хочет выпускать машины в России. Что мешает? Популярный китайский производитель GAC с осторожностью говорит о возможности появления в России завода по выпуску соответствующих автомобилей. Постоянное повышение утилизационного сбора на автомобили, которые ввозятся в Россию, заставляет GAC обсуждать возможность локал...

Монитор BenQ PD3225U Pro-Designer оценен в 1200 долларов Компания BenQ пополнила ассортимент мониторов моделью PD3225U Pro-Designer, которая предназначена для профессиональных создателей контента. Новинка характеризуется 32-дюймовой панелью IPS Black с разрешением 4K UHD (4K), 98-процентным покрытием цветовой палитры DCI/P3, точно...

СВО на Украине привела к переходу на тепловые насосы и снижению потребления газа в Европе Сокращение поставок из России привело к повышению эффективности и переходу на тепловые насосы

Представлен 180-Гц монитор ViewSonic VX2757-2K-PRO Компания ViewSonic пополнила ассортимент доступных геймерских мониторов моделью VX2757-2K-PRO, которая получила 27-дюймовую Fast IPS-панель с разрешением 2560:1440 пикселей (формат 2K). Новинка характеризуется углом обзора 178°, кадровой частотой 180 Гц, временем отклика от ...

Разгон SSD можно сделать, причем с серьезным приростом производительности Студент по имени Габриэль Ферразу провел эксперимент по разгону твердотельного накопителя SSD

Представлен ноутбук Lenovo Legion Y9000K 2024 с Core i9-14900HX Компания Lenovo представила геймерский ноутбук Legion Y9000K 2024, который появится в китайской продаже уже 28 февраля по цене в 4170 долларов. Новинку оснастили 24-ядерным процессором Intel Core i9-14900HX с тактовой частотой до 5,8 ГГц, дискретной видеокартой NVIDIA GeForc...

[Перевод] В каких аспектах улучшилась производительность в ASP NET Core 8 ASP.NET Core 8 и .NET 8 обогатились многочисленными потрясающими нововведениями, благодаря которым повышается производительность. В этом посте мы подчеркнём некоторые улучшения, сделанные в ASP.NET Core, и покажем, как с их помощью можно нарастить скорость и эффективность ра...

Игроки Dragons Dogma 2 устраняют NPC в игре для повышения производительности В мире современных видеоигр, где каждая деталь может повлиять на впечатления игроков, новый релиз Dragon’s Dogma 2 от Capcom привлек внимание не только своим захватывающим контентом, но и техническими сложностями, с которыми столкнулись пользователи.

Монитор LG UltraGear OLED 45GS95QE обойдется в 1700 долларов Компания LG объявила цену представленного в конце прошлого года монитора UltraGear OLED 45GS95QE. Новинка оценена в 1700 долларов, что на 300 долларов больше модели UltraGear OLED 45GR95QE. Новинка характеризуется 44,5-дюймовой OLED-панелью с кривизной 0,8 м, разрешением...

Нет денег на новую карту RTX 40? Генерация кадров появилась на старых GPU Nvidia Новый мод «DLSSG to FSR3» переносит технологию генерации кадров, ранее появившуюся в новейших GPU серии RTX 40, на старые карты Nvidia серий RTX 20 и 30. Хотя это и не идеальный вариант, он обеспечивает значительный прирост производительности и более плавный игровой процесс ...

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Новый бюджетный процессор AMD будет ограничивать возможности SSD и GPU Компания AMD анонсировала новые доступные процессоры, однако невысокая цена привела к ряду ограничений, которые скажутся на общей производительности компьютеров.

Представлен монитор LG UltraFine 32UQ850V-W Компания LG пополнила ассортимент профессиональных мониторов UltraFine моделями 32UQ850V-W и 27UQ850V-W, которые основаны на 32- и 27-дюймовых панелях IPS Black с разрешением 3840:2160 пикселей. Новинки также характеризуются контрастностью 2000:1, пиковой яркостью 400 кд/м2,...

Представлен 280-Гц монитор Hisense 27G6K Компания Hisense пополнила ассортимент геймерских мониторов моделью Hisense 27G6K, которая основана на 27-дюймовой Fast IPS-матрице с разрешением 2K. Новинка также характеризуется кадровой частотой 240 Гц, временем отклика от серого к серому 1 мс, типичной яркостью 280 нит, ...

Intel заявила о значительном повышении производительности в новой версии апскейлинга XeSS 1.3 Intel обещает значительное увеличение частоты кадров благодаря своей технологии масштабирования и не собирается останавливаться на достигнутом.

AMD выпустила драйвер Adrenalin 24.4.1 с поддержкой игры Manor Lords Среди исправлений и улучшений повышение производительности в Helldivers 2.

16 дюймов, 2,5K, Intel Core Ultra 7 155H, Arc Core, 32 ГБ/1 ТБ при массе 1,5 кг. Ноутбук MSI Monarch 16 поступил в продажу в Китае Флагманский ноутбук MSI Monarch 16 поступил в продажу на площадке JD.com, где он предлагается по цене около 1500 долларов. Этот ноутбук изготовлен из магниево-алюминиевого сплава, он весит 1,5 кг и имеет толщину 16,85 мм. Мобильный ПК получил 16-дюймовую IPS-панель с р...

Представлен 98-дюймовый телевизор Hisense U7N Компания Hisense пополнила ассортимент телевизоров линейкой U7N, в которую вошли модели с диагональю 65, 75, 85 и 98 дюймов. На местном для производителя китайском рынке новинки оценены в 1250, 1805, 2360 и 3890 долларов соответственно. Телевизоры характеризуются панелям...

55-дюймовый телевизор TCL C755 оценен в 72 тысячи рублей Компания TCL выпустила на российский рынок телевизоры серии C755, в которую вошли модели с диагональю 55, 65 и 75 дюймов. Новинки характеризуются панелями QD-Mini LED c разрешением 4K, 500 зонами подсветки, кадровой частотой 144 Гц (VRR), отображением 1 миллиарда цветовых от...

Представлен 100-Гц изогнутый монитор LG 34WR55QC-B Компания LG пополнила ассортимент широкоформатных мониторов моделью LG 34WR55QC-B, которая получила изогнутую 34-дюймовую VA-матрицу с разрешением 3440:1440 пикселей. Новинка также характеризуется соотношением сторон 21:9, радиусом кривизны 1800R, контрастностью 3000:1, кадр...

Intel просто выпустила новый драйвер, и производительность видеокарт Arc в Starfield выросла на величину до 149% Компания Intel выпустила очередной драйвер для своих видеокарт. И кроме того, что в нём появилась поддержка пока ещё не вышедшей Arc A580, драйвер очень сильно увеличивает производительность адаптеров Intel в новой игре Starfield.  При использовании DirectX 12 в F...

Безопасность — это процесс, а не результат Типичный ИБ-инцидент, ломающий корпоративную защиту, зачастую выглядит очень по-бытовому. Конструктор решил взять работу на дом и скидывает на флешку всю необходимую ему для этого документацию. Дома он вставляет ее в компьютер, на который ранее скачал кучу торрентов, не п...

Dell выпустила в продажу 360-Гц монитор Alienware AW2725DF Компания Dell дала старт китайским продажам геймерского монитора Alienware AW2725DF, который был представлен на минувшей выставке CES 2024 года. Новинка стоит 1110 долларов. Монитор характеризуется 27-дюймовой панелью QD-OLED с кадровой частотой обновления 360 Гц, разрешени...

Представлен изогнутый монитор Gigabyte MO34WQC2 Компания Gigabyte пополнила ассортимент геймерских мониторов моделью MO34WQC2, которая основана на 34-дюймовой изогнутой матрице QD-OLED с разрешением 3440:1440 пикселей (формат WQHD). Новинка также характеризуется соотношением сторон 21:9, кадровой частотой 240 Гц, максимал...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

MediaTek выпускает первый в мире мобильный 3-нм процессор В деталях графического процессора Dimensity 9400 также упоминается 20% прирост производительности и эффективности, а больший размер матрицы приведет к увеличению стоимости.

В России создали систему контроля безопасности на производстве В пресс-службе Новосибирского государственного технического университета (НГТУ) сообщили, что ученые вуза создали прототип системы, которая с помощью компьютерного зрения будет следить за соблюдением норм безопасности на производстве.

98-дюймовый дисплей Samsung QE98C появился в продаже Компания Samsung выпустила в продажу профессиональный экран Samsung QE98C, который ориентирован на коммерческое использование. Новинка характеризуется 98-дюймовой панелью VA с разрешением 4K, яркостью 450 нит, контрастностью 5000:1, временем отклика 8 мс, 93-процентным охват...

AMD анонсировала новые профессиональные процессоры Ryzen PRO 8000 с искусственным интеллектом AMD представила профессиональные процессоры Ryzen PRO с интегрированными технологиями ИИ, обеспечивающими беспрецедентную производительность рабочих нагрузок.

Флагманские телевизоры TCL Q10K Pro появились в продаже Компания TCL дала старт китайским продажам выпущенных больше недели назад флагманских телевизоров Q10K Pro. Новинки оценены в 1125, 1550, 2110 и 3380 долларов за модели с диагональю экрана 65, 75, 85 и 98 дюймов соответственно. Напомним, что телевизоры оснащаются панеля...

Телевизор TCL C12H оценили в 4700 долларов Компания TCL пополнила ассортимент флагманских телевизоров серией C12H, в которую вошли модели с диагональю 75, 85 и 95-дюймов. На дебютном китайском рынке новинки оценены в 2075, 3045 и 4700 долларов соответственно. Телевизоры характеризуются матрицами QD-Mini LED с 2160 зо...

Массовый переход на электромобили должен был решить экологические проблемы — но создал новые Почему Норвегия, пионер по переходу на электрокары, теперь пытается сократить их количество.

Бизнес-ноутбук Maibenben P625: устройство «средней тяжести» с высокой автономностью Отличительными особенностями Maibenben P625 являются металлический корпус, 16-дюймовый экран с высоким разрешением, более удобным для работы соотношением сторон 16:10 и 106-процентным охватом цветового пространства sRGB (шире стандарта), а также набор из пяти портов USB 3.2 ...

Ноутбук Gamer Ares Creator получил два экрана В Китае выпустили необычный ноутбук Gamer Ares Creator, отличительной особенностью которого стал дополнительный 7-дюймовый сенсорный дисплей с разрешением 1280:800 пикселей и поддержкой сенсорного ввода с распознаванием прикосновений в 10 точках одновременно. Ноутбук т...

Мощность Nvidia RTX 5090 может стать выше на 70-80% в сравнении с RTX 4090 Nvidia собирается «выпустить на волю зверя». GeForce RTX 5090, который должен появиться на рынке в 4 квартале 2024 года, согласно источникам, обещает потрясающий прирост производительности на 70−80% по сравнению с RTX 4090.

Будущий Dimensity 9400 от MediaTek будет оснащен графическим процессором ARM Immortalis-G920 Первоначальные тесты предполагают небольшой прирост производительности графического процессора, но при этом результаты Geekbench намекают на значительное улучшение вычислительной мощности.

Представлен 110-дюймовый 240-Гц телевизор Hisense TV UX Компания Hisense представила в Китае один из самых технологичных телевизоров на рынке, который получил название Hisense TV UX. Новинка характеризуется 110-дюймовой панелью Mini-LED с разрешением 8К, 40000 зонами подсветки, максимальной яркостью в режиме XDR Pro 10000 кд/кв.м...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Представлен монитор Minisforum UM690 Pro Компания ASUS пополнила ассортимент профессиональных мониторов моделью ProArt Display PA32KCX, которая основана на 32-дюймовой матрице с разрешением 7680:4320 пикселей (формат 8K). Новинка характеризуется подсветкой Mini LED с 4096 зонами мини-светодиодной подсветки, пиковой...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)