Социальные сети Рунета
Вторник, 21 мая 2024

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

В России научились производить алмазные пластины для электроники Российские учёные разработали технологию производства алмазных пластин для электроники

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Компания Alphacool представила новую пластину Apex Distro Plate Y60 для корпуса HYTE Y60 Пластина обеспечивает оптическое обновление и имеет премиум-классные материалы

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Китай собрался выпускать 1600-ядерные чипы размером с целую пластину Нарезать отдельные чипы из пластин больше не потребуется

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Радиусомер (R25-R50) Диапазон измерения стареньких (ГОСТовских) радиусомеров, вот такого типакоторыми я пользуюсь по работе - от 1 мм до 25 мм, но мне часто бывает необходимо замерять скругления несколько большего радиуса. Поэтому я спроектировал радиусомер с диапазоном измерения от 25 мм до 50 ...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов ИИ Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов искусственного интеллекта

Чипсеты Apple M4 и A18 увеличат производительность ИИ Тайваньская компания TSMC будет заниматься производством пластин для этих процессоров

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 2024 году Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 30 миллионов пластин в месяц в 2024 году

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Рост потребления пластин NAND у Samsung, но компания сохраняет осторожность Samsung наращивает производство пластин NAND, но при этом сохраняет осторожность, опасаясь влияния на цены. Ожидается подорожание NAND в следующем квартале.

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Производители DRAM стали скрывать цены после землетрясения на Тайване Повреждение завода по производству пластин на Тайване из-за землетрясения спровоцирует перебои с поставками чипов памяти и рост цен.

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

TSMC готовится к росту рынка ИИ и инвестирует $16 млрд в производство CoWoS TSMC надеется быстро расширить производство упаковочных пластин CoWoS за счет масштабных инвестиций, обеспечивая бесперебойную цепочку поставок для клиентов ИИ.

Себестоимость iPhone 15 выросла, прибыль Apple сократилась Себестоимость свежего iPhone Pro Max выросла примерно на 12% по сравнению с iPhone 14 Pro Max. Об этом сообщает издание Appleinsider. Читать полную статью

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Ender 3 v3 se. Допилинг. Всем добра. Приобрел для благотворительных целей зверька из шапки. По результатам двухнедельной обкатки, хочу поделиться парой доработок. Во-первых, мне не понравился криво прикрученный стол, по результатам калибровки выдававший разброс более полутора миллиметров. Заменил пл...

Alphacool представила новую пластину Core Distro Plate для Lian Li O11 Dynamic Evo/XL Эта Distro Plate может быть легко прикреплена к боковой панели корпуса O11 Dynamic Evo/XL.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Пластины большего размера в модулях Astronergy ASTRO N7 удешевят солнечные электростанции Компания Astronergy, первопроходец в области производства фотоэлектрических модулей TOPCon n-типа, объявила о пополнении серии модулей ASTRO N7 TOPCon новыми линейками изделий на 66 прямоугольных ячейках, которые готовы к запуску в серийное производство и предлагают клиентам...

Новейший Land Cruiser 250 сделали более внедорожным. Представлены первые наборы тюнинга Вчера в Японии стартовали продажи новейшего Land Cruiser 250 (он же Land Cruiser Prado 250), и вчера же были представлены первые наборы тюнинга для этой модели. Показали их японские ателье Jaos, Modellista и Toy Factory. Для тех, кто часто выбирается на природу и ночуе...

Компания EK выпустила новую серию водоблоков под названием EK-Quantum Velocity² Edge Special Edition Водоблоки EK-Quantum Velocity² Edge D-RGB - AM5 Special Edition обладают охлаждающей пластиной из высококачественной меди

В сеть попало изображение пластины с чипами Intel Xeon Granite Rapids Данные процессоры будут выпускаться по новому технологическому процессу Intel 3 и включать новые производительные ядра Redwood Cove

Подорожание пластин для Snapdragon 8 Gen 4 может сделать флагманские смартфоны дороже Очередной слух говорит об увеличении стоимости новых процессоров Qualcomm

VIS готовится потратить $2 млрд на строительство нового предприятия в Сингапуре Оно впервые в истории компании будет работать с кремниевыми пластинами типоразмера 300 мм.

Средняя цена пластин TSMC подскочила на 22% за год Поставки 3-нм пластин составляют 15% доходов компании

Cerebras представила новый ИИ-чип CS-3 размером с пластину с 900 000 ядрами и 4 трлн транзисторов Вместо того, чтобы разрезать кремниевую пластину на отдельные микросхемы, компания Cerebras использует ее целиком для создания огромного чипа.

Drop выпустила новую клавиатуру CSTM65 Клавиатура также предлагает различные варианты накладок, переключателей, пластин и кабелей

Intel Core i9-14900K тоже получит декоративные серебряные пластины в упаковке — фото Подобный «трюк» Intel использовала и для Core i9-13900K.

Alphacool представила Eisblock Aurora для эталонного дизайна Radeon RX 7800 XT Модифицированная реактивная пластина обеспечивает равномерное распределение воды

Огромный чип на 4 триллиона транзисторов представила компания Cerebras Systems Для создания Cerebras WSE-3 используется вся 300-миллиметровая полупроводниковая пластина

Дефицит подложек для ускорителей вычислений NVIDIA усугубляется Они становятся крупнее, из одной пластины удаётся получить меньшее количество.

Российские учёные в Арктике получили алмазные пластины Арктические учёные из России создали алмазные пластины с различными параметрами

Российские ученые улучшили износостойкость медицинских деталей В пресс-службе Национального исследовательского центра «Курчатовский институт» сообщили, что ученые научного центра создали новый материал, который делает штифты, винты и пластины износостойкими, что продлевает их срок службы.

В Китае разработаны 1600-ядерные чипы, использующие целую пластину Тот момент когда больше - значит лучше

ASML предоставила свой новый аппарат для литографии в крайнем ультрафиолете Модель Twinscan NXE:3800E будет отличаться от предыдущих моделей большей производительностью, давая возможность обрабатывать до 220 пластин в час

Apple первой почувствует рост стоимости 2-нм чипов TSMC Как следует из отчета аналитиков IBS, 2-нм пластины TSMC для производства чипов могут стоить до 30 000 долларов. Это на 50% дороже, чем пластины текущего поколения, стоимость которых колеблется в пределах $20 000. Ощутимее всего подорожание отразится на Apple, первой заказав...

В 2024 году российский завод Haval выпустит 130 тыс. автомобилей. На конвейер помимо обновленного Jolion 2024 встанут совершенно новые Haval F7, Haval H9 и Haval H7 Если в прошлом году Haval выпустил почти 120 тыс. машин в России (и это 13,6% всех новых авто, собранных в России в прошлом году), то в текущем году производство увеличится примерно на 10% — до 130 тыс. автомобилей. Об этом сообщил министр промышленности и торговл...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Проект Project Silica от Microsoft внедряет хранение данных с помощью стеклянных пластин Он позволяет сохранить огромные объемы информации на небольших стеклянных пластинах, гарантируя сохранность данных на тысячи лет.

Себестоимость iPhone 15 Pro Max достигла $558 Авторы японского издания Nikkei подсчитали себестоимость компонентов, которые необходимы для сборки одного iPhone 15 Pro Max. Она составила 558 долларов. Это на 12 % больше себестоимости прошлогоднего iPhone 14 Pro Max. На данный момент последняя флагманская «прошка» являетс...

В России запустили крупнейший в Восточной Европе завод пластин из монокристаллического кремния В январе 2024 года при участии главы государства в Калининградской области запустили завод по выпуску пластин из монокристаллического кремния высокого качества.

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Средняя цена 3нм пластин TSMC подскочила на 22% за один год По оценкам некоторых аналитиков, стоимость одной пластины, обработанной по технологии N3, может достигать 20 000 долларов

Китайские исследователи работают над процессорами с 1600 ядрами Такого количества ядер можно достичь, используя многокристальную конструкцию из полноценной полупроводниковой пластины

На Российском сегменте МКС проведут эксперименты с синтетической пластиной Управляющий партнер компании 3D Bioprinting solutions Юсеф Хесуани рассказал, что на российском сегменте Международной космической станции (МКС) проведут эксперимент с синтетической пластиной, пишет ТАСС.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Университет штата Аризона и Deca Technologies создадут первый в Северной Америке центр RandD Университет штата Аризона (ASU) и компания Deca Technologies планируют создать первый в Северной Америке центр исследований и разработок в области передовой вентилируемой упаковки на уровне пластин (FOWLP)

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Новый вариант обдува для ZAV MINI: версия 4.2.9, завершающая Доделал свой обдув до версии 4.2.9.В этой версии геометрия корпуса обдува максимально адаптирована ко всем версиям балок и пластин ZAV (ZAV3D дал мне все необходимые для этого модели, за что ему отдельное спасибо).И на этом, пожалуй, остановлюсь, пора сказать: «Горшочек, не ...

На этот раз обойдётся без очередного подорожания чипов? Nvidia и TSMC говорят, что вчерашнее землетрясение на Тайване не повлияло на производство Вчера на Тайване произошло сильнейшее для острова за 25 лет землетрясение с магнитудой 7,2-7,4 балла. Кроме прочего, остановила свою работу одна из фабрик TSMC, что, как предполагалось, могло бы сильно повлиять на цену различных чипов. Однако, похоже, в этот раз обойдёт...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Почему у космонавтов отслаиваются ногти после выхода в открытый космос Астронавтам часто приходится сталкиваться с необычным явлением после выхода в открытый космос. У них на руках отслаивается ногтевая пластина от ногтевого ложа.

В России создали первую партию алмазных пластин с заданными свойствами Учёные научно-образовательного центра (НОЦ) «Российская Арктика» в Архангельске создали первую опытную партию из 25 монокристаллических искусственных алмазных пластин с заданными параметрами. По словам научного руководителя НОЦ Марата Есеева, выпуск первой п...

Кировские нейрохирурги провели краниопластику с использованием 3D-моделирования и 3D-печати С помощью 3D-печатной модели черепа, подготовленной по данным компьютерной томографии, врачам Кировской областной клинической больницы удалось точно подогнать титановые пластины и закрыть два обширных дефекта.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

14 популярных воздушных кулеров для вашего процессора Современные башенные воздушные кулеры различаются как строением радиатора, количеством тепловых трубок, материалами, наличием теплосъёмной пластины или её отсутствием, качеством изготовления, так и моделями используемых вентиляторов и даже особенностями монтажа в системе. ...

В российских автомобилях может появиться «жидкая кожа» Как сообщает «Автопоток» со ссылкой на Минпромэнерго Саратовской области, НПП «Полипластик» из города Энгельс запустило промышленное производство «жидкой кожи» на основе термоэластопласта. Компаунд используется дли изготовления тонкос...

Ученые считают, что эпитаксиальный графен может заменить собой кремний в производстве чипов Новый материал позволит ускорить эволюцию передовых технологий и снизить при этом себестоимость самих изделий

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Логотип Apple на новом iPad Pro — это часть системы охлаждения. Разборка планшета показала внутреннее устройство Канал Phone Repair Guru одним из первых опубликовал видео с разборкой нового 13-дюймового iPad Pro на SoC M4.  Можно видеть внутреннюю компоновку планшета, которая, как всегда у Apple, выглядит очень аккуратно. Ёмкость батареи — 39,99 Вт·ч или 10 209 ...

Затраты TSMC при переходе от 3 нм к 2 нм могут увеличиться в полтора раза Закон Мура обходится производителям чипов всё дороже.

Arctic представила башенный кулер Freezer 36 Сегодня компания Arctic официально представила свой новый башенный процессорный кулер Freezer 36, готовый для сокета LGA 1851 (новое поколение процессоров Intel будет поставляться уже на нём). При этом стоит понимать, что башенный процессорный кулер Arctic Freezer 36 совмест...

Выпрямитель для волос Redmond HS1714: нагрев за 30 секунд, 6 режимов работы и плавающие пластины с керамическим покрытием Выпрямитель Redmond HS1714 превосходно справляется с укрощением густых вьющихся волос за довольно короткое время. Стайлер готов к работе через 30 секунд, имеет удобную наглядную шкалу индикации нагрева и широкий диапазон настроек температуры, благодаря чему его без опасения ...

Это жидкостная система охлаждения для SSD. Teamgroup T-Force Siren GD120S AIO пригодится для горячих накопителей с PCIe 5.0 Не секрет, что SSD с интерфейсом PCIe 5.0 требуют охлаждения. Зачастую можно ограничиться пассивными СО, но многие производители делают активные кулеры. И если первое время это удивляло, то жидкостная система охлаждения для SSD кажется и вовсе чем-то из ряда вон, а имен...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Эксперты оценили себестоимость инновационного устройства Apple Vision Pro Производство Vision Pro обходится компании в рекордные для подобных гаджетов 1542 доллара

Как устроен внутри смартфон с рекордно узкой рамкой. В Сети появилось видео с разборкой Meizu 21 Компания Meizu представила свой флагманский смартфон Meizu 21 только два дня назад, а в Сети уже появилось видео с его разборкой.  Начать стоит не с внутренностей аппарата, а с экрана. Напомним, Meizu заявляла, что её новинка имеет очень узкую рамку, и измерения п...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Автомобили в России подорожали в полтора раза или на 1 млн рублей с начала 2022 года По данным аналитического агентства «Автостат», средняя стоимость нового легкового автомобиля в России выросла в полтора раза с января 2022 года. За последние пару лет прибавка составила 1 млн рублей: сегодня средняя цена составляет около 3 млн рублей, тогда ...

Выпрямитель для волос Redmond HS1713: широкие амортизирующие пластины и настраиваемый температурный режим Возможности Redmond HS1713 вполне удовлетворят людей, приобретающих устройство для регулярной домашней или профессиональной эксплуатации. Широкий диапазон температурных режимов работы подойдет для разных типов волос, а также понравится тем пользователям, кто не только старае...

Intel за счёт перехода на EUV смогла снизить себестоимость производства чипов почти в два раза И передовая литография в дальнейшем будет для неё оставаться важным источником дохода.

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Купить GeForce RTX 4060 Ti и установить на неё до 8 ТБ памяти. В продажу поступила модель Asus со слотом для SSD Компания Asus наконец-то выпустила необычную видеокарту GeForce RTX 4060 Ti со слотом для установки SSD.  Новинка уже засветилась как минимум в одном финском магазине, правда, цена у карты немаленькая. За новинку просят 560 евро, а это на 90 долларов больше, чем т...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Продажи компьютеров российских брендов увеличились вдвое Доля продаж компьютеров отечественного производства увеличилась до 20%.

В PlayStation 5 Pro появится новая технология Spectral Super Resolution В PlayStation 5 Pro появится новая технология Spectral Super Resolution, производительность трассировки лучей увеличится в 4 раза по сравнению с PS5

Производство композиционных материалов в Томске увеличилось в 1,2 раза Научно-производственная компания «Полимер-компаунд» в Томске увеличила производство композитных материалов благодаря поддержке Фонда развития промышленности. Новое отделение будет заниматься производством материалов для различных отраслей, таких как кабельная, электротехниче...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Спрос на услугу «пробива» абонента увеличился в 1,5 раза С начала года спрос на услугу «пробива» местоположения абонента увеличился в полтора раза, до 35 тыс. По итогам 2022 года этот показатель составил 55 тыс. Об этом стало известно от работающих в России специалистов по информационной безопасности.

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Для девочек или любителей аниме. Видеокарты Yeston GeForce RTX 40 Super выделяются цветовым оформлением и дизайном Компания Yeston представила видеокарты GeForce RTX 40 Super, которые выделяются своим внешним видом.  Модели линейки Sakura предлагают нетипичное цветовое и дизайнерское оформление системы охлаждения, а также белую печатную плату, что встречается весьма редко. Кро...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Представлен жёсткий диск Toshiba на 22 ТБ Toshiba объявила о выпуске новой серии жестких дисков MG10F. Он поставляются в стандартном формате 3,5 дюйма, но в них используется фирменная конструкция из 10 внутренних пластин с гелиевым уплотнением. В этих жестких дисках использует традиционный метод магнитной запис...

Названы самые «качающие» сумоны Тувы За год потребление интернет-трафика жителями тувинских сел увеличилось в полтора раза. К такому выводу пришли эксперты МегаФона на основе анализа обезличенных данных абонентов в республике.

ГК «Солар» назвала самый популярный способ обмана клиента банков По данным центра противодействия кибератакам Solar JSOC, за 2023 год количество фишинговых писем в адрес российских кредитно-финансовых организаций увеличилось в полтора раза.

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

«Раскладушки» на пике популярности в России: продажи взлетели вдвое В России бум продаж раскладных смартфонов с гибкими экранами - по данным участников рынка, за последний год спрос на такие модели вырос в 1,5-2 раза. Драйверами роста эксперты называют появление новых брендов-производителей «раскладушек» и общий тренд на экс...

Это первое за семь лет увеличение ёмкости HDD формата 2,5 дюйма. Western Digital представила модели объёмом 6 ТБ Компания Western Digital представила серию внешних жестких дисков формата 2,5 дюйма объёмом 6 ТБ.  Можно было бы подумать, что это просто очередные HDD, которые уже мало кому интересны, но суть в том, что ранее таких жёстких дисков не было. Это самые высокообъёмны...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Российский рынок услуги «Бесплатный вызов» (8800) – итоги 2023 года По итогам 2023 года объем российского рынка услуги 8800 составил 9,5 млрд рублей, увеличившись на 0,4% по сравнению с 2022 годом. Количество компаний-клиентов услуги 8800 увеличилось на 3,5% по сравнению с прошлым годом и составило 55 тысяч. ARPU снизился на 11,5% до 14,6 ты...

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

Это уже уровень Tesla: Geely отчиталась за 2023 год Компания Geely Automobile объявила, что общий объем продаж группы в декабре 2023 года составил 150 453 автомобиля, что примерно на 3% больше, чем за тот же период прошлого года. Общий объем продаж за весь 2023 год составил 1 686 516 единиц, увеличившись примерно на 18% ...

В России создали технологию для усовершенствования строительных материалов В пресс-службе Саратовского государственного технического университета сообщили, что ученые вуза разработали инновационную технологию, которая поможет усовершенствовать строительные материал. Она позволяет создавать силикатные добавки с гораздо более низкой себестоимостью по...

Никаких доступных флагманов на Snapdragon 8 Gen 4 нам не видать? Инсайдер говорит, что новая платформа подорожает «в разы» В Сети снова появились слухи о том, что новая SoC Snapdragon 8 Gen 4 будет заметно дороже текущей флагманской платформы Qualcomm.  Инсайдер Digital Chat Station говорит, что стоимость пластины с такими SoC выросла и цена самой платформы однозначно тоже вырастет. О...

Представлен «чайник для здоровья» Xiaomi Mijia S1 из очень прочного боросиликатного стекла Представлен многофункциональный «чайник для здоровья» Xiaomi Mijia S1, который станет доступен для заказа уже 3 января в Китае. В этом многофункциональном чайнике используется нагревательная пластина из нержавеющей стали 316L, которая устойчива к коррозии и ...

Шарнирная фигура с точными пропорциями человека DUMMY 13     На одном из сайтов 3д моделей для печати меня привлекла Шарнирная фигура для печати DUMMY 13. Шарнирная фигура с точными пропорциями человека открывают простор для воображения. Фигуру возможно выставлять бесчисленными способами, создавая уникальные сцены и сценарии. Эта ...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Huawei Kirin 9000S оказался сильно слабее конкурентов Возвращение компании Huawei на рынок смартфонов с чипом Kirin 9000S в сети назвали настоящим чудом, но это не отменяет того факта, что этот процессор значительно медленнее конкурентов. Это было вполне ожидаемо, поскольку SMIC, партнёр Huawei по производству, в настоящее врем...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Новые 8-ядерные процессоры Loongson будет иметь производительность 12-го поколения Intel Core i7 Генеральный директор компании утверждает, что производительность этих новых процессоров может увеличиться до 50% по сравнению с предыдущими моделями.

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Процессор Apple A18 Pro будет быстрее A17 Pro всего на 10 процентов Новый процессор Apple A18 Pro предложит лишь незначительное увеличение производительности по сравнению с предыдущей моделью A17 Pro. Источник утверждает, что многоядерная производительность нового чипа увеличится всего на 10 процентов.

В России вырос спрос на звонки через LTE Эксперты компании МТС узнали, что россияне стали использовать связь через LTE в полтора раза чаще в сравнении с прошлым годом

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

МО РФ показало кадры производства планирующих версий авиабомб ФАБ-1500 Полторы тонны в тротиловом эквиваленте.

Телефонные звонки, подражающие голосу человека с помощью ИИ, попали под запрет в США Не стоило и начинать.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Flashforge Adventurer 5M - Распаковка! Данный принтер приобрел на Али за 27к. Доставка была из РФ. Комплектация: кусачки, клей-карандаш (жидкий), 3 шестигранных ключа, отверка, шило-мыло, смазка 2гр, PLA 50гр.Кинематика:CoreXY. Рама сделана из стали и пластика. Нижняя платформа и тыльная исполнена из PC-ABS. Все ...

Свердловчане активно знакомятся с новыми обитателями зоопарка Интерес к экзотическим животным подтверждает и аналитика МегаФона: количество абонентов, посетивших Екатеринбургский зоопарк, в 2024-м увеличилось в 2,6 раза по сравнению с аналогичным периодом прошлого года.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

«Горячую» Toyota GR Corolla «подогрели» еще больше. Представлена Toyota GR Corolla Tom’s Racing c радикальным обвесом К выставке тюнинга SEMA Show 2023 тюнинговое ателье Tom’s представило новую версию «горячего» хетчбэка Toyota GR Corolla: от обычного варианта он отличается развитым передним бампером, большим диффузором сзади и огромным антикрылом. Выглядит автомобил...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Xiaomi представила инновационную напольную лампу, подражающую солнечным лучам Компания Xiaomi представила напольную лампу Mijia Vertical Learning Lamp с голосовым управлением

Представлен Ford Bronco Raptor, выпущенный в честь солнечного затмения Представлен Ford Bronco Raptor в новом исполнении с пакетом Black Appearance Package, который отдельно стоит 4995 долларов и увеличивает цену машины до 96 925 долларов. В новый пакет добавлена глянцевая черная крыша с соответствующими корпусами зеркал, а также черные ра...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

[Перевод] Сверхмассивные чёрные дыры поглощают материю быстрее, чем мы думали У чёрных дыр может оказаться в наличии совершенно неожиданная космическая «служба доставки», поставляющую им газ и пыль для «еды». Может оказаться, что эти космические титаны питаются с гораздо большей скоростью, чем предполагали учёные, и насыщаются в течение нескольких мес...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Сырье «Альтерна» компании «Симпреал» – качественная альтернатива дорогим сортам Производство каолинового сырья в России в 2023 году демонстрирует рекордные показатели роста. Развитию отрасли способствует выход на рынок новых видов продукции. Один из них – многофункциональный сорт «Альтерна» производства компании «Симпреал». Рынок керамических изделий в ...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Квартальная выручка NVIDIA должна увеличиться на 170% в годовом сравнении Произойдёт ли это на самом деле, узнаем уже в среду.

Apple представила новые MacBook Air на чипе M3 Компания Apple представила новое поколение ноутбуков MacBook Air. Модели MacBook Air с 13,6- и 15,3-дюймовым дисплеем Liquid Retina снабжены чипом M3, который позволил увеличить производительность ноутбука на 60% в сравнении с моделью на процессоре M1. Время автономной работ...

WobbleX - тестирование магнитной антивоблинговой муфты Итак, насмотревшись видео уважаемого MirageC, я заказал себе WobbleX WS8 (1000р на распродаже).А пока она ползёт с алихи, купил на озоне всё необходимое для сборки, после чего нарисовал и распечатал пластиковый эрзац, который и подвергся тестированию.BOM: 4 шарика от подшипн...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Rheinmetall поднял цены на 155-мм снаряды в полтора раза С начала конфликта на Украине цены на 155-мм снаряды производства Rheinmetall выросли в полтора раза — с €2 тыс. до €3,6 тыс., выяснила Welt. Их в том числе поставляют на Украину.

Крупный завод по производству дронов Bayraktar на Украине будет построен за полтора года Вот тогда-то по нему и прилетит пачка «Искандеров». Раньше нет смысла.

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

VK отчиталась о финансах за третий квартал 2023 года Выручка компании за девять месяцев 2023 года увеличилась на 37% по сравнению с 2022 годом

Себестоимость iPhone 15 Pro Max составляет всего $558, а реальная цена – $1 199 Новый флагман оказался дороже iPhone 14 Pro Max на $37.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Тактовая частота процессора PS5 Pro увеличится на 10% в сравнении со стандартной версией Более того, согласно полученным данным, у PS5 Pro пропускная способность увеличится на 28%.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Средняя цена подержанного автомобиля в России составляет 1,2 млн рублей, согласно данным «Авито Авто» Средняя цена автомобилей с пробегом в РФ за период с 1 января по 29 февраля составила 1 200 000 рублей, увеличившись по сравнению с концом 2023 года (периодом с 1 ноября по 31 декабря 2023) на 2,6%. Об этом сообщили в «Авито Авто». Пользователи в начале 2024...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Positive Technologies: выплаты за bug bounty в РФ сопоставимы с мировыми Компания Positive Technologies подвела итоги работы платформы по поиску уязвимостей Standoff 365 Bug Bounty, запущенной в 2022 году. За полтора года количество размещенных программ увеличилось с 2 до 53, а размер вознаграждения составляет от 9000 до 3 000 000 рублей в зависи...

Да, очень длинная, но зато нетолстая. Представлена видеокарта RTX 4070 Super Gaming X Slim MLG, занимающая всего два слота расширения Компания MSI представила видеокарту RTX 4070 Super Gaming X Slim MLG, которая выделяется несколькими аспектами, но в первую очередь габаритами.  Если точнее, толщиной: карта занимает всего два слота расширения, что сейчас редкость даже в этом сегменте. Длина у кар...

[Перевод] От пластины до ПК. Внутри лаборатории Intel Мир полупроводников сравним с мутными водами. Многие знают, что такое процессор и как он работает, однако с этапами его производства и применяемыми технологиями знакомы далеко не все. И потому когда меня пригласили на завод Intel в штате Пенанг (Малайзия), чтобы постичь иску...

За 2023 год количество киберпреступлений в РФ увеличилось на 85% по сравнению с 2022 годом Мошенники предлагают установить вредоносное программное обеспечение под видом антивирусной программы

Действительно гигантская испарительная камера и действительно очень яркий экран. OnePlus 12 представили вчера, а уже сегодня разобрали OnePlus 12 представили только вчера, а уже сейчас мы можем посмотреть на видео с его разборкой. Заодно оценить реальные размеры испарительной камеры, которую компания активно рекламировала.  Как можно видеть, испарительная камера действительно очень крупная и зани...

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Changan распробовали не только россияне, но и сами китайцы: продажи этих автомобилей резко выросли Продажи Changan Automobile с января по апрель составили 180 500 автомобилей, что на 68% больше, чем в прошлом году. При этом продажи в апреле составили 51,7 тыс. автомобилей, увеличившись по сравнению с аналогичным периодом прошлого года более чем на 129%. Продажи подра...

Работает ли кино как терапия? Обсуждаем в подкасте «Кто бы говорил» Выясняем, помогают ли фильмы и сериалы справляться с личными проблемами и стоит ли подражать героям на экране.

Купил себе новый принтер. Приглядывал себе в напарники ender 3pro . Советовали мне тут люди перейти на директ. Что я и сделал. Приобрел Anycubic kobra 2neo.  Собрал все быстро и перешёл к калибровке и первой печати. Авто калибровка сделана. Первая печать и тут сопло начинает царапать новенькую пласти...

В 2023 году Яндекс выплатил этичным хакерам 70 млн рублей По сравнению с прошлым годом общая сумма выплат увеличилась почти вдвое.

Объем OTC-торговли Wintermute в 2023 году вырос на 400% Во второй половине 2023 года объем внебиржевых торгов маркетмейкера Wintermute увеличился на 400% по сравнению с показателем первых шести месяцев

Объем спотовых торгов в октябре увеличился на 87,2% В отчете компании CCData говорится, что в октябре 2023 года объем спотовых торгов на крупнейших централизованных биржах взлетел на 87,2% по сравнению с сентябрьскими показателями

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

АвтоВАЗ выпускает Lada Vesta только в минимальной комплектации, а «производство Granta творит чудеса» — все машины без подушек безопасности, согласно Avtograd News В данный момент с конвейера АвтоВАЗа сходят автомобили Vesta только в кузове «седан» в минимальной комплектации, о чём пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Последняя рабочая неделя в этом году на ВАЗе. С конвейера сходят...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

GigaChat Pro. Технические детали, сдача ЕГЭ и сравнение с конкурентами С момента запуска GigaChat прошло около полугода, и за это время у нас появилось более полутора миллионов пользователей. Они активно используют нейросетевые технологии как в работе, так и для развлечения. От пользователей поступают разнообразные запросы: от просьбы сделать к...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Финансовые и операционные результаты VK за четвертый квартал и двенадцать месяцев 2023 года Основным источником роста стала выручка от онлайн-рекламы, которая увеличилась на 40% по сравнению с 2022 годом до 79,7 миллиарда рублей.

В Германии увеличивается число банкротств, что может перерасти в волну разорений по всей стране За первые 2 месяца 2024 года рост банкротств увеличился на 20% по сравнению с провальным 2023 годом

ИИ и отечественный софт: главные тренды российского рынка ВКС в 2023 году Cпрос на отечественный софт по сравнению с 2022 годом увеличился в 2 раза, а доля производителей, которые предлагают системы с использованием искусственного интеллекта, приблизилась к 60%.

Скорость таяния ледников Камчатки увеличилась в 4 раза «Кам 24»: Ледники Камчатки сократились почти на 36% по сравнению с 1950 годом. Средняя скорость таяния ледников в северной части Срединного хребта увеличилась примерно в 4,3 раза.

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Количество ранних бронирований отелей на новогодние праздники через Ozon Travel выросло вдвое Россияне стали чаще проводить новогодние праздники в поездках, заявил Ozon Travel. Число бронирований отелей на Новый год и праздники уже увеличилось в два раза в сравнении с аналогичным периодом в прошлом году. Кроме того, растёт спрос на авиабилеты зарубежных направлений д...

Глава «Ростеха»: за год производство танков в России увеличилось в семь раз Ещё раз: только за год. А процесс идёт уже 20 месяцев. И то ли ещё будет.

Представлен максимально брутальный модифицированный GMC Sierra HD Ателье American Expedition Vehicles (AEV) представила модифицированный GMC Sierra HD, который превратили в брутальный грузовик. Это настоящая «рабочая лошадка», в кабине комфортом поместятся всего два человека. Инженеры доработали машину, установив новые де...

«Самая мощная в мире ракета-носитель, оснащенная 33 двигателями Raptor, стартует со Звездной базы», — SpaceX показала качественные фото и видео запуска Американская компания SpaceX опубликовала несколько зрелищных фотографий и видео, сделанных во время запуска огромного космического корабля Starship. Самая мощная в мире ракета-носитель, оснащенная 33 двигателями Raptor, стартует со Звездной базы. SpaceX pic.twitter...

От телефонов до электромобилей: как себя проявит рост стоимости цветных и драгметаллов Цены на драгоценные металлы, которые используются в производстве электроники, значительно выросли за последние пять лет. Например, стоимость меди за этот период увеличилась на 66%, подскочив от $5 000 за тонну в 2020 году до $8 300 в 2024. В результате этих изменен...

Уже в мае Lada Vesta подорожает на 30—50 тысяч рублей В мае Lada Vesta подорожает на сумму от 30 до 50 тыс. рублей. Об этом рассказал РБК один из дилеров. Другой дилер сообщил, что на 30 тыс. рублей увеличится стоимость машины в базовой комплектации. Другие салоны подтвердили повышение цен, но в конкретику вдаваться не ста...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Стоимость производства iPhone 15 Pro Max самая высокая за всю историю iPhone В Сети появилась информация о стоимости производства новых смартфонов Apple. Оказалось, что iPhone 15 Pro Max является самым дорогим смартфоном за всю историю Apple.  Новый флагман Apple обходится в 558 долларов, что на 12% больше, чем iPhone 14 Pro Max год назад....

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Число подписчиков платных сервисов в Одноклассниках увеличилось в 1,5 раза Количество пользователей, пользующихся платными подписками в Одноклассниках, в 2023 году увеличилось на 62% по сравнению с 2022 годом.

Авито: продажи игровых консолей в России за 2023 год увеличились на 31% Компания «Авито» сообщила, что продажи новых игровых консолей в России за 2023 год увеличились на 31%, а подержанных устройств в этой категории — на 11%. Особенно выросли продаж приставок Steam Deck, увеличившихся в 12 раз. Средняя цена на «Авито» составила 43,9 тыс. рублей,...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Каретка для биметаллической водянки. А теперь расскажу про каретку для водянки. Замысел заключался в том, что каретка должна быть перестраиваемой, то есть при обнаружении чего нибудь непотребного, можно было изменить, перепечатать, только часть, а не начинать громоздить новое. Поэтому состоит из 5 частей. Перва...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Метод конечных элементов своими руками Метод конечных элементов (МКЭ) применяют в задачах упругости, теплопередачи, гидродинамики — всюду, где нужно как-то дискретизировать уравнения сплошной среды или поля. На Хабре было множество статей с красивыми картинками о том, в каких отраслях и с помощью каких программ э...

iPhone 15 Pro Max стоит всего 558 долларов Сегодня появилась информация о том, что смартфон iPhone 15 Pro Max стоит 558 долларов. Точнее, это его себестоимость — всех компонентов и сборки. На фоне этой новости многие пользователи высказывают весьма негативную реакцию, потому что смартфон стоит в официальном магазине ...

Выручка «Базальт СПО» за 2023 календарный год увеличилась более чем на 50% Выручка компании «Базальт СПО» от продаж и сопровождения операционных систем семейства «Альт» в 2023 календарном году выросла более чем на 50% по сравнению с показателями 2022 года.

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Квазимодо обрел лицо и теплую кровать Продолжаем крамсать Ender-7 Первое что я сделал еще на Энедер-3 это выкинул их родное покрытие на стол и стекло. Они были тяжелыми и не эффективными в плане адгезии. На дрыгостоле важная составляющая это облегчение подвижных частей. На коряге стол не принимает активного учас...

По традиции «Вояджера»: NASA установил на космическом аппарате Europa Clipper памятную металлическую пластинку Следуя традиции, которая заключается в отправке вдохновляющих посланий в космос, NASA планирует осуществить это и на космическом аппарате Europa Clipper, который в этом году отправится к спутнику Юпитера — Европе. Этот спутник считается одним из самых перспективны...

Chery в России превзошла рекордные результаты Volkswagen и Toyota без локализованного производств: выручка превысила 550 млрд рублей за год Выручка российского дистрибутора китайского бренда Chery по итогам 2023 года достигла 556 млрд рублей, что в 3,8 раза больше, чем в 2022 году. Чистая прибыль компании увеличилась на 16,5%, до 34,7 млрд руб. Выручка от реализации автомобилей составила 538,6 млрд руб. (ро...

Китайцы захватывают собственный рынок: доля машин местного производства превысила 60% Китайская автомобильная ассоциация опубликовала данные, согласно которым в январе 2024 года объем продаж легковых автомобилей китайских брендов составил 1,278 млн единиц, что на 68,6% больше, чем в прошлом году. Их доля составила 60,4%, увеличившись на 8,8 процентных пу...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Представлен милый внедорожник Suzuki Super Carry Mountain Trail Токийский автосалон пройдет с 12 по 14 января и даст возможность японским автопроизводителям и тюнерам возможность продемонстрировать свои самые смелые изделия. Suzuki привезет на мероприятие внедорожник под названием Super Carry Mountain Trail. Suzuki описывает концепц...

Сотни тысяч новейших Honda Accord и HR-V 2023 и 2024 годов отзывают из-за ремней безопасности Honda отзывает более 300 000 автомобилей в США, поскольку в их ремнях безопасности может отсутствовать критически важный компонент. По данным Национальной администрации безопасности дорожного движения, Accord и HR-V моделей 2023 и 2024 годов могут быть оснащены преднатя...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Аналитика МТС: в 2023 году россияне стали в полтора раза чаще звонить в сетях LTE ПАО «МТС» проанализировала объем переданного голосового трафика в своей сети в России на основе технологии VoLTE (передача голоса через интернет в сетях LTE) за девять месяцев 2023 года в сравнении с тем же периодом 2022 года: длительность разговоров в сети LTE выросла за эт...

CNBC: Аналитики Omdia оценили себестоимость компонентов Apple Vision Pro в $1542 Apple Vision Pro стоит $3500, а аппаратное обеспечение — в два раза дешевле.

Количество активных пользователей Яндекс Игр выросло до 32 млн в месяц Сервис Яндекс Игры начал набирать популярность в глазах российских пользователей. В 2023 году аудитория платформы увеличилась на 45% по сравнению с 2022 годом — до 32 млн активных пользователей.

Samsung начала производство памяти V-NAND нового поколения Компания Samsung начала производство своей вертикальной NAND (V-NAND) 9-го поколения с трехуровневыми ячейками (TLC) ёмкостью 1 ТБ. Новая память примерно на 50% плотнее и на 10% более энергоэффективна, по сравнению с предшественницей, дебютировавшей в 2022 году. По словам…

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Робот, который улыбаются в ответ: Emo предсказывает и подражает людским эмоциям Представьте себе робота, который не только разговаривает, но и взаимодействует с вами как настоящий человек, даже читает ваши эмоции по выражению лица. Исследователи из лаборатории Columbia Engineering делают это реальностью с помощью своего последнего творения — Emo.

Apple начинает разработку iPhone 16 с новыми возможностями Apple готовится к тестовому производству iPhone 16 в Чэнду, предполагая внести значительные улучшения в дизайн и технические характеристики по сравнению с предыдущей моделью.

Foxconn заявила о необходимости строительства новых предприятий для производства ИИ-чипов Генеральный директор Foxconn Лю Янг-Вэй сделал прогноз на 2024 год, заявив, что рынок будет "немного лучше" по сравнению с предыдущим годом, несмотря на экономические трудности.

Количество атак на мобильные устройства в России возросло в 5,2 раза «Лаборатория Касперского» отметила общий рост количества атак на мобильные устройства в России. Так, Так, в первом квартале 2024 года их число увеличилось в 5,2 раза по сравнению с аналогичным периодом 2023 года и составило более 19 миллионов.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Китайские комплектующие формируют 47% себестоимости смартфона Huawei Mate 60 Pro А сумма сама по себе составляет $422.

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Себестоимость гарнитуры Apple Vision Pro — 1500 долларов, при цене 3500 Apple, привыкшая за время своего существования удивлять мировую IT-индустрию, на сей раз, кажется, превзошла сама себя, когда впервые анонсировала цену новой гарнитуры Vision Pro — $3500, что в разы дороже любых потребительских гарнитур.

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Музыкальная индустрия борется с контентом, созданным ИИ Крупные музыкальные лейблы обеспокоены музыкой, созданной искусственным интеллектом. ИИ-инструменты могут подражать голосам исполнителей или заполнять платформы гигабайтами музыки.

Спрос на финансовые сервисы Tele2 увеличился на 20% за год Самый активный рост показала услуга «Автоконсьерж» – количество подключивших ее абонентов увеличилось в четыре раза.

В Воронежской области пассажиры увеличили интернет-трафик на 20% За последний год в Воронежской области выросла посещаемость транспортных хабов. Число пассажиров – абонентов МегаФона, которые отправляются в поездки или прибывают в регион, увеличилось на 38% по сравнению с аналогичным периодом прошлого года.

Неубиваемый монстр автономности с 10-дюймовым экраном, портом HDMI, отверткой в комплекте и ярким фонарем. Рассекречен Ulefone Armor Pad 3 Pro с автономностью 75 суток Скоро состоится премьера защищенного планшетного компьютера Ulefone Armor Pad 3 Pro, но ресурс GSMArena уже рассекретил устройство. Ulefone Armor Pad 3 Pro получит однокристальную систему MediaTek MT8788, экран IPS с диагональю 10,36 дюйма, разрешением Full HD и кадров...

iPhone 15 Pro Max оказался смартфоном Apple с самой высокой себестоимостью за всю историю Представленный недавно iPhone 15 Pro Max оказался на $100 дороже предшественника.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

В России сократился объем незаконной вырубки леса За 2023 год, незаконная вырубка леса в России сократилась более чем в полтора раза по сравнению с предыдущим периодом, об этом сообщает Рослесинфорг, лесоучетное учреждение Рослесхоза, пишет ТАСС.

Россияне чаще оплачивали покупки по QR-коду в 2023 году По данным исследования «Тинькофф бизнес», в 2023 году число покупок, оплаченных через QR-код, выросло в 53 раза по сравнению с предыдущим годом, а обороты платежей увеличились в четыре раза. По прогнозам экспертов, этот тренд будет продолжаться, и к июлю 2024 года объемы пла...

Количество инсайдерских атак в 2023 году увеличилось в 1,5 раза Анализ показал системный рост инсайдерских атак от рядовых пользователей с начала 2023 года: количество таких инцидентов увеличилось в 1,5 раза по сравнению с аналогичным периодом прошлого года. Кроме того, спрос на инсайдерскую информацию за первое полугодие 2023 года вырос...

Блогер MrBeast призывает молодежь не следовать его примеру Невероятно успешный и влиятельный блогер MrBeast опубликовал сообщение, в котором призывает молодежь воздержаться от повторения его пути. Он пишет, что нет смысла подражать другим, потому что на одного победителя приходятся тысячи побежденных. И участь тех, кто поставил все ...

Условно бесшумная жидкостная система охлаждения без помпы. Опубликовано тестирование такого прототипа компании Wieland Жидкостные системы охлаждения, как и воздушные, тоже издают шум. Он формируется в первую очередь за счёт работы помпы. Немецкая компания Wieland разработала прототип жидкостной СО без помпы, и в Сети уже есть его обзор.  Известный оверклокер Der8auer протестировал...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

«Лада Аура», «Лада Искра», «е-Ларгус» и «В+Кросс» — АвтоВАЗ подтвердил планы на два года Максим Соколов, президент «АвтоВАЗа», подтвердил планы «АвтоВАЗа» на ближайшие два года, включая запуск четырех новых моделей, таких как седан бизнес-класса «Лада Аура», массовый легковой автомобиль «Лада Искра», груз...

В бархатный сезон россияне стали чаще выбирать страны Азии и Южной Америки Самыми популярными туристическими странами стали Турция, Абхазия и Египет. При этом в сравнении с осенью 2022 года в 2,5 раза выросла популярность Южной Америки, а спрос на азиатское направление увеличился на 168%.

Количество заблокированных фишинговых ссылок в России выросло в 5 раз Аналитики «Лаборатории Касперского» подсчитали, что в 2023 году объемы онлайн-мошенничества в России значительно выросли. Так, количество фишинговых и скам-ссылок в рунете, которые заблокировала компания, увеличилось более чем в 5 раз по сравнению с прошлым годом.

Россияне полюбили Changan, продажи выросли на порядок (в 9 раз) за последний год За последний год продажи автомобилей марки Changan в России увеличились на порядок, а именно в 9 раз, о чем сообщает «Автопоток» со ссылкой на исследование «Автомаркетолога». По итогам марта 2024 года в России продали 9440 новых автомобилей Chan...

HDD объёмом 20-30 ТБ покажутся игрушками. Seagate рассказала о технологии, которая может позволить создавать накопители объёмом 120 ТБ Современные HDD постепенно увеличиваются в объёмах, но каких-то резких скачков уже давно не было. Компания Seagate, возможно, нашла способ увеличить объём накопителей сразу в несколько раз.  фото: Seagate Исследовательские группы из NIMS, Seagate Technology и Унив...

Прибыль компании Samsung в первом квартале увеличится на 931% Финансовый отчет, опубликованный компанией Samsung, позволяет предположить, что черная полоса в производстве чипов для южнокорейского технологического гиганта закончилась.

Цены на подержанные машины в России выросли на 300 000 рублей за год, но продажи всё равно растут В декабре 2023 года средняя цена на подержанный легковой автомобиль в России достигла отметки в 1 миллион 625,2 тысячи рублей, согласно обзору «Мониторинг цен на вторичном рынке», подготовленному агентством «Автостат». Эксперты отмечают, что по с...

100 000 000 американцев смотрят YouTube Аудитория всех видеосайтов в интернете продолжает бурно расти. За последний год в США она увеличилась в полтора раза, а в России, наверное, — в три-пять раз. Безусловным лидером является YouTube, который контролирует около половины рынка. В октябре 2009 года количество посе...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

TSMC пообещала начать выпуск 2-нм продукции во второй половине следующего года И представить технологию N4C для снижения себестоимости чипов.

Аналитик пояснил, почему в США невыгодно добывать Биткоины, и назвал преимущества майнинга в РФ Всё дело в себестоимости добычи 1 Биткоина, которая в США ощутимо выше, чем в РФ

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Результаты VK за третий квартал и девять месяцев 2023 года Выручка VK за девять месяцев 2023 года увеличилась на 37% год к году до 90,3 миллиардов рублей. Основным источником роста стала онлайн-реклама, выручка которой увеличилась на 47% по сравнению с девятью месяцами 2022 года до 55,4 миллиардов рублей. Доходы от онлайн-рекламы ср...

Цифровые помощники Деда Мороза обработали более 135 тысяч звонков от детей По сравнению с прошлой линией 2022 года количество обращений от детей увеличилось в четыре раза — всего на бесплатный федеральный номер поступило свыше 135,5 тысяч звонков. Проект был реализован при поддержке «Почты России» и Резиденции Деда Мороза в Великом Устюге.

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

«Москвичи» могут подешеветь. На заводе рассказали, что для этого нужно Директор по производству Московского автозавода Олег Масляков подтвердил, что «Москвичи» могут подешеветь при выполнении ряда условий. «Снижение стоимости возможно, однако стоит учитывать сразу все факторы, которые мы имеем. Безусловно, первый приорите...

В России взлетели продажи новых машин. Названы лидеры рынка В период с января по ноябрь 2023 года объем продаж новых автомобилей в России вырос на 59% по сравнению с аналогичным периодом прошлого года, достигнув отметки в 1,17 миллиона единиц, как сообщает Минпромторг РФ. В течение января - ноября 2023 года было продано 1 174 57...

Российский рынок ПК набирает обороты с рекордным ростом продаж В 2023 году российский рынок компьютеров продемонстрировал значительный рост продаж, увеличившись на 71% в корпоративном секторе, с общим объемом производства в 500 тысяч единиц.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Во время Черной пятницы хакеры атаковали ряд интернет-магазинов в России В России был выявлен всплеск DDoS-атак на ряд интернет-магазинов во время проведения “Черной пятницы”. Эксперты StormWall обнаружили, что с 24 по 26 ноября 2023 года число DDoS-атак на онлайн-ресурсы ритейлеров увеличилось на 18% по сравнению с аналогичным периодом в октябре...

Телефонные мошенники выманивают данные под предлогом продления номера Специалисты «Лаборатории Касперского» сообщают, что осенью 2023 года пользователи Kaspersky Who Calls стали чаще жаловаться на звонки телефонных мошенников, которые обещают продлить действие SIM-карты. По сравнению с сентябрем, в октябре число таких комментариев увеличилось ...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

В Нижнем Новгороде вырос спрос на электросамокаты МТС и сервис кикшеринга «МТС Юрент» проанализировали спрос на электросамокаты в период первых майских выходных. В Нижнем Новгороде спрос на поездки на электросамокатах вырос в 3 раза по сравнению с аналогичным периодом прошлого года. По данным аналитиков, увеличилась также с...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Lada показала лучший результат за 11 лет Продажи АвтоВАЗа в первом квартале выросли на 42,5%, за этот период было продано 91 563 легковых и лёгких коммерческих Lada. При этом в марте 2024 года было продано 42 840 автомобилей Lada, что является лучшим результатом за последние 11 лет. Продажи Lada Vesta выросли ...

Поставки Lada в другие страны взлетели в 13 раз за год АвтоВАЗ отгрузил в другие страны около 1100 машин за первые три месяца этого года, что на 600% больше, чем за аналогичный период 2023, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Прорывным в первом квартале стал...

Hongqi, Bestune и FAW продаются просто отлично Общий объем продаж китайской FAW в январе превысил 296 800 автомобилей, что на 54,2% больше, чем в прошлом году. Компания FAW объявила, что общий объем продаж группы в январе превысил 296 800 автомобилей, что на 54,2% больше, чем в прошлом году. Розничные продажи бренда...

Совершенно новый Hyundai Creta полностью рассекретили до премьеры В Сети появились фото «живого» кроссовера Hyundai Creta после обновления. На этих изображениях хорошо видно, насколько изменилась светотехника (она подражает и Hyundai Palisade, и новому Hyundai Santa Fe), но при этом профиль автомобиля остался прежним. Фот...

Продажи Land Rover и Range Rover в Китае взлетели Компания Jaguar Land Rover сегодня официально опубликовала результаты продаж за 2023 год, заявив, что розничные продажи в Китае составили 106 377 единиц, что на 25% больше, чем в прошлом году. Что касается моделей автомобилей, то поставки моделей Range Rover и Rang...

Каждый третий россиянин оплачивает товары и услуги через СБП В 3-м квартале количество покупок через Систему быстрых платежей (СБП) выросло на 38% по сравнению с предыдущим кварталом - до 500 тыс., их сумма увеличилась на 32% - до 800 млрд руб., за год данные показатели выросли в 4 раза, говорится в сообщении ЦБ.

Первый в мире мини-ПК с твердотельной системой охлаждения. Zotac Zbox PI430AJ объёмом 210 миллилитров предлагает Core i3 и бесшумную работу Компания Zotac наконец-то полноценно анонсировала уникальный мини-ПК ZBOX Pico PI430AJ, который является первым в мире компьютером с твердотельной системой охлаждения AirJet.  Впервые такой мини-ПК компания показывала весной, но до прилавков он доберётся лишь сейч...

Новейшая Toyota Camry не стала хитом в Китае даже несмотря на невысокие цены. За два месяца собрано всего 8 тыс. предзаказов Совместное предприятие GAC Toyota отчиталось о продажах автомобилей в феврале текущего года: за прошлый месяц компания реализовала 43 271 автомобиль. Для сравнения, в январе продажи GAC Toyota составили 71 875 автомобиль. Падение продаж объясняют Новым годом в...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Nvidia: роботы-гуманоиды за 10-20 тыс. долларов станут такими же распространёнными, как и машины, и будут широко использоваться людьми Генеральный директор Nvidia Дженсен Хуанг считает, что роботы-гуманоиды вскоре станут массовым продуктом, произведя революцию в различных отраслях. Хуан подчеркнул, что затраты на производство роботов-гуманоидов будут на удивление низкими, сравнимыми с ценами на дешевые...

Комфортом тут и не пахнет, вместо заднего ряда сидений — две «запаски», зато заявлены военные технологии и цена более 138 000 долларов. Появились подробности о внедорожнике M-Hunter от Dongfeng Появились первые фотографии и новые подробности о хардкорном внедорожнике M-Hunter от Dongfeng, который покажут на следующей неделе на Пекинском автосалоне. Ожидается, что цена составит более 138 000 долларов. M-Hunter будет оснащен различными технологиями военного уро...

Apple создала страницу-сравнение iPhone 15 с предыдущими Айфонами На официальном сайте Apple появилась новая страница с детальным и всесторонним сравнением новой линейки iPhone 15 с более ранними сериями.

Представлен Toyota 4Runner 2024 — для хороших дорог и бездорожья Компания Toyota обновляет линейку своих автомобилей, представив Toyota 4Runner 2024 модельного года, которая будет предлагаться в комплектациях SR5, SR5 Premium, TRD Sport, TRD Off-Road, TRD Off-Road Premium, Limited и TRD Pro. Независимо от того, какую комплектацию вы...

Тесты видеокарты, сравнение с RTX 4070 Ti. Обзор GeForce RTX 4070 Ti SUPER GAMING OC В начале текущего года на выставке CES компания NVIDIA расширила серию видеокарт 4000 представив новые SUPER. Это RTX 4070 Super, RTX 4070 Ti Super и RTX 4080 Super. Изменениями стали увеличения ядер CUDA и увеличение скорости работы памяти. Оригинальные снимаются производст...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Land Cruiser Prado, Crown, Corolla Cross, RAV4. Toyota продала более 800 000 машин только в Китае в 2023 году Розничные продажи автомобилей Toyota, которые были выпущены на совместном предприятии FAW Toyota в 2023 году, составили 800 018 единиц, увеличившись на 4,1% в годовом исчислении. Представители FAW Toyota подтвердили, что электрифицированные модели составили 284 983 един...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

«Автостат» назвал пикапы, которые можно продать дороже, чем они стоили 5 лет назад. В списке Toyota Hilux и Volkswagen Amarok, но лидер совсем другой Агентство «Автостат» обновило данные исследования «Сохранность остаточной стоимости автомобиля (Residual value)» по пикапам, аналитики опубликовали новый топ-5 моделей в возрасте пяти лет с лучшей остаточной стоимостью. Изображение: Is...

Samsung и SK Hynix отказываются от производства памяти DDR3 ради HBM3 Выпуск чипов HBM3 позволит значительно повысить прибыль по сравнению с DDR3

В России начали выпускать почти на 40% больше компьютеров В России выросло производство компьютеров на 38,9% в сравнении с 2022 годом

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Турпоток россиян в Европу растет, показало исследование МегаФона В минувшие новогодние праздники за рубеж выехало на 11% больше россиян в сравнении с прошлогодними зимними каникулами. Наряду с ростом поездок в Китай (в 10 раз) и другие азиатские государства, увеличилось количество посетивших европейские страны – на 8,5%. Такие выводы сдел...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

NVIDIA снимает с производства GeForce RTX 4080 и 4070 Ti для замены их моделями Super Ожидается, что GeForce RTX 4070 Super и 4070 Ti Super обеспечат заметный прирост производительности по сравнению со своими предшественниками.

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

В Минобрнауки заявили о росте числа молодых ученых в России Россия продолжает увеличивать число молодых ученых, свидетельствует отчет Минобрнауки. По данным исследования Института статистических исследований и экономики знаний НИУ ВШЭ, в 2022 году число ученых до 30 лет увеличилось на 537 человек по сравнению с предыдущим годом, пише...

Исследовательская компания раскрывает себестоимость компонентов Apple Vision Pro Общая стоимость деталей пространственного компьютера составляет $1,542, два 1,25-дюймовых дисплея micro-OLED от Sony являются самыми дорогими

Adidas планирует продать оставшиеся запасы кроссовок Yeezy по себестоимости Компания Adidas планирует продать оставшиеся запасы кроссовок Yeezy по цене, эквивалентной затратам на производство. Сотрудничество между Adidas и Канье Уэстом было расторгнуто в 2022 году из-за антисемитских высказываний последнего.

Новая версия Lada Niva Travel выйдет уже в июне АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с обновленной мультимедийной системой Lada EnjoY Pro в конце мая, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, Lada Niva Tra...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Вентиляторная улитка 12.6в на БК моторе от HDD привода. Приветствую технарей)Выкладываю на осуждение) проект на бк моторе от привода HDD. Цель проекта выяснить способности и целесообразность вторичного использования двигателя для подобных задач. В целом оно того стоило) Улитка для своих размеров крайне не плохо дует и довольно ти...

И седан, и универсал BMW M5 нового поколения смогут проезжать, не используя ДВС, до 70 км Глава BMW Оливер Ципсе заявил, что седан и универсал BMW M5 в новом поколении станут гибридами и смогут ездить без использования двигателя внутреннего сгорания. Если говорить точнее, то автомобили BMW M5 нового поколения смогут проезжать на электротяге до 70 километров ...

Подорожало ли производство iPhone 15 Pro Max в сравнении с прошлой моделью В сентябре 2023 года Apple представила семейство iPhone 15, и наиболее заметной моделью стал iPhone 15 Pro Max.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

В Россию привезли новые Skoda Octavia Pro по цене от 2,9 млн рублей Актуальная стоимость самых доступных новых Skoda Octavia Pro, поставляемых в Россию по параллельном импорту, составляет 2,89-2,9 млн рублей. В сравнении мартом, стоимость увеличилась примерно на 300 тыс. рублей. За 2,9 млн рублей Octavia Pro продается в Набережных Челн...

Самодельные портативные игровые консоли и ESPboy С некоторых пор в среде самодельщиков обрёл популярность новый формат — портативные игровые устройства на основе недорогих микроконтроллеров и OLED или TFT-экранчиков, подражающие популярным портативным игровым системам прошлого, таким как Game Boy компании Nintendo 1989 го...

Прибыль в мировой промышленности рухнула из-за Китая В июле-сентябре текущего года чистая прибыль ведущих мировых промышленных компаний уменьшилась на 9% по сравнению с аналогичным периодом прошлого года, в основном из-за замедления темпов роста в Китае. Это уже четвертый квартал подряд с сокращением прибылей, о чем пишет...

Сравнение Samsung Galaxy S24 Ultra с S23 Ultra на фото – более ... Galaxy S24 Ultra выглядит более аккуратно, чем его предшественник - значит речь может идти о близком к серийному производству прототипе.

Завод «Микрон» более чем вдвое увеличил производство чипов Завод в 2023 году увеличил производство чипов для документов и банковских карт в 2,6 раза по сравнению с 2022-м, до 7,5 млн штук. Из них порядка 4 млн чипов выпущено для загранпаспортов..

Зов Минцифры Министерство хочет набрать полторы сотни новых сотрудников.

Таким будет Samsung Galaxy S24 Ultra. Будущий флагман впервые показали в белом цвете В Сети опубликованы новые рендеры смартфона Samsung Galaxy S24 Ultra – на этот раз аппарат демонстрируют в белом цвете. Также на картинках представлен фирменный стилус. Уже известно, что Galaxy S24 Ultra не сильно изменится в сравнении с нынешним Galaxy S23 Ultra...

Продажи смартфонов в США вновь упали Сегодня компания Counterpoint Research опубликовала свой анализ рынка смартфонов в США за первые три месяца 2024 года. Согласно этой информации, поставки смартфонов в стране снизились на 8% по сравнению с предыдущим годом, что является шестым кварталом подряд со снижением об...

Toyota снова на коне: компания зафиксировала рекордные объемы международного производства и продаж В октябре текущего года объемы производства и продаж ведущего японского автоконцерна Toyota достигли рекордных значений, как отмечено в официальном пресс-релизе компании. Производство составило 900 тыс. автомобилей, что на 16,7% превысило аналогичный период прошлого год...

Легендарный гусеничный Ford Кена Блока выставили на продажу Легендарный автомобиль Ford F 150 Raptor TRAX Кена Блока, который трагически погиб в начале этого года, выставлен на продажу. В создании этого автомобиля принимала участие компания SVC Offroad. Интерьер претерпел значительные изменения: каркас безопасности был пер...

Российский IT-сектор растет темпами, вдвое превышающими мировые В 2023 году IT-сектор в России показал внушительный рост, став важным игроком национальной экономики. Доля отрасли в ВВП увеличилась до 2%, а выручка достигла 5,5 трлн рублей, что означает увеличение на 43%. Радует тот факт, что рост затрагивает все сферы от облачных техноло...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Сервис Тинькофф Касса: Россияне в январе на 19% увеличили траты на занятия спортом В рамках проекта Tinkoff Data специалистами сервиса интернет-эквайринга Тинькофф Касса проведено исследование трат россиян в январе 2024 года. Отмечается рост трат россиян на образование, спорт и медицину. Больше потратили россияне на занятия спортом в фитнес-залах, бассейна...

Майнеры биткоина готовятся к резкому падению прибыли из-за халвинга Крупнейший майнер биткоинов в США инвестирует в инфраструктуру, чтобы снизить себестоимость на фоне снижения доходов из-за предстоящего халвинга.

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Новый метод производства Tesla может вдвое снизить затраты на производство В автомобиле Tesla стоимостью 25 000 долл. будет применен инновационный подход к производству, который позволит сократить расходы. Tesla называет новый подход к производству методом "unboxed".

Трафик в сети Tele2 во время президентской линии увеличился на 5%, лидеры по приросту – Wink и Rutube Tele2 зафиксировал рост трафика в сети во время прямой линии с президентом РФ Владимиром Путиным 14 декабря. Прямая линия, совмещенная с пресс-конференцией, длилась 4 часа – во время трансляции дата-трафик увеличился на 5% по сравнению с предыдущим днем. Лидерами по росту ст...

SMIC столкнулась с проблемами при переходе на производство 5-нм чипов Компания SMIC столкнулась с трудностями при переходе на производство 5-нм чипов из-за высоких затрат и низкого выхода продукции, что может привести к увеличению стоимости чипов до 50% по сравнению с TSMC.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

«Некоторые из наших клиентов не поверили данным, пока не проверили их на практике». Память HBM3 Gen2 уже тестируется Nvidia Компания Micron уже начала поставки памяти HBM3 Gen2 для Nvidia, и результаты тестирования, похоже, очень впечатляющи.  Память поставляется пока лишь для тестирования: массовое производство ещё, видимо, не началось. Однако уже сейчас понятно, что новая память може...

Disney и Epic Games объединились, чтобы создать «новую игровую вселенную» на движке Unreal Engine Disney инвестирует в Epic полтора миллиарда долларов. Что будет совсем не лишним.

Покупатели «сбежали» от Apple и Samsung к Huawei и Xiaomi. Статистика рынка планшетов за прошлый квартал оказалась довольно неожиданной Аналитики IDC оценили рынок планшетов по итогам первого квартала 2024 года.  За этот период рынок в годовом выражении почти не изменился: продажи выросли на 0,5%, до 30,8 млн единиц. При этом показатели лидеров рынка, напротив, изменились либо заметно, либо о...

Hyundai примет участие в олдскульном ралли по картам и компасу. Представлен раллийный Santa Cruz Hyundai сообщила о том, что она примет участие в заезде Rebelle Rally, который состоится на этой неделе. Специально для этого была представлена раллийная версия Santa Cruz, которая имеет внедорожные улучшения и специальную окраску. Hyundai участвовала в прошлогоднем рал...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Российские космонавты создадут трубчатые органы с помощью 4D-биопечати В этом году на борту Международной космической станции (МКС) пройдёт эксперимент с использованием 4D-биопечати, в ходе которого планируется получить эквиваленты трубчатых органов. Такой эксперимент будет проведён впервые в мире, рассказал командир отряда космонавтов Рос...

Названы самые популярные у туристов места в Хакасии Хакасия стала более привлекательной для российских туристов. В сравнении с прошлым годом спрос на отдых в республике увеличился в 15 раз. Самыми популярными локациями этого года стали Тропа предков, Саяно-Шушенская ГЭС, озеро Белё и Туимский провал. К такому выводу пришли эк...

Представлен Toyota Land Cruiser 70 Series в версии Modelista Классический Toyota Land Cruiser 70-й серии вернулся в Японию после 9-летнего перерыва, а компания Modelista, которая является собственным тюнинг-ателье Toyota, предложила выбор дополнительных аксессуаров для персонализации. Modelista предлагает внешние модификации Lan...

Археологи обнаружили еврейскую табличку с проклятиями и самым древним именем Бога Древняя еврейская табличка с текстом, созданная более 3000 лет назад Небольшая табличка, размером с крупную почтовую марку, может содержать древние проклятия на ранней форме иврита. Кроме того, по мнению специалистов, текст призывает Бога проклясть человека, нарушившего свое...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Новейший Samsung Galaxy S24 Ultra сравнили с прошлогодними Galaxy S23 Ultra и iPhone 15 Pro Max Сравнение смартфонов Samsung Galaxy S23 Ultra и Samsung Galaxy S24 Ultra , а также их камер опубликовал известный инсайдер Ice Universe. На фотографиях нам наглядно демонстрирует преимущества нового защитного стекла, которое использовано в Samsung Galaxy S24. Оно позв...

Москвичи стали потреблять втрое больше мобильного контента в метро Мобильный оператор Билайн сообщил, что за последний год количество пользователей мобильного интернета в московском метро выросло на 34%. В то же время, потребление контента в социальных сетях и мессенджерах увеличилось в 2,5 раза, а трафик на видеоплатформах также увеличился...

Hisense выпускает на рынок новый Side-by-side холодильник Hisense, один из ведущих мировых брендов по производству бытовой техники и электроники, расширяет ряд Side-by-side холодильников и представляет модель RS840N4AIF. Она имеет общий полезный объем 652 л и продуманные решения для правильного и удобного хранения продуктов. Этот ...

Россия уже сама выпускает более 50% продаваемых в стране шин Продажи шин в России по итогам первого квартала 2024 года выросли до 26,8 млрд рублей, при этом более половины заняли шины российского производства (51%) благодаря перезапуску заводов, о чем пишет «Коммерсантъ» со ссылкой на данные системы маркировки «...

Седаны умерли? Они составляют уже менее 2,5% от продаж Hyundai Hyundai Motor сообщила, что в феврале по всему миру было продано 314 909 автомобилей, что на 4,1% меньше, чем в прошлом году. Из них 47 653 автомобиля были проданы в Южной Корее, что на 26,7% меньше, чем годом ранее. За рубежом было продано 267 256 автомобилей, рост сос...

Дорого-богато и скорость 480 км/ч. Представлен карбоново-золотой Koenigsegg Jesko Attack Koenigsegg выпустит всего 125 экземпляров Jesko, при этом завод на днях покинул и экземпляр, полностью облицованный глянцевым углеродным волокном с акцентами из 24-каратного золота. В случае с этим Jesko каждая деталь экстерьера выполнена из карбона с прозрачным покрыти...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Экономят? Россияне стали реже дарить iPhone и технику Apple на 8 марта, по данным МТС В этом году интерес к цифровым устройствам перед 8 марта превзошел прошлогодний, а также периоды перед 14 и 23 февраля текущего года, о чем говорится в новом исследовании МТС. Продажи выросли на 20-35% по сравнению с февральскими праздниками и на 38% по сравнению с пред...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Тестируем новые ионисторы: взорвутся или нет? Мы продолжаем рассказывать о внутренней кухне Wiren Board. В наших контроллерах используются модули резервного питания WBMZ4-SUPERCAP на суперконденсаторах (ионисторах).Мы постоянно ищем новые компоненты, которые позволят сделать продукты Wiren Board лучше или снизить себест...

Машины, которые выпускают в России, рекордно подорожали Цены на российские автомобили выросли на 5,5%, согласно данным Росстата за период с 31 октября по 7 ноября. В тот же период иномарки слегка подешевели на 0,4%. Рост цен на отечественные автомобили на 5,5% является рекордом за этот год. С начала текущего года цены на авт...

Космические силы США и Rocket Lab заключили контракт на $14,4 млн. в попытке запустить новый стандарт спутниковой платформы — плоский DiskSat 8 апреля космические силы США объявили, что заключили контракт на сумму $14,4 миллиона с Rocket Lab для запуска эксперимента в рамках программы космических испытаний на низкой околоземной орбите. Планируется, что миссия STP-S30 состоится в 2026 году с помощью ракеты-нос...

«Автостат»: с начала 2022 года средняя стоимость новой машины в России выросла в полтора раза В абсолютных же цифрах - около миллиона рублей

Названы любимая иномарка россиян и самые популярные модели Haval В январе текущего года китайский бренд Haval стал лидером на российском рынке автомобилей иностранного производства. Согласно данным АО «ППК», за первый месяц года было продано 9620 новых автомобилей этого бренда, что в 2,3 раза больше, чем в прошлом году. Д...

Уютное приключение Song of Nunu: A League of Legends Story вышло на консолях Экшен-приключение из серии A League of Legends Story наконец-то добралось до консолей Xbox One, Xbox Series X|S и PlayStation 4|5 спустя несколько месяцев после релиза на ПК и Switch. Уютная и тёплая история Song of Nunu от разработчиков из студии Tequila Works — авторов RiM...

Томские ученые исследуют металлокерамические композиты на основе карбида вольфрама для аддитивного производства Ученые физико-технического факультета Томского государственного университета и Института физики прочности и материаловедения СО РАН опубликовали статью, описывающую подбор стабилизирующих добавок для металлокерамических смесей на основе карбида вольфрама и апробацию материал...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Польские пасечники требуют отменить беспошлинный ввоз украинского мёда и грозятся выйти на границу Украинские пчеловоды разоряют польских фермеров, продавая мёд в 10 раз дешевле себестоимости

Цифры от QIWI Кошелька: 2010 vs 2009 В октябре Ассоциация электронных денег, в которую входит и QIWI Кошелек, обнародовала данные по рынку. По итогам первых трех кварталов 2010 года объем пополнений кошельков составил около 40 млрд. рублей (+40 % к аналогичному периоду 2009 года), а количество активных электрон...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Китай намерен наладить производство 5-нм чипов "любой ценой" SMIC будет поставлять Huawei дорогие и неэффективные 5-нм кристаллы. Используя обходной маневр, связанный с запретом на экспорт, SMIC может достичь выхода годных чипов не более 30-40% по сравнению с более чем 80% у TSMC

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Спрос и предложение на российские автомобили выросли, а средняя цена – снизилась. Данные «Авито Авто» Эксперты платформы «Авито Авто» провели анализ динамики рынка новых отечественных автомобилей, выявив значительный рост как спроса, так и предложения. В период с мая по октябрь 2023 года спрос на российские автомобили вырос на 139,7%, а предложение увеличило...

Цены — не помеха. В январе 2024 году в России продаётся машин почти вдвое больше, чем годом ранее По итогам января 2024 года ожидается продажа более 80 тысяч новых легковых автомобилей на российском рынке, что на 80% по сравнению с январем прошлого года и на 7% меньше по сравнению с январем 2022 года. Как сообщает агентство «Автостат», в начале 2024 года...

Экспортные поставки столичной несырьевой неэнергетической продукции увеличились на 40% Экспорт московской несырьевой неэнергетической продукции вырос на 40% по итогам семи месяцев текущего года по сравнению с аналогичным периодом прошлого года. На сегодня столичная продукция поставляется более чем в 160 стран мира, где главными импортерами являются Белоруссия,...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Себестоимость компонентов Apple Vision Pro составляет 44% от розничной цены гарнитуры Apple Vision Pro имеет астрономическую цену в 3500 долларов. При этом, по данным исследовательской компании Omdia, стоимость комплектующих AR-очков составляет только $1542. Самым дорогостоящим компонентом Apple Vision Pro является экран. Сообщается, что дисплеи 4K micro…

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

За январь 2024 года в Белоруссии продали в шесть раз больше автомобилей Geely, чем Lada Белорусская автомобильная ассоциации (БАА) опубликовала топ брендов в январе 2024 года в стране. На первом месте Geely: за месяц продано 1203 автомобиля этого бренда. Geely Emgrand — абсолютный хит 2023 года на автомобильном рынке в Белоруссии Lada на втором...

Даже экономичный 1,0-литровый двигатель Ford EcoBoost оказался проблемным Ford объявил об отзыве некоторых моделей EcoSport 2018–2022 годов и Focus 2016–2018 годов, оснащенных трехцилиндровым двигателем и автоматической коробкой передач 6F15. Они могут пострадать из-за потери давления моторного масла из-за неисправности рычага нат...

Настоящий праздник. Sony сделала все игры бесплатными для PlayStation 5 Игровая приставка Sony PlayStation 5 является одной из самых популярных во всем мире, а стоит она сравнительно немного – всего $400 долларов за базовую модель без дисковода. Такая сумма денег фактически равноценна себестоимости приставки, но Читать полную версию публикации ...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

Intel показала, чем будет завоёвывать рынок искусственного интеллекта. Компания продемонстрировала ускоритель Gaudi3 Компания Intel не только заявляет, что «вся индустрия заинтересована в ликвидации рынка Nvidia CUDA», но и продолжает разрабатывать собственные ускорители для ИИ. Сегодня Intel продемонстрировала модель Gaudi3, которая должна выйти в следующем году.  ф...

Haval в России уже практически вышла на уровень Volkswagen и Toyota по объему выручки «Финмаркет» раскрыл финансовые показатели OOO «Хавейл Мотор Рус» за 2023 год, и они выглядят впечатляюще: компания нарастила чистую прибыль в 25,8 раза — до 7,5 млрд рублей, а выручка достигла 323 млрд рублей — в сравнении с 2022 годо...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Повышение стоимости «Москвичей» неизбежно и вот почему Генеральный директор КамАЗа Сергей Когогин объяснил в интервью «Ведомостям», почему автомобили «Москвич» будут дорожать и это неизбежно. Дело в том, что финансовая модель присчитывалась при курсе юаня на уровне 9 рублей, а сейчас китайская валюта...

В российских регионах упала скорость мобильного интернета: они часто получают оборудование по остаточному принципу Согласно данным агентства TelecomDaily, скорость интернета в российских регионах сократилась с 20 Мбит/с до 18,7 Мбит/с. В то время как в Москве отмечается увеличение этого показателя. Гендиректор агентства, Денис Кусков, поясняет, что рост скорости в столице обусловлен...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Представлен BMW M2 с 560-сильным мотором и новой подвеской Немецкое ателье Manhart представило доработанный BMW M2 нового поколения. Мощность двигателя увеличилась на 100 л.с. Кроме того, автомобиль получил новую подвеску, более агрессивный карбоновый обвес и переименован в MH2 560. Двигатель S58 объемом 3 литра с двойным турб...

Удаленно следим за состоянием газового котла Из рекламы молока всем известно, как хорошо иметь домик в деревне. Вариаций мемов на эту тему огромное количество, но суть одна — необходимо много работать. Например, в промышленных масштабах выращивать помидоры, крутить компот из ябло...

Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra получили большие испарительные камеры для лучшего охлаждения. А у Galaxy S24 Ultra сверхпрочное стекло Gorilla Glass Armor Не самое заметное улучшение новых смартфонов Samsung линейки Galaxy S24, но важное: компания снабдила устройства серии испарительными камерами большего размера. А, как известно, чем лучше охлаждение, тем меньше вероятность тротлинга CPU. В сравнении с Galaxy S23 испари...

Планы NASA по сокращению затрат с использованием ракеты SLS маловероятно принесут ожидаемую экономию Планы NASA по приобретению будущих запусков системы Space Launch System, скорее всего, не приведут к ожидаемому снижению затрат, пришло к заключению Управление генерального инспектора (NASA OIG), рекомендуя NASA сохранять открытость для альтернативных носителей.  В...

Эко-спутник LignoSat из дерева запланирован к запуску в 2024 году Результаты недавнего теста на МКС, во время которого различные виды дерева были подвергнуты воздействию космического вакуума, были подтверждены исследовательской группой проекта в университете Киото (Япония). Подтвердилось, что древесина устойчива к условиям космическог...

«Москвич» собирается продать вдвое больше машин, чем в прошлом году В этом году столичный автозавод планирует продать 27 тыс. автомобилей, а в следующем году собирается увеличить продажи практически в полтора раза Пресс-служба столичного автозавода подтвердила, что в этом году «Москвич» планирует отгрузить в салоны 27 тыс. а...

[Перевод] Xv6 Глава 1: Интерфейсы операционной системы Эта книга рассказывает о принципах работы операционных систем на примере xv6. Операционная система xv6 реализует базовый интерфейс, который Кен Томпсон и Деннис Ритчи предложили в операционной системе Unix, и подражает внутреннему устройству Unix. Комбинации простейших механ...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Россияне активно скупают бытовую технику и электронику. Продажи выросли на 28% за год, согласно данным «Русского стандарта» Россияне стали активно скупить технику и электронику: продажи в первом квартале 2024 года выросли на 28% в сравнении с тем же периодом годом ранее, как сообщили в банке «Русского стандарта» (располагает одной из самых больших эквайринговых сетей в стране). &...

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

Toyota установила абсолютный рекорд по производству и продажам автомобилей в августе Toyota Motor сообщила, что в августе мировое производство её машин выросло на 4% по сравнению с тем же периодом годом ранее, до 798 771 автомобиля, что стало рекордом для последнего летнего месяца за всю историю компании. Внутреннее производство крупнейшего в мире автоп...

США предрекли «бум» труда Последние полтора десятилетия не были благоприятными для роста производительности труда в мире, особенно в развитых странах. Однако в новом отчете McKinsey Global Institute говорится о том, что США, возможно, находятся на «пороге перелома».

Samsung в ударе: компания выпустила в первом квартале 2024 года 64,5 миллиона смартфонов и планшетов, хотя планировала выпустить только 53 миллиона Samsung перевыполнила собственный же план по выпуску новых мобильных устройств — смартфонов и планшетов — на 22%. Как сообщает корейский ресурс The Elec, при плане в 53 миллиона единиц на первый квартал текущего года Samsung выпустила 64,5 миллиона смартфоно...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Предварительная версия Office LTSC 2024 выйдет в апреле Компания Microsoft объявила, что публичное тестирование Office LTSC 2024 начнётся в апреле, а полноценный релиз этой версии офисного пакета состоится в конце 2024 года. Сообщается, что Office LTSC будет существовать параллельно с продуктом Microsoft 365, распространяемым по...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Китайская компания SMIC в шаге от производства 5-нм чипов, несмотря на торговые ограничения Сообщается, что компания построила два новых завода по производству чипов.

«Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона». Появились первые фотографии, сделанные на новые смартфоны Эффектные фотографии, которые сделаны на камеры Vivo X100 и X100 Pro, опубликовал китайский инсайдер Ice Universe. «Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона», — так прокомментировал снимки Ice Universe. Стоит отметит...

Следующий большой «Москвич» показали внутри и снаружи: живые фото «Москвича 8» Следующей моделью «Москвича», производство которой будет запущено в этом году, станет большой кроссовер «Москвич 8». Живые фотографии опубликовала «Российская газета». Машину показывали журналистам в связи с визитом мэра Москвы Серге...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

На крупнейшем видеоресурсе России зафиксирован рекорд — 2 млрд просмотров за день в «VK Клипах» Во время новогодних праздников пользователи социальной сети «ВКонтакте» посмотрели «VK Клипы» рекордное количество раз, о чем пишет «Лента.ру», ссылаясь на пресс-релиз компании. 3 января пользователи пользователи установили рекорд &md...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

В России возобновится производство Hyundai Solaris, Hyundai Creta и Kia Rio. Все подробности Автоэксперт и ведущий Telegram-канала «Русский автомобиль» Сергей Цыганов раскрыл очень интересные подробности о будущем российского завода Hyundai – Hyundai Motor Manufacturing Rus (ХММР). По его словам, предприятие достанется компании «Авилон&r...

Клаксон Lada Vesta NG стал тише. Опубликовано сравнение На сайте «Лада.Онлайн» разместили отзыв еще одного владельца новой Lada Vesta NG, который утверждает, что АвтоВАЗ уже экономит и на клаксоне. Для сравнения он выложил два видеоролика. В первом демонстрируется звук сигнала Lada Vesta NG 2022 года выпуска, а ...

Что пообещал рабочим Ford, чтобы прекратить самую масштабную забастовку: появились подробности о соглашении с UAW Примерно через полтора месяца после начала забастовки профсоюз UAW достиг предварительного соглашения с Ford. Генеральный директор Ford Джим Фарли подтвердил эту новость в кратком заявлении, в котором говорилось: «Мы рады достичь предварительного соглашения о ново...

Wall Street Journal: Rheinmetall имеет амбиции по производству новейших танков «Пантера» в Украине Компания уже пытается наладить производство бронетехники на украинских территориях.

Counterpoint Research: Samsung Galaxy S24 Plus отбирает пользователей у Galaxy S24 Ultra и Galaxy S24 Аналитики Counterpoint Research опубликовали данные о продажах смартфонов Samsung Galaxy S24 за первые три недели текущего года (с момента страта продаж). И тут есть кое-что интересное — не в самих продажах (они выросли на 8% относительно линейки Galaxy ...

Компания Textron Systems начала поставлять SECAT - новый военный транспорт-амфибию США Американская компания Textron Systems, занимающаяся разработкой и производством аэрокосмической и оборонной продукции, начала производство нового высокоскоростного транспортного средства-амфибии SECAT.

Lada Granta подорожала на 50 тыс. рублей, Niva — на 60 тыс. рублей. АвтоВАЗ поднял цены почти на все модели Lada Случилось то, о чем говорили инсайдеры и сам АвтоВАЗ: Волжский автозавод поднял цены на свои машины. Прибавили почти все модели — подорожание не коснулось лишь Lada Vesta. Изображение: Lada Не подорожала и Lada Granta, но только в самой просто базовой версии. А у...

Новый сезон Diablo IV вызвал у игроков зевоту Полторы недели назад Blizzard Entertainment анонсировала третий сезон Diablo IV. На словах он звучал прекрасно — геймерам сулили битву с роботами-конструктами в подземельях, полных ловушек

Покупатели стали чаще жаловаться на КамАЗ К5, уровень брака по вырос на 21,4% Редко бывает, когда автопроизводитель сам честно говорит о браке в своих автомобилях, но КамАЗ не намерен скрывать всю правду, даже если она не очень приятная. Как сообщает корпоративный ресурс «Вести КамАЗа», завод проанализировал рекламации ...

«Сколково»: снижение себестоимости разработок укрепит технологический суверенитет России В ходе Международного экспортного форума «Сделано в России-2023» вице-президент, исполнительный директор кластера энергоэффективных технологий Фонда «Сколково» Алексей Паршиков совместно с экспертами отрасли обсудил снижение себестоимости технологических продуктов для укрепл...

Производителей полупроводников, электромобилей, аккумуляторов и «зеленой стали» переведут на низкие налоги в Японии Правительство Японии планирует снизить корпоративный налог для компаний, активно вкладывающихся в различные стратегически важные секторы. Речь идет о производстве инновационных полупроводников, электромобилей, и аккумуляторов-накопителей повышенной емкости. Согласно инф...

Появились кадры производства дронов-камикадзе Герань 2 и склада новых беспилотников Военкоры пишут, что производство в сутки уже перевалило за 100 единиц

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Apple назвала официальную дату выпуска новых планшетов iPad с чипом Apple M3 С момента выпуска последнего iPad прошло более полутора лет, но теперь стала известна дата выпуска новых купертиновских планшетов.

Инсайдер рассказал о возможностях новой PlayStation 5 Pro Производительность трассировки на PS5 Pro, по слухам, увеличится в три раза.

GeForce RTX 4090 стремительно дорожает в Китае на фоне новых экспортных ограничений США Ценники увеличились в 2-4 раза.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

В Ростове-на-Дону становятся популярными онлайн-консультации с психологами Значительно выросло среднее время, которое пользователи уделяют онлайн-психологам. Посещаемость психологических онлайн-сервисов в России в 2023 году выросла более чем в полтора раза, а мобильный интернет-трафик таких ресурсов за год увеличился на 81%. Об этом свидетельствую...

Ученые достигли "революции" в производстве солнечных батарей Солнечная энергетика стоит на пороге прорыва. Исследователи разработали новый метод производства солнечных батарей с использованием перовскита — материала нового поколения, который может значительно превзойти традиционные кремниевые панели.

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Из-за торговых ограничений США крупные компании переносят производство чипов из Азии Компании Amazon, Google, Microsoft и другие рассматривают Мексику в качестве нового центра производства серверов для искусственного интеллекта.

Nvidia расширяет производство чипов искусственного интеллекта в Японии Одновременно с этим Китай вкладывает значительные средства в превращение Гонконга в новый центр производства полупроводников.

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Исследование: в 2023 году рынок ПК рухнул в сравнении с 2022-м В 2023 году рынок ПК пережил значительное снижение по сравнению с предыдущим годом.

iPhone 16 Plus получит меньшую емкость аккумулятора в сравнении с iPhone 15 Plus iPhone 16 Plus будет иметь меньшую емкость аккумулятора по сравнению с iPhone 15 Plus

Насколько хуже выглядит Resident Evil 4 на iPhone 15 Pro в сравнении с PlayStation 5 Сравнение графики Resident Evil 4 Remake на PlayStation 5 и iPhone 15 Pro показал заметные различия в качестве графики.

Почти все флагманы 2024 года будут построены на этой SoC. Представлена Snapdragon 8 Gen 3, первый смартфон на ее базе – Xiaomi 14 Qualcomm официально представила флагманскую однокристальную систему Snapdragon 8 Gen 3. Эта платформа будет использоваться в Xiaomi 14 и Xiaomi 14 Pro, IQOO 12, Samsung Galaxy S24 Ultra, Honor Magic6 Pro и многих других флагманах 2024 года.   Процессор SoC разделе...

Бешенная Alpina B7 вернётся с новым поколением BMW 7 серии Как сообщает BMW Blog, мюнхенский автопроизводитель собирается получить контроль над Alpina с 1 января 2026 года. Напомним, Alpina десятилетиями продавала модифицированные версии существующих моделей BMW с большим успехом на различных рынках. Также сообщается, что на ры...

Российские грузовики уже занимают менее 30% рынка РФ По итогам первых десяти месяцев 2023 года доля новых отечественных грузовиков на российском рынке сократилась до 29,4%, в то время как китайские производители значительно увеличили объемы продаж, захватив 61,1% рынка. Об этом информирует агентство НАПИ, специализирующее...

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

Доходы Nvidia вновь выросли, и всё благодаря ИИ За последние полтора года компания переживает невероятный рост всех своих финансовых показателей благодаря спросу на ее новую продукцию: специализированные и очень дорогие полупроводники, которые используются для обучения сервисов искусственного интеллекта, в том числе чат-б...

Процессоры Intel Meteor Lake демонстрируют снижение IPC по сравнению с Raptor Lake Об этом свидетельствуют независимые тесты, проведенные обозревателем Дэвидом Хуангом при сравнении Intel Core Ultra 7 155H и Core i7-13700H

Представлен новый Ford Bronco Sport — теперь ещё дешевле Ford Bronco Sport 2024 года стал немного дешевле. Миниатюрная версия мощного внедорожного внедорожника Ford теперь стоит от 31 390 долларов в США, что на 1 435 долларов меньше, чем раньше. Ford снизил цену на все комплектации, за исключением Badlands высшего уровня. Ком...

Шойгу подвёл итоги 2023 года по наращиванию военного производства и поставил новые задачи ОПК В 2024 году ставка оборонных предприятий будет сделана на производство высокоточных боеприпасов.

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Оказывается, титановый корпус не такой уж и дорогой. Подсчитана стоимость комплектующих iPhone 15 Pro Max Аналитики Counterpoint Research подсчитали стоимость комплектующих iPhone 15 Pro Max и выяснили, что в сравнении с предшественником, iPhone 14 Pro Max, компоненты для смартфона обходятся Apple на 37,7 долларов дороже. Казалось, что столь солидная прибавка обеспечена в о...

Стала известна себестоимость Apple Vision Pro Аналитическая компания Omdia провела подсчеты и назвала примерную стоимость компонентов, которые нужны для сборки одного Apple Vision Pro. По словам экспертов, яблочная гарнитура стоит Apple 1542 доллара без учета затрат на упаковку, сборку, логистику, поддержку и маркетинг....

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Действительно так быстро? Появились результаты тестов новейшего Apple MacBook Air с чипом M3 и сравнение с M2 Опубликованы первые результаты тестов нового MacBook Air, позволяющие оценить производительность чипа M3 в последних ноутбуках Apple. В результате Geekbench 5, опубликованном сайтом MySmartPrice, MacBook Air с чипом M3 и 16 ГБ унифицированной памяти набрал 3157 баллов в...

Костромская областная аптечная база запустила новую линию производства лекарств Костромская областная аптечная база внедрила новую линию по производству лекарственных препаратов, увеличив перечень выпускаемых медикаментов с 90 до 250 позиций, пишет РИА Новости.

Это Air 3 mini? Главное - не перепутать: представлен дрон DJI Mini 4 Pro Компания DJI представила свой новый компактный дрон весом менее 250 граммов – DJI Mini 4 Pro. Он представляет собой небольшое обновление DJI Mini 3 Pro, а большинство нововведений унаследованы от модели DJI Air 3, за что поклонники бренда уже прозвали его «A...

Apple готовится представить новые модели iPad и MacBook в 2024 году Apple анонсирует новые модели iPad и MacBook в 2024 году: iPad Air увеличится до 12,9 дюймов, iPad Pro получит экран OLED и чип M3, а MacBook Air - опцию с диагональю 15 дюймов.

Цены на смартфоны в России перед Новым Годом могут существенно увеличиться Прогнозируется рост стоимости на 15-20 %.

Realme GT 5 Pro против Realme GT 5: сравнение технических характеристик Цель этого сравнения - провести глубокий анализ этих устройств, уделяя особое внимание различным аспектам

NVIDIA GeForce RTX 4070 Ti SUPER против AMD Radeon RX 7900 XT: сравнение FPS Ранее у нас уже были результаты тестов и сравнений RTX 4070 Ti Super с оригинальной RTX 4070 Ti, а также с более старшей RTX 4080 в 4K. Читать полную статью

Ученые Кантианы исследовали торфяные добавки к бетонным смесям Ученые Балтийского федерального университета имени Иммануила Канта рассчитывают на применение бетонных смесей с торфяными добавками в строительной 3D-печати. Это позволит снизить себестоимость и улучшить ряд характеристик, включая плотность и прочность.

Очередность и сроки выхода абсолютно новых моделей Lada назвал глава Минпромторга РФ АвтоВАЗ выпустит три новые модели Lada до 2026, при этом очередность выхода моделей подтвердил вице-премьер — глава Минпромторга РФ Денис Мантуров, возглавляющий совет директоров АвтоВАЗа. «В ближайшие три года будет запущено производство трех моделей: Lada ...

Обзор-сравнение 3D-принтеров Maestro Grand и Maestro Grand 2 3D-принтеры Maestro Grand и Maestro Grand 2 — крупные 3D-принтеры, печатающие по технологии FDM. Они предназначены для использования в учебных заведениях и бизнесе, таком как 3D-печатные ателье, бюро конструирования и разработки, на производствах и в любых других областях пр...

В Москве выпуск высокотехнологичной продукции вырос в 2,7 раза Производство высокотехнологичной продукции в Москве стремительно развивается, как сообщил заместитель мэра Москвы Максим Ликсутов. За первые три месяца текущего года объем выпуска компьютерной и оптической техники вырос почти в 2,7 раза по сравнению с прошлым годом.

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Совершенно новый Geely Atlas уже в салонах, машины начнут выдавать клиентам 1 февраля Новый кроссовер Geely Atlas уже доступен в российских шоу-румах, и начиная с 1 февраля клиентам начнут выдавать заказанные автомобили. Цены на модель были объявлены 17 января, предлагая три комплектации: Luxury за 2 194 900 рублей, Flagship за 3 394 990 рублей и Flagshi...

Россияне стали чаще менять смартфоны и переходить с бренда на бренд: пользователи Apple самые «верные» Команда аналитиков оператора МТС опубликовала интересную статистику. Как показало исследование, в 2023 году российские пользователи смартфонов начали чаще обновлять свои гаджеты.  Сгенерировано нейросетью Midjourney За первые девять месяцев 2023 года частота обнов...

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Небольшой российский «Тихон» превратит любой автомобиль в беспилотник. Комплекс весит 12 кг, но его массу планируют снизить вдвое В Луганске тестируют прототип комплекса беспилотного управления «Тихон», с помощью которого по сути любую машину можно сделать беспилотной. Комплекс беспилотного управления «Тихон» разработали двое энтузиастов из Москвы, в данный момент он весит ...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

iPhone SE 4 получит аккумулятор более чем в полтора раза большей ёмкости, чем у iPhone SE 3 iPhone SE 4, который, как ожидается, выйдет в 2025 году, получит аккумулятор, как у iPhone 14.  MacRumors сообщает, что частично собранные прототипы, информацию о которых удалось добыть источнику, имели элемент питания A2863, который как раз используется в базовых...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)