Социальные сети Рунета
Среда, 15 мая 2024

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Эпоха 2-нм чипов: ASML отгружает EUV-литографы третьего поколения. Что это значит для индустрии Источник: st.overclockers.ru Нидерландская компания ASML продолжает развиваться, разрабатывая новые технологии для производства современных чипов. В конце 2023 года стало известно, что компания разработала литограф, способный работать с 2-нм техпроцессом. И сейчас началась...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Intel отказалась от своей технологии криогенного охлаждения процессоров Intel впервые представила технологию Cryo Cooling с выпуском 10-го поколения процессоров Core (Comet Lake).

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Samsung Galaxy Book4 Ultra: главный противник MacBook Pro с мощным процессором Intel Samsung повышает ставки на поле битвы ноутбуков, представив свою новейшую модель Galaxy Book4 Ultra, которая должна составить конкуренцию последним MacBook Pro. Этот преемник Galaxy Book3 Ultra четвертого поколения оснащен современными компонентами, включая процессоры Intel ...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Развивая технологию F5G, Huawei запускает три корпоративные оптические сети На саммите «Эволюция F5G, повсеместное внедрение интеллекта», проведенном в рамках конференции HUAWEI CONNECT 2023, компания Huawei поделилась своими методами применения технологии F5G с глобальными клиентами и партнерами в различных отраслях, от электроэнергетики до образов...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Процессоры Intel Core Ultra 200 могут выйти в третьем квартале 2024 года Первоначальный дебют процессоров на платформе LGA-1851 предполагался в четвертом квартале

Tesla предложит технологию FSD китайским клиентам очень скоро Это крупнейший автомобильный рынок мира, интересы местных клиентов нельзя игнорировать.

Китайские клиенты ASML просят компанию ускорить поставки заказанного оборудования Это не тот случай, когда хотят сибаса побыстрей.

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Инсайдер: Новый процессор Snapdragon 7 станет «маленьким 8 Gen 3» Инсайдер Digital Chat Station поделился новыми сведениями о готовящемся к выпуску новом мобильном процессоре Qualcomm Snapdragon 7-й серии

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Mozilla откладывает выпуск Thunderbird для Android Команда Mozilla объявила об отсрочке запуска Android-версии почтового клиента Thunderbird. Разработчики решили не спешить с выпуском и сосредоточиться на доработке всех запланированных функций

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Новые подробности о мобильных процессорах Intel Panther Lake Компания Intel готовится к выпуску нового поколения процессоров Panther Lake для настольных компьютеров и ноутбуков

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Наконец-то базовые AirPods получат шумоподавление. Правда, за него придётся доплатить Компания Apple наконец-то наделит свои самые доступные беспроводные наушники технологией активного шумоподавления.  Новые базовые AirPods, как утверждает Bloomberg, выйдут в следующем году. Внешне они будут похожи на нечто среднее между текущими AirPods и AirPods ...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

NVIDIA планирует выпустить GeForce RTX 5090 и RTX 5080 Blackwell в конце 2024 года По слухам, компания NVIDIA планирует выпустить новое поколение графических процессоров под названиями GeForce RTX 5090 и RTX 5080 Blackwell в четвертом квартале 2024 года.

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Клиенты Wildberries смогут отменить покупку сразу после заказа Wildberries объявил о запуске новой функции: возможности отмены покупки непосредственно после заказа. Это предложение будет полезно, если покупатель ошибся в выборе товара, адреса доставки или случайно заказал большее количество товаров, чем планировал.

Тесты новейшего процессора Intel Core Ultra 5 135U попали в Сеть Готовящийся к выпуску процессор Intel Core Ultra 5 135U Meteor Lake неожиданно дебютировал на Geekbench, продемонстрировав уникальную конфигурацию ядер.

Процессор Apple M3 Ultra получит впечатляющие 80 видеоядер Apple готовит к выпуску новый флагман в мире процессоров — M3 Ultra, который, как ожидается, станет самым мощным чипсетом компании.

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Defense Post: ВМС США заказали у Boeing партию истребителей F/A-18 на сумму $1,1 млд Компания Boeing продлевает выпуск палубных истребителей из-за поступившего заказа

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Huawei выпустила собственный 5-нм процессор В конце лета Huawei представила смартфон Mate 60, который стал первым устройством, оснащенным одним из новых фирменных 7-нм процессоров компании. Kirin 9000S стал первым в линейке чипов, не подпадающих под санкции. Теперь китайский производитель объявил о выпуске нового 5-нм...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Армия США заказала у Lockheed Martin ракеты PrSM на 219 млн долларов Компания Lockheed Martin получила четвертый контракт на производство высокоточных ударных ракет PrSM для армии США, которые способны поражать цели на дальности до 499 километров с высокой точностью и надежностью.

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Новые Lada Largus в Ижевске красят уже в четыре разных цвета При тестовой сборке Lada Largus используются разные цвета, о чем сообщили в корпоративной группе «На заводе Ижевск» в соцсети «ВКонтакте». На прошлой неделе в цехе окраски кузовов началось освоение нового цвета — темно-серого металлика &laq...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Сбер запустил доставку карт за 15 минут Сбер представил новую услугу — ускоренную доставку банковских карт по всей стране. Теперь клиенты могут получить свою дебетовую СберКарту всего за 15 минут, заказав ее через приложение СберБанк Онлайн и выбрав опцию «Хочу быструю доставку». Сервис уже доступен в более ч...

MediaTek Dimensity 9400 набрал 9800 баллов в многоядерном тесте Geekbench Выпуск чипсета Dimensity 9400 ожидается в четвертом квартале этого года.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

AMD Zen 5: новая утечка информации о мощных процессорах Утечка информации о поставках раскрыла секреты грядущих процессоров AMD, включая долгожданную архитектуру Zen 5. Эта утечка позволяет заглянуть в планы AMD по выпуску настольных и мобильных процессоров следующего поколения, намекая на значительный прирост производительности ...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

В Китае оформили уже 30 000 заказов на новейший кроссовер Li Auto L6, а в России он уже подешевел Li Auto сообщила о том, что объем заказов на кроссовер Li Auto L6 достиг отметки в 30 тысяч. Это говорит о большой популярности кроссовера, ведь заказы начали принимать всего 16 дней назад. В компании подчеркнули, что поставки заказанных машин осуществляются бесперебойн...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

TSMC торжественно откроет предприятие в Японии уже 24 февраля Но выпуск продукции будет налажен только в четвёртом квартале.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Не стоит бояться, что новые процессоры AMD обеспечат незначительный прирост производительности. CPU на Zen 5 уже тестируются клиентами AMD Чистая прибыль AMD выросла на 1000% и сравнялась с чистой прибылью Intel Компания AMD уже располагает образцами процессоров на архитектуре Zen 5, а некоторые клиенты AMD уже успели опробовать такие CPU и остались довольны.  Глава компании Лиза Су (Lisa Su) говорит...

Проблемы с запчастями не только у АвтоВАЗа, но и у Chevrolet. Сотни некомплектных Corvette Z06 2023 не могут доставить клиентам Многие покупатели, заказавшие Corvette Z06 2023 года, сталкиваются с задержками в доставке своих автомобилей из-за сохраняющихся производственных проблем на фоне нехватки запчастей. Клиенты подтверждают, что они до сих пор не получили точную дату поставки, хотя давно оп...

Nvidia объявила о выпуске двух новых антисанкционных ИИ-ускорителей для Китая Генеральный директор компании Дженсен Хуанг сообщил, что эти чипы уже предлагаются избранным клиентам для тестирования.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Раскрыты характеристики и дата выпуска процессоров AMD Ryzen 8000G Кроме того появилась информация о подготовке к выпуску серии Ryzen 5000GT для платформы AM4

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Старший брат Geely Coolray с мощностью 299 л.с. и расходом всего 4,98 л/100 км запущен в производство. Lynk & Co 06 EM-P оценили в 24,7 тыс. долларов Geely опубликовала фото серийных кроссоверов Lynk & Co 06 EM-P – их выпуск начался на заводе компании в Чэнду. Официальная премьера и старт продаж запланированы на четвертый квартал текущего года, то есть заказать новинку в Китае можно будет уже очень скоро. С...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Четвёртый сезон подкаста «Слушай, это просто» стартовал! Первый выпуск — про число Данбара Разбираемся, как изучение приматов помогает людям строить бизнес-команды и соцсети.

Ноутбуки на Snapdragon X Elite и современные игры: работают, но есть ограничения Qualcomm недавно продемонстрировала силы готовящихся к выпуску ноутбуков со Snapdragon X Elite, на которых были запущены такие популярные игры, как Baldur’s Gate 3 и Control. Демонстрации намекают на потенциал ARM-процессоров для игр на Windows, но производительность, похоже...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Береговая охрана США заказала два новых катера класса Sentinel у верфи Bollinger Shipyards Береговая охрана США продолжает модернизацию своего флота, заказав у верфи Bollinger Shipyards два новых быстроходных катера класса Sentinel. Эти суда будут построены на заводе в Луизиане в рамках Программы закупок катеров береговой охраны, начатой в 2004 году.

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Блогеру, заказавшему четыре iPhone 15 Pro Max, по ошибке привезли смартфонов на почти $100 000 В мире технологий произошел курьезный случай, который вряд ли кто-то мог предвидеть.

Процессоры Intel Raptor Lake будут работать на частоте 6 ГГц Более того, при условии охлаждения жидким азотом Raptor Lake можно разогнать до 8 ГГц, утверждают инженеры компании. На пресс-конференции в израильском центре разработки компании Intel в Хайфе было объявлено, что процессоры 13-го поколения Intel Raptor Lake смогут работат...

Apple готовит к выпуску две модели AirPods четвертого поколения Если верить Марку Гурману из Bloomberg, поставщики Apple готовятся к крупнейшему на сегодняшний день запуску AirPods.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Xiaomi выпустит первый в мире смартфон на новейшем флагманском процессоре Snapdragon 8s Gen 3 Xiaomi готовит к выпуску Civi 4 Pro, который станет первым смартфоном, оснащенным новым флагманским процессором Snapdragon 8s Gen 3.

Росатом хочет наладить 3D-печать деталей для атомных станций Госкорпорация «Росатом» собирается печатать детали для атомных станций на 3D-принтере, запустить такую технологию в работу планируется через год. Об этом журналистам рассказал Илья Кавелашвили, генеральный директор компании «Русатом — аддитивные ...

NVIDIA представляет новый графический процессор RTX 5880 ADA NVIDIA делает все возможное, чтобы поставлять клиентам передовые GPU, даже несмотря на экспортные ограничения.

Выпуск складного iPhone от Apple отложен до 2027 года Ранее ожидалось, что первый складной телефон Apple дебютирует в четвертом квартале 2026 года.

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

GigaChat от Сбера превзошел GPT-3.5 от OpenAI в рейтинге AI Benchmarking Guide 2024 В последнем выпуске AI Benchmarking Guide, который является авторитетным источником оценки инструментов искусственного интеллекта, нейросетевая модель GigaChat от Сбера заняла почетное четвертое место

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Не одной Nvidia единой. Microsoft будет использовать чудовищные ускорители AMD Instinct MI300X, имеющие по 192 ГБ памяти каждый Компания AMD получила очень крупного клиента для своих ускорителей Instinct MI300X. Этим клиентом стала Microsoft.  фото: AMD Вчера Microsoft не только представила свои собственные процессоры Azure Maia 100 AI Accelerator и Azure Cobalt 100, но и объявила о том, ч...

Super Mama Любовь Цоколо родила четвертого ребенка Основатель бренда Super Mama Любовь Цоколо снова подтвердила свой super статус и стала мамой в четвёртый раз. Дочь Mila Guye Bergeret появилась на свет на Багамах, где Любовь живет со своими двумя дочерями, сыном и мужем Патриком. Всего у счастливых родителей шестеро детей. ...

AMD концентрируется на серверных и мобильных процессорах? Компания пообещала в первую очередь именно их во второй половине года Комментируя результаты прошедшего финансового квартала, глава AMD Лиза Су (Lisa Su) рассказала о планах компании на текущий год.  В частности, нас точно ждут новые процессоры на архитектуре Zen 5, причём сразу в нескольких сегментах. Во втором полугодии AMD выпуст...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Apple не выпустит Mac mini на процессоре M3 По информации от надежного источника, Apple откажется от выпуска Mac mini на базе процессора M3. Бюджетный настольный ПК перейдет сразу на чип следующего поколения.

Первые процессоры Zen 5 начали поступать партнерам AMD В компании AMD отчитались за финансовую деятельность в первом квартале и сообщили о начале поступления процессоров с архитектурой Zen 5 клиентам компании

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Первый складной iPhone выйдет вместе с Samsung Galaxy Z Fold 9 и Flip 9. К его разработке подключили часть создателей Vision Pro Apple значительно отодвинула свои планы по выходу на рынок складных смартфонов, о чем сообщает издание DigiTimes со ссылкой на собственные источники. Если верить DigiTimes, Apple отложила выпуск своего первого складного iPhone с четвертого квартала 2026 года на первый к...

МТС договорился с Telegram: рекламу в мессенджере настроят по номеру телефона Российский оператор МТС объявил о новой возможности фирменного рекламного вервиса. В МТС Ads открыта возможность запускать рекламу в Telegram Ads на внешних данных — с настройкой по номерам телефонов российских операторов. Рекламу можно настроить в интерфейсе сер...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Равные по цене процессоры i3-14100F и i5-12400F сравнили по быстродействию На YouTube-канале RandomGaminginHD в одном из выпусков протестировали сборки с i5-12400F и i3-14100F. Какой из процессоров лучше купить?

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Яндекс назвал главные темы Yet another Conference on Education 15 ноября в прямом эфире состоится четвертая конференция о людях и технологиях в образовании

Lockheed Martin заключил многомиллионный контракт с Terran Orbital на производство 36 спутников Terran Orbital создаст 36 спутниковых аппаратов для Lockheed Martin, который является стратегическим инвестором и важным клиентом компании. Художественный рендеринг спутника Lockheed Martin Transport Layer Tranche 2 Beta. Источник: Terran Orbital Lockheed Martin с...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Китайская компания Montage Technologies разрабатывает серверные процессоры на базе Intel Xeon Китайская компания Montage Technologies анонсировала выпуск пятого поколения процессоров Jintide, разработанных на основе Intel Xeon Scalable серии Emerald Rapids

Qualcomm готовит процессор для смартфонов с рекордной частотой Qualcomm готовит к выпуску новый процессор — Snapdragon 8 Gen 4.

Сбер выпустил детский платёжный стикер, который можно заказать в Сбербанк Онлайн Сбербанк выпустил платёжные спикеры специально для детей от 6 до 13 лет. Взрослые клиенты Сбера смогут заказать такие стикеры своим детям в приложении Сбербанк Онлайн.

ASUS начинает выпуск для плат AMD новых BIOS с прошивкой AGESA 1.1.8.0 Предположительно, продолжается внедрение поддержки процессоров нового поколения.

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Amazon анонсировала выпуск своих новых чипов для технологии искусственного интеллекта Однако, вместе с этим продолжит закупать новейшие ускорители у компании Nvidia

Выпуск флагманского процессора Intel Core Ultra 9 Meteor Lake переносится на следующий год Об этом сообщил китайский блогер Golden Pig, имеющий хороший послужной список утечек о будущих процессорах Intel.

Ryzen 5 7500F — лучший процессор для недорогого игрового компьютера. Даже инженерный i7-11600HK медленнее В одном из выпусков на YouTube-канале «ТехноПланета» сравнили процессоры R5 7500F, R5 4500, R5 5500 и i7 11600HK QWCC в играх и рабочих программах.

Названы сроки выпуска Nothing Phone 3, запуск ожидается в конце второго квартала 2024 года Ожидается, что Nothing Phone 3 будет оснащен процессором Snapdragon 8S Gen 3, который представляет собой модернизацию процессора Snapdragon 8+ Gen 1

По слухам следующий процессор Kirin будет сопоставим с Apple A12Z Один из китайских инсайдеров сообщил часть характеристик ещё не анонсированного процессора HUAWEI Kirin, готовящегося к выпуску

NVIDIA отложила выпуск графического процессора H20 на следующий год Это вызвано очередными ограничениями со сторона США на поставку высокопроизводительных процессоров для работы в области ИИ в Китай

LG представила ноутбуки Gram Pro: технология AI Magic, RTX 3050 и ультратонкий дизайн LG представила свою новейшую серию ноутбуков Gram Pro. Благодаря процессорам Intel Core Ultra 7 и эксклюзивному нейронному процессору эти ноутбуки могут выполнять ИИ-операции автономно, без необходимости подключения к Интернету.

Россия начала производство своего катера-камикадзе Кингисеппский машиностроительный завод (КМЗ) запланировал производство первой партии ГРК-700 «Визир» - безэкипажных морских дронов-камикадзе, заказанных Минобороны, до конца года. Серийный выпуск запланирован на следующий год.

В четвертом квартале 2023 года компания Intel достигла значительных успехов в поставках процессоров В четвертом квартале 2023 года компания Intel достигла значительных успехов в поставках процессоров для настольных ПК и ноутбуков, перекрывая показатели конкурентов AMD и Apple

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

AMD готовится к выпуску новых материнских плат на чипсетах 800-й серии Вместе с ними будут представлены и новые процессоры Ryzen 9000

Лучшие ПК-процессоры 2023 года для игр и работы В одном из выпусков на YouTube-канале Gamers Nexus эксперт перечислил самые качественные и надёжные на сегодня процессоры для разных целей.

MediaTek готовит к выпуску процессор Dimensity 9400 Компания MediaTek готовит к выпуску свой новый флагманский мобильный процессор Dimensity 9400

Глава Минобороны Венгрии: Будапешт заказал у Германии 44 танка Leopard 2A7 Венгрия заказала у Германии несколько десятков танков Leopard

Наушники Soundcore Liberty 4NC: честный обзор Беспроводные наушники с шумоподавлением Soundcore Liberty 4NC стали вариацией в четвертом поколении TWS-затычек от Anker. С одной стороны, они позиционируются как самый дешевые в линейке - стоимость меньше 100 долларов, с другой стороны, в них используется новое поколение те...

Пережиток «нулевых»: Ford Focus остаётся самой популярной иномаркой на вторичном рынке России Самое популярной иномаркой на вторичном рынке в России остаются Ford Focus 2008 года, о чем сообщает агентство НАПИ. Вторую строчку в рейтинге также занял Ford Focus 2012 года выпуска. Третье и четвертое места занимают Hyundai Solaris 2015 года выпуска и Ford Focus...

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

Четвертое поколение Apple AirPods получит шумоподавление Самые доступные беспроводные наушники Apple вскоре предложат возможность использовать технологию активного шумоподавления.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

После выпуска Nvidia RTX 4070 Super на складах внезапно закончились RTX 4070: стоит ли ждать пополнения запасов? После выпуска видеокарты Nvidia RTX 4070 Super на складах внезапно закончились модели RTX 4070, о чем пишет IT Home. Сообщается, что поставки будут постепенно восстановлены: эта серия графических процессоров не была снята с производства. Текущая нехватка является лишь к...

Правда ли, что новый Snapdragon для ноутбуков не хуже, чем Apple M2 в MacBook В одном из выпусков на YouTube-канале Gary Explains эксперт рассказал об особенностях нового ноутбучного процессора Snapdragon X Elite и сравнил его с Apple M2.

Поймай меня, если сможешь: как искать хороших клиентов через CRM и Performance Как повысить сквозную конверсию с помощью технологии расчёта предодобренных предложений, можно ли использовать профиль клиентов с рассчитанным оффером для поиска «золотого» клиента в интернете и с какими сложностями придётся столкнуться банку на пути проактивног...

Acer выпустила ноутбуки Swift Edge 16 и Swift Go 14 на базе AMD Ryzen 8040 Компания Acer объявила о выпуске двух новых ноутбуков, Swift Edge 16 и Swift Go 14, оснащенных процессорами AMD Ryzen 8040.

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

Вот так Nvidia и зарабатывает миллиарды. Индийская компания Yotta заказала у Nvidia ускорители для ИИ на сумму около 1 млрд долларов Ускорители Nvidia для ИИ нужны всем. В том числе Индии, которая, как сообщается, закажет у калифорнийской компании продукции на невероятные полмиллиарда долларов.  Если точнее, заказ исходит от индийского сотового оператора Yotta, который заказал для своих ЦОД уск...

Вышел новый релиз Space VDI 5.4 Этот выпуск включает в себя ряд усовершенствований, направленных на обеспечение масштабируемости и отказоустойчивости важного компонента системы — шлюза безопасности Space Gateway, повышение общей стабильность работы Space Dispatcher, оптимизацию других значимых инструментов...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Dell представляет новые ноутбуки с процессорами Snapdragon X Elite и X Plus Компания Dell анонсировала выпуск новых моделей ноутбуков XPS 13 и Inspiron 14 Plus, оснащенных передовыми процессорами Snapdragon X Elite и X Plus, обещающими высокую производительность и энергоэффективность.

MSI представили новые ноутбуки серии Prestige 16 AI Компания MSI, лидер в производстве ноутбуков премиум-класса, объявила о выпуске новой серии Prestige 16 AI, что знаменует собой значительный шаг в эпоху технологий искусственного интеллекта.

V8 и V12 останутся еще надолго: Aston Martin будет выпускать машины с ДВС пока это будет разрешено законом Aston Martin отложила свои планы по выпуску своего первого электромобиля с 2025 на 2027 год и соответственно увеличила инвестиции в гибридную технологию. По словам исполнительного председателя Aston Martin Лоуренса Стролла, «всегда будет спрос» на автомобили...

Мощный, но дорогой процессор Intel Core i9−14900KS скоро поступит в продажу Intel готовится к выпуску лимитированного процессора Core i9−14900KS в первом квартале 2024 года в рамках серии 14-го поколения Raptor Lake-S Refresh. Этот процессор отличается более высокими тактовыми частотами и энергопотреблением.

Японский поставщик химикатов для выпуска чипов предпочёл влезть в долги, но не повышать цены для клиентов Редкий пример в полупроводниковой отрасли.

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Капитализация Nvidia стремится к 2 трлн долларов По данным Bloomberg, американский разработчик графических процессоров и систем на чипе стал четвертой по стоимости компанией в мире, опередив Alphabet Inc. и Amazon.com.

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Samsung добавит в Galaxy S24 функции искусственного интеллекта Компания Samsung готовится к выпуску новой линейки флагманских смартфонов Galaxy S24. Ожидается, что устройства будут оснащены новейшими технологиями искусственного интеллекта (ИИ), которые значительно улучшат их возможности.

iPhone 17 может получить новое антибликовое стекло повышенной прочности Согласно источнику, оборудование для нанесения нового покрытия уже настроено, но технология не будет готова к моменту выпуска iPhone 16, что делает ее эксклюзивной для iPhone 17.

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Meizu представит сразу три ИИ-новинки уже в мае Компания Meizu объявила о скором выпуске трех новых продуктов, основанных на технологиях искусственного интеллекта.

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Samsung усиливает середнячок Galaxy A55 новым процессором Samsung готовит к выпуску свой новый смартфон среднего класса - Galaxy A55, который, согласно данным Geekbench, будет оснащен обновленным процессором Exynos 1480 с графическим блоком AMD

Новый драйвер AMD для Linux намекает на возможный выпуск GPU Radeon RX 8000 RDNA 4 Ядро AMDGPU Linux показало, что графические процессоры RDNA 4 будут поддерживаться с включенными IP-блоками.

Apple объявляет о выпуске нового чипа M4 для компьютеров Mac Apple объявляет о выпуске нового процессора M4 для линейки Mac, предлагая революционные возможности обработки ИИ непосредственно на устройствах.

Материнские платы MSI получают поддержку процессоров Ryzen 9000 Компания MSI подтверждает, что новый выпуск AGESA добавляет поддержку процессоров следующего поколения AMD Ryzen 9000.

Новый смартфон OnePlus Ace будет полноценным флагманом на Snapdragon 8 Gen 3 OnePlus готовит к выпуску новый флагманский смартфон Ace 3 Pro, который будет работать на базе процессора Snapdragon 8 Gen 3.

Графика Intel догонит Nvidia RTX 40 по эффективности генерации кадров в играх Intel намерена укрепить свои позиции на игровом рынке благодаря новой технологии генерации кадров ExtraSS для XeSS, представленной на выставке SIGGRAPH Asia 2023. Позиционируемая как конкурент Nvidia DLSS 3 и AMD FSR 3, технология XeSS представляет собой аппаратно-агностичес...

Apple представила процессор M4 На сегодняшнем мероприятии, посвящённом новым планшетам iPad, компания Apple официально представила совершенно новый процессор M4, который поставляется внутри нового iPad Pro — как предполагалось инсайдерами на прошлой неделе. M4 поставляется с самым быстрым нейронным процес...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Лучший выбор для дешёвого компьютера: топ процессоров Intel Xeon (LGA 2011) В одном из выпусков на YouTube-канале PC HardWare эксперт перечислил лучшие на сегодня процессоры на LGA 2011 (V3, V4).

Процессоры Core 12-го и 13-го поколений получат поддержку технологии APO Intel объявила о грядущем «апгрейде» процессоров Core 12-го и 13-го поколений, которые получат поддержку технологии APO

Supermicro представил стоечные решения с новыми процессорами Intel ® Xeon ® 5-го поколения  Компания Supermicro, Inc., производитель комплексных ИТ-решений для ИИ, облачных вычислений, хранения данных и 5G/Edge, объявил о выпуске стоечных решений с воздушным и жидкостным охлаждением на базе оптимизированных под рабочие нагрузки серверов серии X13, которые теперь по...

Процессоры Intel Arrow Lake получат графический процессор Xe-LPG PLUS Графический процессор грядущих чипов Arrow Lake Xe-LPG PLUS будет иметь блок XMX для работы с технологией XeSS

Transsion может стать четвертым по величине производителем смартфонов в мире Китайский производитель смартфонов Transsion в третьем квартале занял 8,6% мирового рынка, лишь незначительно уступив Oppo, которая занимает четвертое место с долей рынка 8,9%. При этом поставки смартфонов Transsion выросли на 35%, и, как ожидает IDC, можно ожидать выхо...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Apple добавит активное шумоподавление в базовую версию AirPods Apple готовит к выпуску четвёртое поколение своих беспроводных наушников AirPods, и впервые в этой линейке появится функция активного шумоподавления (ANC).

На MWC 2024 ожидается анонс Tecno Pova 6 Pro с увеличенным объемом оперативной памяти Tecno готовит к выпуску Pova 6 Pro – смартфон с улучшенными характеристиками и новой технологией зарядки.

Samsung рассматривает технологию 3D-чипсетов для мобильных точек доступа Exynos Сообщается, что компания Samsung Electronics рассматривает возможность применения технологии 3D-чипсетов в своих процессорах мобильных приложений (AP) Exynos.

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

В преддверии релиза Amazon выпустила трейлер четвёртого сезона сериала «Пацаны» Долгожданный первый трейлер к четвертому сезону сериала «Пацаны» был официально опубликован Amazon Prime к восторгу поклонников

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Новый необычный процессор Ryzen 5 5500GT впервые протестировали В одном из выпусков на YouTube-канале RandomGaminginHD рассказали, какой производительности стоит ожидать от Ryzen 5 5500GT.

AMD внедряет технологию генерации кадров в свои встроенные графические процессоры RDNA 3 Этот шаг даст ей преимущество в борьбе с процессорами Meteor Lake от Intel.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Это первый ноутбук с Intel Core HX 14-го поколения и RTX 4060. Появились фотографии Lenovo Savior Y7000P Компания Lenovo объявила сегодня о скором выпуске нового поколения игрового ноутбука Savior Y7000P, который поступит в продажу в январе. Он получил новый корпус и свежий процессор. Игровой ноутбук Savior Y7000P 2024 получил новую конструкцию с увеличенным задним воздух...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Intel сумела реализовать 50 миллионов процессоров за четвертый квартал 2023 года Главный конкурент, в лице AMD, сумел продать лишь 8 миллионов экземпляров

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

AMD будет поддерживать платформу AM5 новыми продуктами до 2025 года и далее Компания AMD продолжает выпускать новые модели процессоров серии Ryzen 5000 для платформы Socket AM4 и по сей день, а в следующем месяце ожидается выпуск новых процессоров

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Франция заказала пушки, транспортные средства и вертолеты на сумму 1,1 миллиарда евро. ПАРИЖ – Агентство оборонных закупок Франции заказало самоходные гаубицы, бронетехнику и вертолеты на сумму более 1,1 миллиарда евро (1,2 миллиарда долларов США) в рамках плана страны по модернизации своих вооруженных сил до 2030 года.

Аналог Lexus LM от Kia. Новейший Kia Carnival показали в VIP-комплектации HiLimousine – тут паркетный пол, «звёздное небо» и суперкомфортные кресла Премьера Kia Carnival четвертого поколения состоялась в среду, а сейчас появились подробности о топовой версии минивэна: по роскоши внутреннего убранства Kia Carnival в исполнении HiLimousine мало чем уступает Lexus LM и топовой версии Toyota Alphard. Эта версия предна...

Компания Huawei анонсировала уникальные солнцезащитные очки Eyewear 2 Huawei анонсировала выпуск новых солнцезащитных очков Eyewear 2 с аудиофункциями, которые будут представлены на майском мероприятии. Очки обещают новые технологии и улучшенный дизайн.

AMD планирует выпустить процессоры Ryzen 8000GE с низким энергопотреблением Компания готовит к выпуску новые энергоэффективные процессоры серии Ryzen 8000GE с низким TDP 35 Вт на базе архитектуры Zen 4.

ETnews: Samsung готовит к выпуску флагманские 2-нм процессоры Мало того, компании Qualcomm и Samsung намерены укрепить свое сотрудничество в разработке новых мобильных чипов.

Nvidia перенесла выпуск чипов искусственного интеллекта для Китая Процессоры H20, L20 и L2 должны были поддержать продажи в регионе, соответствуя при этом новым санкциям США

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Intel анонсировала серверные CPU нового поколения Xeon 6 На мероприятии Vision 2024 Intel представила дорожную карту по выпуску новых серверных процессоров Granite Ridge и Sierra Forest под брендом Xeon 6-го поколения.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

«Ростелеком» внедрил функцию озвучивания сообщений в виртуальной АТС Новый модуль, реализованный на базе технологии Text-to-Speech (TTS), автоматически преобразовывает текстовые данные в голосовые сообщения. Использование такого инструмента автоматизирует опросы и телемаркетинг, позволяя увеличить эффективность взаимодействия с клиентами и па...

Инсайдеры раскрыли характеристики «убийцы процессора Apple M2 Ultra» от Qualcomm Компания Qualcomm готовится к революции на рынке ПК с выпуском новой платформы Snapdragon X Elite, оснащённой искусственным интеллектом.

OPPO готовится к выпуску своего флагманского смартфона Find X7 Ultra Find X7 Ultra также будет оснащен новым процессором Qualcomm Snapdragon 8 Gen 3, который обеспечит высокую производительность и низкое энергопотребление.

Intel анонсировала новые серверные CPU для ускорения сетей 5G На MWC 2024 Intel представила выпуск процессоров Sierra Forest с числом ядер до 288. Они оптимизированы для сетевых нагрузок стандарта 5G.

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Intel APO значительно повышает производительность Core i9-14900K в Rainbow Six Siege и Metro Exodus Технология поддерживается на некоторых новых процессорах Core 14-го поколения.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Intel может отстать от AMD в плане технологий и быстродействия процессоров уже в 2024 году AMD готовит новую архитектуру и новый 3-нм технологический процесс

Власти США выделили $285 млн на субсидирование создания цифровых двойников в национальном полупроводниковом производстве Это позволит оптимизировать освоение новых технологий при выпуске чипов.

Honor Magic6 RSR Porsche Design: эксклюзивный флагман с топовыми характеристиками. Honor анонсирует выпуск нового флагманского смартфона Honor Magic6 RSR Porsche Design, с официальной премьерой, запланированной на 18 марта. Устройство обещает сочетать в себе передовые технологии и эксклюзивный дизайн.

Китай запускает первый в мире ядерный реактор четвертого поколения Дэвид Фишман из Lantau Group: "Китай, возможно, не имеет себе равных в создании и коммерциализации технологий ядерной энергетики следующего поколения".

Названы основные особенности процессоров AMD Ryzen 9000 Компания AMD уже готовится к выпуску процессоров на базе новой архитектуры Zen 5, но не спешит делиться подробностями. Зато это уже сделали инсайдеры

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Intel не будет выпускать для сторонних клиентов по технологии 18A чипы в больших количествах Сейчас главная задача — «пристреляться».

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

Snapdragon 8 Gen 4 на 46% лучше Gen 3 в многоядерных тестах Geekbench В сети появились первые результаты тестов готовящегося к выпуску процессора Snapdragon 8 Gen 4, благодаря чему можно оценить производительность новой SoC. Согласно слухам, Snapdragon 8 Gen 4 будет иметь новую архитектуру, в которой будет изменена конфигурация процессора и…

AMD готовит к выпуску мощные APU Ryzen Strix Halo для требовательных пользователей Во второй половине 2024 года AMD выпустит новые процессоры Ryzen Strix Halo для энтузиастов, которые обещают значительное улучшение производительности и графики благодаря передовым технологиям.

AMD Ryzen Pro серии 8000, 64 ГБ оперативной памяти DDR5 и 2 ТБ SSD. Представлены новые настольные ПК ThinkCentre Lenovo обновила линейку продуктов ThinkCentre и выпустила три настольных ПК, оснащенных процессорами AMD Ryzen Pro серии 8000. В новую линейку вошли высокопроизводительный ПК ThinkCentre M75t Gen 5, ThinkCentre M75s Gen 5 и компактный ThinkCentre M75q Gen 5. M75t ...

В России запустили продажу SIM-карт через Telegram Оператор МТС объявил о запуске новой услуги – продаж SIM-карт в мессенджере Telegram. Как сообщает пресс-служба, пользователи смогут подобрать тариф и оформить доставку SIM-карты прямо в боте. Сгенерировано нейросетью Midjourney На первом этапе доставка будет осу...

Завершен четвертый этап сброса очищенной воды с АЭС "Фукусима-1" Компания Tokyo Electric Power объявила о завершении четвертого этапа сброса очищенной радиоактивной воды в океан со станции "Фукусима-1". Это решение продолжает вызывать острые дебаты о влиянии на морскую среду и здоровье человека, несмотря на заверения в безопасности процес...

Партнеры NVIDIA AIB: GeForce RTX 50 выйдут в четвертом квартале 2024 года Вероятно на начальном этапе они будут включать GeForce RTX 5090 и GeForce RTX 5080. Графические процессоры среднего и начального уровня, такие как GeForce RTX 4060 Ti, появились только после того, как были представлены топовые продукты.

Сможет ли IPFS полностью заменить HTTP? Меня зовут Виталий Киреев, я руководитель R&D в SpaceWeb. В начале прошлого года мы внедрили IPFS-технологию в работу своего хостинга, и все наши клиенты получили возможность размещать контент в IPFS-сети. Решились на такой шаг не сразу: IPFS — технология пока еще экспер...

Китайские процессоры Loongson официально появятся в российской технике Российская «Норси-Транс» начнет выпуск систем хранения данных, серверов и компьютеров на китайских процессорах Loongson.

На FINOPOLIS-2023 «Ростелеком» и Альфа-Банк выпустили цифровые финансовые активы для широкого круга инвесторов В рамках форума финансовых инновационных технологий FINOPOLIS состоится выпуск цифровых финансовых активов для широкого круга корпоративных и частных инвесторов в режиме онлайн. 8 ноября 2023 года Альфа-Банк организует сбор заявок для выпуска ЦФА «Ростелекома» объемом 1 млрд...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Крупное обновление получила система защиты рабочих станций и серверов ViPNet EndPoint Protection Компания «Информационные технологии и коммуникационные системы» («ИнфоТеКС») объявила о выпуске новой версии программного комплекса ViPNet EndPoint Protection 1.6.

Apple готовится к выпуску новой модели iPad Pro с OLED-дисплеем в конце этого месяца Однако по последним данным, компания также стремится внедрить эту технологию в более доступную линейку iPad Air

Acer представила игровые ноутбуки Nitro 14 и Nitro 16 на базе процессоров AMD Ryzen 8040 Компания Acer объявила о выпуске новых игровых ноутбуков Nitro 14 и Nitro 16 на базе процессоров AMD Ryzen 8040 Series с Ryzen AI

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Новые MacBook Pro и iMac уже доступны для предзаказа в России. Названы цены Новые iMac и MacBook Pro, которые были представлены этой ночью в 3:00 по московскому времени, можно заказать эксклюзивно на платформе CDEK.Shopping. На платформе для заказа товаров из-за рубежа CDEK.Shopping доступны для заказа MacBook Pro на базе SoC Apple М3 стоимость...

Apple показала новые MacBook Air на M3 и сняла с продаж старые модели Apple без какого-либо шума объявила о выпуске обновлённого компактного ноутбука MacBook Air с процессором M3. Как и предшественник, он предлагается в двух размерах. А вот значительных изменений в этом году ждать не стоит.

Сможет ли старый игровой Ryzen 5 5600X3D одолеть новый универсальный Ryzen 5 7600X в современных играх В одном из выпусков на YpuTube-канале TheSpyHood эксперты выяснили, какую производительность способны обеспечить в 1080p и 1440p процессоры Ryzen 5 5600X3D и Ryzen 5 7600X.

AMD представила процессоры Ryzen 5000XT с повышенной тактовой частотой для бюджетных ПК AM4 Платформа Socket AM4, запущенная восемь с половиной лет назад, имела огромный успех для AMD и продолжает поддерживаться в 2024 году с новыми выпусками процессоров, такими как Ryzen 7 5700X3D и Ryzen 5 5600GT.

McKinsey: семь технологических трендов в телекоме Семь тенденций, описанных в корпоративном блоге McKinsey, способны изменить ожидания клиентов от телекоммуникаций и роль, которую они могут сыграть в жизни людей и бизнесе организаций, а также наборы требуемых навыков. Каждая новая технология требует ...

Intel хочет отбить заказ у AMD на процессоры для Xbox Компания Intel подталкивает Microsoft к созданию «полностью американской» игровой консоли Xbox следующего поколения. Главным аргументом называется необходимость выпуска игровой системы, изготавливаемой целиком в США, в то время как сейчас в основе приставки расположился...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

MSI официально объявила о выпуске новых BIOS для поддержки процессоров AMD AM5 следующего поколения Поддержка будет реализовываться на материнских платах X670E, X670, B650 и A620.

Valve столкнулась с техническими проблемами клиента Steam на платформе Ubuntu Казалось бы, после выпуска Steam Deck компания Valve будет всячески продвигать Linux-версию своего магазина игр. Но в случае с популярным дистрибутивом Ubuntu всё оказалось не так гладко

Группа компаний ЦРТ объявляет о запуске SpeechXplore — ИИ-решения для исследования и развития коммуникаций с клиентами Группа компаний ЦРТ усиливает компетенции в области речевых технологий и объявляет о разработке SpeechXplore — нового отечественного решения на основе искусственного интеллекта для онлайн-обработки, исследования и развития коммуникаций с клиентами в контактных центрах крупно...

Процессор Snapdragon 8 Gen 3 протестировали на базе ASUS ROG Phone 8 Ultimate Если верить информации крупных инсайдеров, компания Qualcomm объявит о релизе своего нового флагманского процессора Snapdragon 8 Gen 3 уже через месяц. И, что вполне ожидаемо, прямо сейчас процессор проходит различного рода тесты в бенчмарках на различных платформах, так как...

Samsung будет производить 3-нм серверные процессоры для неизвестной компании Samsung получила еще один заказ по технологии 3 нм, на этот раз для серверного процессора

Core i9-14900K теряет до 11% fps после применения новой заплатки Intel, превращаясь в Core i9-14900 Производители материнских плат уже начали выпуск обновления BIOS, снижающего напряжение процессора и оказывающего влияние на быстродействие в играх

Qualcomm Snapdragon 8 Gen 4 засветился в AnTuTu Qualcomm готовит к выпуску свой новый процессор Snapdragon 8 Gen 4. Появившаяся в сети информация пролила свет на ход разработки этого передового чипсета, раскрывая интригующие подробности о его производительности и функциях. Ранее сообщалось, что Snapdragon 8 Gen 4…

Некоторые процессоры Intel запретили продавать в Германии Intel запретила продажу некоторых процессоров в Германии из-за проигранного патентного спора. Суд установил, что технология регулирования напряжения нарушает патент R2 Semiconductor.

GIGABYTE выпустила бета BIOS для плат Z790/B760 с возможность отключения CEP на процессорах Core 14 Отключение технологии позволит снизить температура процессора и VRM.

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

Ноутбуки Honor Magicbook X14/X16 получили новый процессор Компания Honor сообщила о том, что ноутбуки Honor Magicbook X14/X16 стали доступны в версии с процессором Core i5-13420H по цене от 530/545 долларов в Китае. До этого ноутбуки оснащались процессором Core i5-13500H. Ноутбуки оснащены крупногабаритным высокопроизводительн...

Представлен портативный мини-ноутбук GPD WIN Max 2 Компания GPD объявила о предстоящем выпуске своего портативного устройства WIN Max 2, оснащенного новым процессором AMD R7 8840U.

Старые и новые игровые процессоры Ryzen 5800X3D, 7800X3D, 7900X3D и 7950X3D сравнили В одном из выпусков на YouTube-канале Hardware Unboxed ведущий узнал, какой игровой производительности можно ожидать от Ryzen 7 5800X3D, Ryzen 7 7800X3D, Ryzen 9 7900X3D и 7950X3D.

Qualcomm анонсирует Snapdragon X Elite Gen 2: новый уровень производительности для ноутбуков Qualcomm готовит к выпуску Snapdragon X Elite Gen 2 с улучшенным графическим процессором Adreno 830, обещая высокую производительность и энергоэффективность для ноутбуков

Запущены новые графические драйверы Intel Arc с поддержкой процессоров Core Ultra Разработчики Intel продолжают удивлять чудесами оптимизации с выпуском каждого графического драйвера.

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Ростех начал выпуск остекления для новых поездов метро Государственная корпорация «Ростех» объявила о запуске производства остекления кабин машиниста для новых поездов метрополитена «Москва — 2024». Технология производства позволяет создавать сложные и современные дизайны.

15-ое поколение процессоров Intel будет поддерживать технологии Thunderbolt 5 Новый интерфейс обещает скорость передачи данных до 120 Гбит/с

Более новый процессор Snapdragon 7 Gen 3 окажется слабее Snapdragon 7+ Gen 2, вышедшего в начале 2023 года В мире мобильных технологий намечается неожиданный поворот.

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

AyaNeo и GPD представили первые консоли с процессорами Ryzen 8000 Оба бренда подтвердили наличие новых CPU в своих будущих портативных консолях и намекнули на их скорый выпуск

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Intel запускает в Ирландии завод нового поколения для выпуска 7-нм чипов под брендом Intel 4 Intel готовится к запуску процессорного завода в Ирландии для массового производства чипов по технологии Intel 4, ранее известной как 7-нм процесс

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

«Вводится в строй новый цех»: директор «Калашникова» рассказал про увеличение выпуска БПЛА Выпуск новых БПЛА планируется увеличить кратно.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Acer выпустила игровой ноутбук Nitro V 16 на базе новых процессоров AMD Ryzen серии 8040 Компания Acer объявила о выпуске нового игрового ноутбука Nitro V 16 (ANV16-41), предназначенного для казуальных игроков, которым требуется сбалансированное устройство с современными функциями и не только.

Kia приостанавливает поставки, чтобы не выглядеть слишком успешной в Канаде На фоне рекордных продаж Kia стало известно, что новые автомобили, заказанные канадскими покупателями, задерживаются на складах в стране до 2024 года. Причина заключается в том, что Kia Canada не хочет выглядеть слишком успешной в глазах корпоративного офиса в Южной Кор...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Nvidia в четвёртый раз изменит пожароопасный разъём питания 12VHPWR? Новый может дебютировать на видеокартах GeForce RTX 50 Компания Nvidia собирается в очередной раз изменить разъём питания 12VHPWR. Новая итерация появится на видеокартах GeForce RTX 50.  фото: Shiftyeyes67k / Reddit Это будет уже четвёртое изменение разъёма за три года, которые он присутствует на рынке. При этом ...

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Samsung Galaxy S24 Ultra получит новый дизайн нижнего динамика Samsung готовит к выпуску новую серию своих флагманских смартфонов Galaxy S24, презентация которых ожидается в январе, а выпуск — в том же месяце или в феврале.

Новый средний класс Samsung A55 сравнили со старым флагманом S21 Ultra по скорости работы В одном из выпусков на YouTube-канале Techno Arena выяснили, какой процессор с точки зрения скорости лучше: Exynos 2100 или Exynos 1480.

Snapdragon X Elite превзошел Core Ultra 7 при ИИ-генерации изображений Qualcomm готовится к выпуску флагманского процессора нового поколения для ноутбуков — Snapdragon X Elite. Прежде чем эти чипы появятся на рынке в середине 2024 года, Qualcomm демонстрирует превосходство X Elite на неожиданной арене: ИИ-генерация изображений.

Каждая четвертая компания в России внедрила ИИ По данным "Авито работы", 24% компаний в России уже успешно интегрировали технологии искусственного интеллекта к ноябрю 2023 года. Это произошло год спустя после выхода языковой модели ChatGPT, вызвавшей значительный интерес. Половина из них использует ИИ в повседневной деят...

До конца 2027 года Intel освоит выпуск чипов по технологии Intel 10A И внедрит на предприятиях роботов.

Технология P-Core Beyond 6GHz+ стала доступна на платах MSI Z690, расширена поддержка Intel APO Но Intel APO поддерживается пока лишь несколькими процессорами нового поколения

Apple готовит мощный iPad Pro с чипом M4 и ИИ-технологиями Новый iPad Pro получит продвинутый процессор M4 вместо M3, чтобы Apple могла лучше продемонстрировать свои ИИ-разработки.

NVIDIA представляет графический процессор Blackwell B200 и суперчип GB200 NVIDIA представляет графический процессор Blackwell B200 и суперчип GB200 – это новые стандарты производительности в области ИИ и вычислений. Узнайте о влиянии на технологии и облачные вычисления.

HUAWEI готовит обновление процессора Kirin 9000s для смартфонов и планшетов Надёжный инсайдер под ником Digital Chat Station сообщил, что HUAWEI готовит к выпуску новую модификацию процессора Kirin 9000s. По его словам, компания также работает над двумя устройствами на базе будущего чипа — одно из них недавно было замечено в Geekbench

Цифровая гемба отделения, аномалии и Visual Mining В офисах банка есть «Кракен» — стандартное место для обслуживания клиентов, большой угловой стол, который занимает много места. Оказалось, что он используется гораздо реже стандартных маленьких столиков, за которыми клиенты обслуживаются чаще. Это неожиданное открытие п...

MediaTek завоевала рынок смартфонов Сегодня компания Canalys опубликовал отчёт о рынке смартфонов за четвёртый квартал 2023 года, разделив производителей процессоров на отдельные категории. Данный анализ показал, что MediaTek выпустила больше мобильных процессоров для смартфонов, чем любой другой производитель...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Xiaomi прекратит поддержку более 30 моделей Redmi, Poco и Xiaomi в 2024 году Многие смартфоны Xiaomi, Redmi и Poco, для которых не ожидается выпуск новой HyperOS, получат свое последнее обновление в 2024 году. Xiaomi основывает свою политику обновлений на цене и объеме продаж. В рамках такой политики, смартфонов с высокими ценами или большим объ...

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

МегаФон запустил 4G в 10 приморских селах МегаФон запустил сеть четвертого поколения для жителей десяти малых населенных пунктов Приморского края. Абоненты оператора, проживающие в этих поселениях, теперь могут выходить в интернет на высоких скоростях и общаться с родными и близкими с помощью технологии VoLTE.

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

Запрет на поставки станков ASML в Китай спровоцировал падение акций компании и протест со стороны Пекина Производитель компьютерного оборудования ASML заявил, что запрет на поставки её оборудования в Китай спровоцировал падение акций компании и протест со стороны Пекина. Компания заявила, что правительство отозвало лицензию на экспорт некоторых машин, которым под давлением...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Технология APO ускоряющая игры не появится в процессорах Intel 13-го и 12-го поколения Популярный канал YouTube Hardware Unboxed (HUB) протестировал APO и обнаружил реальный прирост производительности в двух поддерживаемых играх. Однако HUB был разочарован отсутствием поддержки программного обеспечения, а также необходимостью использовать процессор 14-го покол...

Если вы закажете Ferrari сегодня, вы не получите автомобиль до 2026 года Генеральный директор Ferrari заявил, что очередь за автомобилями компании растянулась на годы. Спрос настолько высок, что у итальянской марки достаточно заказов, чтобы покрыть весь 2025 год. Фактически это означает, что новые заказы будут выполнены не раньше 2026 года. ...

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

AMD анонсировала новые профессиональные процессоры Ryzen PRO 8000 с искусственным интеллектом AMD представила профессиональные процессоры Ryzen PRO с интегрированными технологиями ИИ, обеспечивающими беспрецедентную производительность рабочих нагрузок.

NVIDIA представила процессор нового поколения Blackwell B200 для технологий ИИ Американская компания NVIDIA представила процессор Blackwell, который предназначен для работы технологий искусственного интеллекта и обладает повышенной производительностью.

iPhone 15 и 15 Pro появились в российских магазинах Отечественный оператор МТС дал старт российским продажам новых смартфонов Apple. Новинки уже доступны в розничных магазинах в Москве и Санкт-Петербурге, а жители остальных регионов могут заказать смартфоны с доставкой. Позже они появится и в региональных розничных магазинах....

TSMC не боится перепроизводства чипов по зрелым технологиям И будет расширять выпуск 28-нм продукции.

Intel пока не запустила в работу оборудование для выпуска чипов по технологии 14A, но это сделала ASML И не в США, а в Нидерландах.

Представлен недорогой игровой ноутбук Lenovo Legion Y7000P 2024 Lenovo объявила о выпуске игрового ноутбука Legion Y7000P 2024 — последнего дополнения к популярной игровой линейке Legion. Новый ноутбук оснащен процессором Intel Core i7−14700HX 14-го поколения, видеокартой NVIDIA GeForce RTX 4070 и новой системой охлаждения, которая, как ...

AMD готовит серверные процессоры EPYC Turin на архитектуре Zen 5 Инженерные образцы этих чипов уже поступили к избранным клиентам для тестирования, а их коммерческий запуск ожидается во второй половине 2024 года.

Xiaomi пообещала показать народный флагман Redmi K70 в ближайшие дни С выходом процессора Snapdragon 8 Gen 3, в мире технологий наступает новая эра. И Xiaomi не остается в стороне, готовя анонс новейшего флагмана Redmi K70.

DLSS 3 смогли запустить на картах RTX 20 и 30, но есть нюансы В мире игровых технологий название DLSS 3 от NVIDIA долгое время ассоциировалось исключительно с видеокартами RTX 40, обладающими тензорными ядрами четвёртого поколения и ускорителем оптического потока. Однако, последние события вызвали интерес к возможности активации DLSS 3...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Купить новый кроссовер прямо на Amazon, не выходя из дома. Компания запустит продажи авто Hyundai в следующем году На торговой площадке Amazon можно купить очень много различных продуктов. В скором времени к ним добавятся даже автомобили.  Фото: Hyundai  Вчера Amazon объявила о сотрудничестве с Hyundai. Как можно догадаться, именно авто этой корейской марки вско...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Samsung готовится к выпуску ноутбука Galaxy Book 4 Edge с процессором Qualcomm Snapdragon X Elite Компания Samsung готовится к выпуску ноутбука Galaxy Book 4 Edge, оснащенного процессором Qualcomm Snapdragon X Elite

Qualcomm официально представила Snapdragon 7+ Gen 3 Компания Qualcomm сегодня анонсировала свой новый процессор под названием Snapdragon 7+ Gen 3, который относится к чипам среднего класса и обладает достаточно неплохим набором возможностей. Платформа является эволюцией Snapdragon 7 Gen 3 и предоставляет производительное ядро...

МегаФон подключил к сотовой сети более 60 поселений Омской области Для жителей 64 населённых пунктов Омской области оператор развернул сеть четвёртого поколения. Также сельчане получили доступ к качественной голосовой связи, с помощью современной технологии звонков через интернет VoLTE.

Компания Ippon представила новую серию ИБП Novus с чистой синусоидой Ippon анонсировала выпуск серии однофазных ИБП Novus с чистой синусоидой на выходе и технологией двойного преобразования, обеспечивающих надёжное питание для медицинского оборудования, серверов и других критически важных систем.

Asus дразнит новейшим роутером с большим набором портом, высокой скоростью и умными фукнциями Asus готовится к выпуску нового двухдиапазонного Wi-Fi-маршрутизатора с модельным RT-BE88U, запуск которого запланирован на 27 марта. Asus включила некоторые функции искусственного интеллекта и позиционирует устройство как «маршрутизатор AI Asus». Этот маршр...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Это RedmiBook 16 2024. Xiaomi опубликовала изображение нового недорогого ноутбука Redmi сегодня не только опубликовала изображения флагмана Redmi K70 Pro в черном и белом, но также показала тизер нового ноутбука – RedmiBook 16 2024. Его представят 29 ноября вместе с линейкой Redmi K70. Redmi указывает на три особенности ноутбука. Во-первых, он...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Стандарт Wi-Fi 7 официально сертифицирован Организация Wi-Fi Alliance, как и ожидалось, официально сертифицировала новый беспроводной стандарт Wi-Fi 7 (IEEE 802.11be) и опубликовала его спецификации. Wi-Fi 7 поддерживает технологию Multi-Link Operation (MLO), которая позволяет устройствам передавать и принимать ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

«Роторный двигатель очень нравится клиентам по всему миру», — Mazda сообщила о выпуске 2-миллионого роторного двигателя Mazda работает с этой технологией с 1961 года, когда она вступила в техническое партнерство с NSU и Wankel. К 1967 году компания выпустила Mazda Cosmo Sport. К 1973 году бренд выпустил 500 000 роторных двигателей. Однако только в 1978 году родился самый известный роторн...

Новые Subaru получат технологии Toyota. Следующий Forester станет «другим гибридным электромобилем с горизонтально-оппозитным двигателем» Subaru официально подтвердила, что производство нового Forester будет вестись в Соединенных Штатах. Модель шестого поколения будет собираться в Индиане на заводе в Лафайете. Выпуск седана Legacy на данном предприятии прекратится после 2025 модельного года. Subaru также ...

Процессоры Intel Arrow Lake-S не получат поддержку Hyper Threading В сети замечен процессор Intel Arrow Lake-S с 24 потоками, без поддержки Hyper Threading и технологии AVX512

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Процессор Intel полувековой давности. 50 лет назад компания представила Intel 8080 Полвека назад компания Intel представила процессор Intel 8080.   12 апреля 1974 года в журнале Electronics была опубликована фактически анонсирующая статья Масатоши Симы и Федерико Фаггина о новом CPU компании.   Новый процессор содержал около 4500 транзистор...

Intel разрабатывает адаптивный фильтр повышения резкости изображения Новая технология Intel будет использоваться в графической архитектуре Xe2 процессоров поколения Lunar Lake

В Intel заявляют, что графические ускорители их новых процессоров обходят конкурентов от AMD По словам производителя, за счёт технологии XeSS, гейминг на встроенной графике куда плавнее

Новый процессор для Android-флагманов Dimensity 9300 оказался мощнее чипа в iPhone 15 Pro Max. Но есть нюанс В мире высоких технологий новый поворот: процессор Dimensity 9300 для Android-флагманов продемонстрировал впечатляющую мощность, опередив чип, используемый в iPhone 15 Pro Max.

AMD готовит к выпуску новую серию процессоров Ryzen 5000XT для платформы AM4 Несмотря на то, что платформа AM4 была представлена 6 лет назад, компания AMD не спешит отказываться от нее. В начале 2023 года ассортимент процессоров, работающих на AM4, пополнился моделью Ryzen 7 5700X3D и некоторыми другими. И вот теперь, судя по информации, просочившейс...

Российские компании начнут выпускать технику на китайских процессорах Российская компания «Норси-Транс» объявила о начале выпуска техники на базе китайских процессоров Loongson.

Через два года Seagate начнет выпуск жестких дисков объемом более 40 ТБ Благодаря применению передовых технологий

Yotta, как сообщается, собирается потратить много денег на очередную сделку с NVIDIA Индийский клиент приобретает дополнительную партию графических процессоров NVIDIA AI на 500 миллионов долларов

Google представила свой новый процессор Axion, и это снова Arm Компания Google представила свой собственный процессор под названием Axion. Название, видимо, давно в честь аксионов — гипотетических элементарных частиц, которые, кроме прочего, могут составлять тёмную материю.  Google Axion создан на основе архитектуры Arm...

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

[Перевод] Итак, вы думаете, что знаете Git? Часть вторая: новое в Git Автор оригинала Скотт Чакон — сооснователь GitHub и основатель нового клиента GitButler. Этот клиент ставит во главу угла рабочий процесс и удобство разработки, в том числе код-ревью, и не является просто очередной обёрткой над CLI git. Далее в нашей серии постов из трёх час...

«Обман приобрёл просто космические размахи». В России раскрыта новая схема обмана покупателей машин Развитие альтернативных схем ввоза автомобилей породило новые методы мошенничества и обмана среди россиян. Одна из таких схем, по словам специалиста по подбору автомобилей и создателя YouTube-канала AvtoRevizorro, Кирилла Чернова, приобрела «просто космические раз...

Apple запускает видеоконсультации в приложении Apple Store для клиентов в США Компания Apple представила новую функцию видеоконсультаций в приложении Apple Store версии 5.24, позволяющую клиентам в США получить персональную помощь от специалистов по продуктам Apple. Нововведение призвано улучшить качество обслуживания и упростить процесс выбора и поку...

Intel прекращает выпуск процессоров Core Raptor Lake 13-го поколения Остатки Raptor Lake 13 будут распродаваться со скидкой.

Представлен игровой ноутбук Gigabyte Aorus 17 2024 Компания Gigabyte Technology представила ноутбуки Gigabyte Aorus 17 2024 и Aorus 15 2024, которые отличаются лишь диагональю экранов – 17 и 15 дюймов соответственно. Новинки оснастили панелями с разрешением QHD, кадровой частотой 240 Гц и технологией Dolby Vision, новыми пр...

Анонсирован выпуск смартфона Vivo T3x 5G Компания Vivo объявила о выпуске нового бюджетного 5G-телефона Vivo T3x 5G в Индии 17 апреля.

Honor подтвердила скорый выпуск флагманского смартфона Magic6 Ultimate Компания Honor, по слухам, готовилась к выпуску своего нового флагманского смартфона Magic6 Ultimate.

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Четвёртый запуск ракеты SpaceX Starship немного отложили Четвёртый тестовый запуск космического корабля SpaceX Starship отложен на июнь.  Изначально Маск говорил, что запуск планировали совершить до конца мая, но, как это нередко бывает с такого рода проектами, появились причины для сдвига сроков. Однако в любом случае ...

Новая функция AI Explorer в Windows 11 будет доступна только для Arm-процессоров AI Explorer сначала будет доступен только на новых компьютерах с процессорами Arm, поскольку в системных требованиях в качестве базового указывается новый процессор Qualcomm Snapdragon X Elite.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Новый хит, но пока только в Китае. На дешевый люксовый кроссовер Li Auto L6 оформлено 20 тыс. заказов за 12 дней Продажи новейшего кроссовера Li Auto L6 в Китае стартовали 12 дней назад, и за это время на машину оформлено уже 20 тыс. заказов. Это официальная статистика. Также компания сообщила, что в течение 6 дней покупателям доставлено более 2 тыс. кроссоверов. В течение мая пр...

Qualcomm объявила о выпуске новых флагманских чипов Snapdragon Компания Qualcomm официально объявила о том, что 18 марта состоится "Конференция по выпуску новых флагманских продуктов Snapdragon".

Sony объявила о выпуске беспроводной гарнитуры PlayStation Pulse Новая гарнитура от Sony предлагает улучшенное звуковое качество и погружение в игры для пользователей консоли PlayStation 5. Гарнитура поддерживает технологию 3D Audio, имеет два микрофона с шумоподавлением и совместима с разными устройствами через Bluetooth.

Новый MacBook Air уже можно заказать за 122 тысячи рублей Крупные отечественные ритейлеры еще не успели привезти в Россию представленный неделю назад MacBook Air на платформе M3, однако особо нетерпеливые могут уже сейчас заказать его из США. Подобные предложения уже появились в сервисе CDEK.Shopping. Например, младшая версия с 8/2...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Так выглядит новый сокет LGA1851 для будущих процессоров Intel Core (Ultra) 200 (Arrow Lake-S): первое качественное фото На выставке Embedded World 2024 состоялась премьера первых материнских плат с разъемом LGA1851. В частности, на фото ниже показана плата Mi 1002 разработки тайваньской iBase. Она рассчитана на новые CPU Meteor Lake PS — те же мобильные Intel Core Ultra 14 поколени...

Intel обвинила AMD в обмане клиентов изменением нумерации процессоров, забыв о своих «грехах» Intel бросает тень на AMD с названиями процессоров Ryzen, обвиняя их в продаже «змеиного масла» с потенциально вводящими в заблуждение названиями процессоров. Спор разгорелся вокруг серии Ryzen 7020, которую компания обвиняет в том, что за новой блестящей внешностью скрывают...

В Японии будет освоен выпуск чипов по технологиям тоньше 2 нм При поддержке национальных инвесторов и государства.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Samsung, Vodafone и AMD демонстрируют первую в отрасли технологию Open RAN Samsung Electronics, совместно с Vodafone и AMD, анонсировали успешное внедрение первой в индустрии технологии Open RAN, демонстрируя виртуализированную радиодоступную сеть на процессорах AMD и подтверждая лидерство компаний в развитии экосистемы Open RAN.

Sony приостановила производство VR2 из-за низких продаж Sony временно остановила производство PlayStation VR2 из-за низкого спроса. После года с момента выпуска устройства компания натолкнулась на проблему скопившегося не проданного товара. Аналитики утверждают, что было изготовлено более 2 миллионов единиц, однако в 2023 году пр...

В сфере выпуска 3-нм процессоров Qualcomm в следующем году будет зависеть от TSMC Samsung составить ей компанию не смогла.

AMD выпускает Ryzen Threadripper 7000 и Threadripper 7000 Pro WX Компания AMD анонсировала выпуск процессоров серий AMD Ryzen Threadripper PRO 7000 WX и повторно анонсировала линейку Threadripper для HEDT, Ryzen Threadripper 700.

Выпуск процессоров Intel Meteor Lake Core Ultra 9 может задержаться до следующего года Впрочем, в текущем году ряд представителей Meteor Lake всё же будет представлен.

Amazon использует ИИ, чтобы помочь клиентам покупать в интернете подходящую им одежду Amazon обратилась к генеративному искусственному интеллекту для улучшения качества отзывов о своих продуктах. Компания использует технологию ИИ, чтобы помочь клиентам покупать одежду в интернете.

Ford пошёл по пути Tesa: покупателям Mustang 2024 предлагают оклеить машину на заводе матовой плёнкой за $6000 Ford объявил, что покупатели Mustang 2024 модельного года получили новую заводскую опцию, которая позволяет получить автомобиль с матовым покрытием. Это не краска, а матовая пленка (Matte Clear Film), которая наносится на корпус, покрашенный в любой цвет, в ре...

AMD, а покупателям вообще нужен этот упор на ИИ? Компания собирается продвигать новые процессоры Ryzen 8040U/H/HS именно таким образом А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Вчера AMD представила мобильные процессоры Ryzen 8040U/H/HS, которые технически практически ничем не отличаются от аналогичных моделей Ryzen 7040. ...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

МегаФон разогнал интернет в заповедном краю Приморья Жители сел Лазо, Валентина, Киевки и Старой Каменки, расположенных в одной из самых живописных и удаленных территорий Приморского края, теперь могут воспользоваться высокоскоростным мобильным интернетом четвертого поколения и качественной голосовой связью по технологии VoLTE...

ФПК «Гарант-Инвест» полностью погасила выпуск облигаций на сумму 1,5 млрд рублей АО «Коммерческая недвижимость ФПК «Гарант-Инвест» сообщила о полном погашении биржевых облигаций серии 002Р-03 на 1,5 млрд руб. и выплате квартального купона. АО «Коммерческая недвижимость ФПК «Гарант-Инвест» впервые начало привлекать финансовые средства на рынке облигаций в...

Ferrari начала принимать оплату в криптовалюте от клиентов из США Ferrari разрешила клиентам из США расплачиваться в криптовалюте при покупке автомобилей.

Новое поколение процессоров AMD вынудит пользователей перейти на Windows 11 Компания делает большой упор на технологии ИИ, и чтобы использовать весь функционал по максимуму, понадобится 11 версия Windows

Apple нарушила традицию, которой она следовала 12 лет: компания так не выпустила новый iPad в прошлом году Apple нарушила традицию, которую поддерживала на протяжении 12 лет, не выпусктив новые iPad в 2023 году. Это первый раз, когда компания решила не выпускать новый планшет за весь календарный год с момента запуска линейки продуктов. С момента своего дебюта в 2010 году iPa...

Сотрудники Сбера начали выезжать на дом к клиентам для установки приложения на iOS Сбер начал оказывать новую услугу в рамках выездного сервиса. Сотрудники Сбера могут приехать по заявке клиента банка и установить приложение «Учёт Онлайн» для доступа к СберБанк Онлайн на iOS.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Microsoft уверена, что устройства на базе Windows on ARM смогут превзойти решения Apple На протяжении многих лет Qualcomm не удавалось создать по-настоящему мощный ARM-процессор для настольных компьютеров, который жизненно необходим для успеха Windows on ARM. За это время Apple успела выпустить несколько поколений устройств на ARM-процессорах собственной разра...

Процессор Intel Lunar Lake будет доступен в конце 2024 года, но в ограниченном количестве Процессор Intel Lunar Lake будет доступен в конце 2024 года в ограниченном количестве, а его массовый выпуск запланирован на 2025 год

Все три смартфона Vivo серии X100s получат флагманские процессоры Vivo готовит к выпуску три серии X100s аппарата уже в понедельник.

После многолетних обещаний Tesla доставила клиентам первые 12 Cybertruck и сообщила цену Генеральный директор Tesla Илон Маск назвал электрический грузовик, выход которого откладывался трижды, «технологией апокалипсиса» и оспорил мнение, что это просто демонстрационный образец.

«Цель в том, чтобы корабль прошел зону максимального нагрева корпуса или хотя бы пролетел дальше, чем в прошлый раз», — Илон Маск раскрыл цель четвертого старта Starship Илон Маск ответил на вопрос пользователей о том, каких целей он планирует добиться в ходе нового запуска космического корабля Starship. «Цель в том, чтобы корабль прошел зону максимального нагрева корпуса или хотя бы пролетел дальше, чем в прошлый раз», - от...

70% всех потребительских процессоров сейчас — это мобильные CPU. Поставки процессоров для ПК выросли на 22% Рынок ПК, похоже, начинает оживать. Согласно данным аналитиков Jon Peddie Research, поставки процессоров в четвёртом квартале 2023 года выросли в годовом выражении на внушительные 22%.  создано DALL-E Всего за квартал было поставлено 66 млн CPU, что в том числе на...

В Китае запущено опытное производство новейшего Toyota Land Cruiser 250. Редкие фото с конвейера О запуске производства новейшего Toyota Land Cruiser 250 в Китае сообщалось неделю назад, тогда на фото показали первый сваренный кузов. За неделю процесс заметно продвинулся: судя по фото, опубликованным китайским ресурсом Autohome, запущено опытное производство. На ф...

TSMC будет взимать со своих клиентов более высокую плату за чипы американского производства Процессоры американского производства будут дороже, чем тайваньские, и покупателям придется за них доплачивать.

Ryzen 9000 ближе, чем все думали? В Сеть попала рекламная брошюра, где упоминаются такие процессоры Процессоры Ryzen 8000G, как мы уже сообщали, могут появиться вместо Ryzen 7000G уже в конце текущего или в начале следующего года. Возможно, Ryzen 9000 тоже уже на подходе.  В Сеть попало фото рекламной брошюры Dell, где изображён игровой ПК Alienware, якобы оснащ...

Китайские ученые хотят создать RISC-V-процессоры с 1600 ядрами Исследователи из Института вычислительных технологий при Китайской академии наук разработали 256-ядерный мультичиплетный процессор под названием Zhejiang Big Chip, планируя увеличить масштаб до 1600 ядер

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

AMD выпускает коммерческие процессоры серии Ryzen PRO, оснащённые блоком NPU, WiFi 7 и Bluetooth 5.4 Эти процессоры оснащены технологией Ryzen AI, включая архитектуру ЦП AMD Zen 4, графический процессор с архитектурой RDNA 3 и NPU с архитектурой XDNA.

Геймерские процессоры серии Ryzen 9000X3D выйдут в 2025 году на новой архитектуре Zen 5 3D V-Cache Серия Ryzen 9000X3D получит обновлённую технологию Infinity Fabric третьего поколения

Какие процессоры чаще всего устанавливают в Android-смартфоны, и почему вы должны знать свой Процессор (чипсет) — это сердце смартфона. От него зависит не только производительность устройства, но и масса других показателей, включая автономность и качество фото. Но задумывались ли вы, какой процессор стоит в телефоне? Если нет, то абсолютно зря. Ведь информация о чи...

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Nothing анонсировала выпуск нового устройства на этой неделе Слухи о подготовке к выпуску нового смартфона Nothing уже неоднократно курсировали в сети, а теперь на этот счет есть определенная ясность.

Intel установила первый литографический сканер ASML, который будет использован при выпуске чипов по технологии Intel 14A Его ещё предстоит настроить и ввести в эксплуатацию.

TSMC пообещала начать выпуск 2-нм продукции во второй половине следующего года И представить технологию N4C для снижения себестоимости чипов.

Sony приостановила выпуск гарнитуры виртуальной реальности PSVR2 из-за низкого спроса AR и VR технологии пока так и не стали массовыми

CATL провела переговоры о лицензировании технологий выпуска батарей с десятком производителей По меньшей мере, Ford поддался на уговоры о сотрудничестве.

Чип DX-M1 компании DEEPX будет показан на выставке CES 2024 Компания DEEPX (генеральный директор Локвон Ким), разработчик оригинальных полупроводниковых технологий ИИ, представила 40 клиентов для своего флагманского чипа DX-M1

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

В бенчмарке засветился процессор Qualcomm Snapdragon X Plus По информации западных инсайдеров, компания Microsoft готовится к мероприятию по анонсу своих новых планшетов и ноутбуков на базе ARM-процессоров — событие пройдёт 20 мая, а основным партнёром в этом вопросе выступит компания Qualcomm, которая разрабатывает мобильные процесс...

Появились первые тесты процессора AMD Ryzen 7 7840S Сегодня китайский сайт опубликовал полноценный обзор нового ноутбука Lenovo Yoga Air 14s 2023 Ryzen Edition, оснащённого эксклюзивным процессором AMD Ryzen 7 7840S, который был спроектирован и разработан специально для Lenovo. Этот чип, основанный на архитектуре Zen 4, имеет...

Спецификации Wi-Fi 7 будут приняты через несколько месяцев Несмотря на то, что на рынке уже есть продукты с поддержкой Wi-Fi 7, официально организация Wi-Fi Alliance ещё даже не утвердила спецификации этого стандарта. Но по новым данным это произойдёт уже в начале следующего года.  создано DALL-E Спецификации будут заверш...

РСХБ и «Сколково» запускают акселератор с призовым фондом до 5 млн рублей Россельхозбанк при поддержке «Сколково» объявляет о четвертом запуске акселерационной программы, направленной на поиск технологий для российского агропромышленного комплекса и финансовой отрасли. Принять участие в конкурсе могут агротех и финтех проекты, имеющие решения для ...

Процессор для дешёвого игрового ПК: Ryzen 5 3600 против i3-13100 в 19 играх В одном из выпусков на YouTube-канале Odin Hardware протестировали Ryzen 5 3600 и i3−13 100 в современных играх.

AMD может доверить выпуск 4-нм процессоров компании Samsung Образцы уже существуют, а серийное производство стартует в следующем году.

Выпуск 3-нм процессоров AMD с архитектурой Zen 5 начнётся в следующем полугодии Apple и Intel получат свои 3-нм изделия от TSMC уже во втором квартале.

Таинственный чип от Qualcomm набирает 1,7 миллиона ... По некоторым данным, Qualcomm готовит к выпуску загадочный процессор с номером модели SM8635. Говорят, что он появится на Poco F6 и Redmi Note 13 Turbo.

[recovery mode] Тинькофф дает противоречащие ответы Роскомнадзору и ЦБ РФ в части дачи клиентом согласия на обработку его биометрии В ответ на статьи «Тинькофф-банк» собирает согласие на обработку биометрии клиентов при вводе пин-кода в новом приложении или банкомате и Сделаем для вас биометрию. Отказаться не получится хотел бы добавить интересные новости о том, как «Тинькофф» дает противоречащие ответы ...

Американские учёные хотят вывести многопоточность на новый уровень Их технология SHMT может объединять графические ускорители и процессоры искусственного интеллекта, где все компоненты выполняют задачи совместно

Samsung и ARM начнут совместную работу над созданием следующего поколения процессора Cortex-X Новое решение должно будет помочь с внедрением технологий искусственного интеллекта в мобильные устройства

Intel сравнивает маркетинг процессоров AMD с продажами змеиного масла Именно это сделала компания Intel в документе, который она сейчас удалила со своего веб-сайта, известном как "Основные истины: новейшие технологии не всегда являются тем, чем кажутся".

«Готовимся к четвёртому старту». Илон Маск показал новый Starship и назвал цель миссии Илон Маск опубликовал видеоролик с очередными огневыми испытаниями космического корабля Starship, который готовится к четвертому старту, а также назвал цель миссии. Готовимся к четвёртому старту Starship! Цель этой миссии состоит в том, чтобы Starship прошел максимальн...

ИИ-ассистент в приложении Промсвязьбанка признан лучшим в деле общения с клиентами Нейросетевой помощник, разработанный специалистами Промсвязьбанка стал победителем в номинации «Лучшее применение технологий искусственного интеллекта»

МегаФон подключил к мобильной сети 83 населенных пункта Прикамья Для жителей 83 населённых пунктов Пермского края оператор развернул сеть четвёртого поколения. Сельчане получили доступ к качественной голосовой связи с помощью современной технологии звонков через интернет VoLTE.

Бывший глава Tesla осудил компанию за отказ от идеи выпуска массового электромобиля И призвал не увлекаться «технологиями ради технологий».

Это просто праздник какой-то: две линейки процессоров Intel, новые GPU от AMD, платформы и российские серверы Наша регулярная рубрика обзоров серверного железа продолжает свою работу — теперь в 2024. В этом выпуске рассмотрим устройства, представленные в декабре. Погрузимся в новые платформы, изучим новые диски и системы хранения данных. Уже присматриваете новое железо для своих се...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Новый программный продукт Компании «Актив» позволяет работать с бесконтактными смарт-картами на планшетах iPad Новое приложение позволяет сделать iPhone полноценным считывателем для работы с устройствами Рутокен, поддерживающими технологию NFC. С помощью Рутокен VCR 3001 можно подписать документы электронной подписью на планшетах iPad или компьютерах iMac и MacBook с процессором Appl...

Toyota сообщает клиентам о хакерской атаке После кибератаки группы "Medusa" на Toyota Financial Services, компания информирует клиентов об утечке персональных данных. К похищенным данным относятся имена, адреса

Ожидается улучшение производительности AMD Ryzen 8000G с DDR5 и RDNA3 Несколько недель до предполагаемого выпуска появились результаты тестов производительности будущего процессора AMD Ryzen 8000G-APU.

Xiaomi готовит к выпуску ноутбук серии Redmi G с процессорами Intel Core 14-ого поколения Компания Xiaomi работает над игровым ноутбуком, который станет частью серии Redmi G.

Infinix поделился планами о выпуске футуристического ноутбука под брендом GT Он будет оснащён процессором Intel Core i9-13900H в сочетании с графическим процессором Nvidia RTX 4060.

MSI объявила о выпуске BIOS с возможностью отключения CEP для материнских плат Intel 700 и 600 серий Для заблокированных процессоров Core 14-го поколения степпинга B0.

Счастье пришло, откуда не ждали. В России теперь дают гарантию на новые Bentley ГК Автодом предлагает гарантию на новые автомобили Bentley сроком на 1 год или 30 тыс. км. Предложение действует при покупке любой модели. Гарантийные обязательства распространяются на все системы, агрегаты и узлы автомобиля. Сервисное обслуживание автомобилей Bentley о...

Смартфоны Huawei Mate 60 «взорвали рынок». Huawei резко увеличила план по выпуску новых смартфонов Из-за высокого спроса на серию Mate 60 компания Huawei поставила цель по поставкам смартфонов в следующем году на уровне 100 миллионов единиц. Это на 40% больше, чем компания планировала до выпуска серии Mate 60. Лукас Чжун, аналитик Canalys, подтвердил, что новые проду...

Первый ИИ-ноутбук от Samsung выйдет раньше первого ИИ-смартфона. Премьера Galaxy Book 4 состоится 15 декабря 15 декабря Intel выпустит процессоры Core Ultra для мобильных компьютеров, и в этот же день Samsung представит новую линейку своих ноутбуков – Galaxy Book 4. Устройства будут преподноситься как ИИ-ноутбуки. Известно, что Samsung зарегистрировала название «И...

МегаФон подключил к мобильной сети северные поселения Удмуртии Для жителей 33 населённых пунктов Удмуртии оператор развернул сеть четвёртого поколения. Свыше 8 тысяч сельчан получили доступ к качественной голосовой связи с помощью современной технологии звонков через интернет VoLTE. Реализация программы осуществлялась в рамках проекта «...

Завтра в Ирландии начнётся выпуск продукции по технологии Intel 4 Это первый случай применения EUV-литографии в массовом производстве на территории Европы.

Заказал наушники Beats Studio Buds+ на Brandly. Вот как это было Новый и доступный способ приобретения товаров от любимых брендов.

Китайские смартфоны «выше среднего»: OnePlus Nord 4 против HONOR 100 Pro На YouTube-канале «Польза NET» протестировали новые OnePlus Nord 4 и HONOR 100 Pro. Что лучше заказать из Китая?

В «М.Видео» запустили сервис «М.Мастер» – для ремонта техники в магазинах и на дому Группа «М.Видео-Эльдорадо» объявила о  запуске фирменных зон «М.Мастер» для полного спектра услуг ремонта и сервисного обслуживания в магазинах «М.Видео» и «Эльдорадо». Первые 6 зон открылись в магазинах «М.Виде...

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

Установка и настройка Hysteria В этом году я, как и многие посетители Хабра, с огромным интересом читал статьи уважаемого MiraclePtr, учился применять озвученные им идеи и рекомендации, на практике знакомясь с протоколами, клиентами и графическими панелями. Для многих протоколов существуют подробные инстр...

На радость владельцам процессоров Intel. Функция APO, повышающая производительность в играх, теперь поддерживает 12 новых игр Компания Intel расширила поддержку своей функции Application Optimization (APO), добавив в неё несколько новых игр.  создано DALL-E Функция, напомним, появилась ещё осенью, но всё это время поддерживала лишь две игры: Metro Exodus и Rainbow 6 Siege. Теперь же к сп...

50 вопросов для опроса по метрике CES (Customer Effort Score), для вашего вдохновения В современном мире бизнеса, где конкуренция за внимание и лояльность клиентов невероятно высока, ключевую роль играет понимание и улучшение клиентского опыта. Одной из центральных метрик, оценивающих этот опыт, является Customer Effort Score (CES). CES измеряет усилия, котор...

Российское предприятие сможет выпускать до 2500 кв. м печатных плат в год Омский НИИ приборостроения холдинга Росэлектроника нарастил производственные мощности по выпуску печатных плат, в том числе высокого класса точности, сообщает пресс-служба Ростеха. Теперь предприятие сможет выпускать до 2500 кв. м двухсторонних и 500 кв. м многослойных ...

Apple запатентовала 3D-дисплеи В последнее время индустрия технологий не перестаёт удивлять, и одним из таких удивительных нововведений стало объявление Apple о патентовании технологии 3D-дисплеев. Эта технология представляет собой новый подход к визуализации контента, который может изменить восприятие.

Стало известно, когда новейший Land Cruiser Prado 250 можно будет заказать и купить в Китае Официальная премьера нового Land Cruiser Prado 250 в Китае состоялась в ноябре, а сейчас появились подробности относительно сроков предзаказа. Как сообщает местный ресурс Autohome, заказы на внедорожник (это уже будет машина местной сборки) начнут принимать 29 февраля 2...

В Зеленограде налажен серийный выпуск системных плат с поддержкой российских процессоров В следующем году производство будет расширено.

Процессоры AMD Ryzen 9000 Zen 5 и Zen 6 будут поддерживать существующие материнские платы B650/X670 AMD подтвердила поддержку платформы AM5 как минимум еще в нескольких выпусках до Zen 6 в 2026 году.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)