Социальные сети Рунета
Среда, 22 мая 2024

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Samsung разрабатывает технологию питания чипа на обратной стороне (BSPDN) BSPDN - под подачей питания на обратной стороне понимается метод прокладки линий электропитания на задней стороне полупроводникового чипа или интегральной схемы

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Казанские ученые создали охлаждающий кристалл для квантовых технологий В пресс-службе Министерства науки и высшего образования РФ сообщили, что ученые Казанского федерального университета (КФУ) разработали кристалл литиевого тетрафторида гадолиния (LiGdF4), способный охлаждать вещества до очень низких температур. Этот материал может найти приме...

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Развивая технологию F5G, Huawei запускает три корпоративные оптические сети На саммите «Эволюция F5G, повсеместное внедрение интеллекта», проведенном в рамках конференции HUAWEI CONNECT 2023, компания Huawei поделилась своими методами применения технологии F5G с глобальными клиентами и партнерами в различных отраслях, от электроэнергетики до образов...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Технология нанопечати может найти применение при производстве микросхем памяти В этом убеждены представители Micron.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

В СГТУ совершенствуют строительные материалы за счет минеральных добавок Ученые Саратовского государственного технического университета имени Ю. А. Гагарина разработал усовершенствованную технологию получения силикатных добавок для строительных материалов. Технология может найти применение в монолитном строительстве и строительной 3D-печати.

Россия увеличит скорость внедрения отечественного ПО в российские компании Минцифры планирует ускорить внедрение отечественного ПО почти в два раза

Microsoft решила объединить ядерную энергетику и искусственный интеллект В рамках нового подхода компания Microsoft решила объеденить ядерную энергию и искусственный интеллект (ИИ), чтобы запустить следующую «эру развития ИИ». Технологический гигант предложил использовать технологию ИИ для упрощения сложных процессов регулирования, связанных с со...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Инновации «на полке»: технологии, которым нашли применение спустя много лет после изобретения Технологии меняют мир. Это становится заметнее всякий раз, когда на рынок выходит смартфон следующего поколения, а на дорогу выезжает новая модель электромобиля. Прорывные научные изобретения порождают новые продукты, и вместе с ними в жизнь приходит будущее в том виде, в ко...

Российские инженеры оснастили «Герань-2» 4G-модемом с украинской SIM-картой и поворотной камерой Применения таких технологий в рамках дрона-камикадзе может дать не только преимущества, но и нести дополнительные риски

Ультратонкие рамки iPhone 16 Pro могут стать головной болью на производстве Инсайдеры сообщили, что у Apple могут возникнуть серьёзные трудности с будущими iPhone 16 Pro и 16 Pro Max. Дело в ультратонких нижних рамках дисплея, чего нужно достичь за счёт применения технологии Border Reduction Structure (BRS), которая и сделает нижнюю рамку сверхтонко...

Модульный блок питания с PCI 5.0 и 80 Plus Gold. Обзор Chieftec Atmos CPX-750FC Тестируемый сегодня блок питания Chieftec Atmos CPX-750FC идет с сертификацией 80 Plus Gold и полностью модульным кабель менеджментом. Новинка выполнена с применением полномостового преобразователя SRC с технологией DC-DC, обдувается 135 мм вентилятором с гидродинамическим п...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Firefly Aerospace и Lockheed Martin запустят технологию, позволяющую ускорить запуск космических аппаратов Новая технология, разработанная компанией Lockheed Martin, названная Tantrum, открывает новые возможности для эффективного запуска и настройки спутников. В рамках сотрудничества с компанией Firefly Aerospace, они разработали инженерный образец, который сократит время, н...

Спикер Госдумы РФ Вячеслав Володин запустил опрос по поводу оборотных штрафов за утечки данных Подавляющее большинство граждан за оборотные штрафы

Уфимские студенты разрабатывают материалы для биопечати Студенты Башкирского государственного медицинского университета создали гель для биопечати живых тканей с использованием донорского коллагена, сообщает пресс-служба вуза. В будущем технология может найти применение в 3D-печати органов, пригодных для трансплантации.

Американский оборонный гигант Northrop Grumman запускает партнерство с NVIDIA по ИИ Оборонная корпорация Northrop Grumman заключила соглашение с NVIDIA, ведущим разработчиком технологий искусственного интеллекта. Это партнерство позволит Northrop Grumman ускорить внедрение передовых ИИ-решений в свои продукты и услуги, повышая их эффективность и инновационн...

Конференция разработчиков Microsoft Build 2024, возможно, пройдёт с 23 по 25 мая Похоже, ежегодная конференция разработчиков Microsoft Build 2024 пройдёт с 21 по 23 мая. Об этом сообщает известный инсайдер WalkingCat. Официального подтверждения со стороны Microsoft пока не было. Напомним, что в прошлом году мероприятие проходило с 23 по 25 мая, так что ...

Конференция разработчиков Microsoft Build 2024, возможно, пройдёт с 21 по 23 мая Похоже, ежегодная конференция разработчиков Microsoft Build 2024 пройдёт с 21 по 23 мая. Об этом сообщает известный инсайдер WalkingCat. Официального подтверждения со стороны Microsoft пока не было. Напомним, что в прошлом году мероприятие проходило с 23 по 25 мая, так что ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Студенты ПГУ разрабатывают VR-экзоскелет кисти Студенческая команда Пензенского государственного университете работает над VR-экзоскелетом кисти. Изготовленный с применением технологий 3D-печати прототип обеспечивает обратную тактильной связь для полноценного погружения в виртуальную реальность. Разработчики надеются, чт...

Меньше кабелей, больше ватт: стандарт питания 12VO начал приниматься компаниями Благодаря стандарту питания 12VO мир ПК может стать дешевле и компактнее. Разработанный Intel, стандарт призван сократить количество кабелей, скрывающихся внутри компьютера, упростив подачу питания, и MSI — один из первых крупных игроков, представивших его на рынке.

Представлен БП Adata XPG Core Reactor II VE Adata выпустила новый блок питания под названием XPG Core Reactor II VE в дизайне прошлогоднего Core Reactor II мощностью 650 Вт. Этот новый блок питания представлен в трёх вариантах: 650 Вт, 750 Вт и 850 Вт. Размеры XPG Core Reactor II VE составляют 140 x 150 x 86 мм. ...

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Альфа-Банк: машинное обучение с промышленным подходом Павел Николаев, начальник управления технологий машинного обучения Альфа-Банка, – о запуске экосистемы для разработки и внедрения моделей машинного обучения, с помощью которой удалось радикально ускорить процессы создания и запуска моделей.

РУСАЛ разработал жаропрочный алюминиевый сплав для 3D-печати Компания «РУСАЛ» завершила разработку порошкового сплава, предназначенного для производства изделий методом 3D-печати по технологии лазерного сплавления. Сплав с эксплуатационными температурами свыше 350°С может найти применение в производстве двигателей, оборудования для не...

Акции Adobe упали из-за опасений инвесторов конкуренции со стороны ИИ-стартапов Несмотря на внедрение технологий ИИ, Adobe не продемонстрировала ожидаемый инвесторами рост выручки.

Найденные на глубине 1250 метров под землей бактерии могут превращать углекислый газ в кристаллы Найдены странные бактерии, которые превращают углекислый газ в кристаллы. Их будут использовать для борьбы с глобальным потеплением.

CRISPR в генотерапии. История и право CRISPR – это революционная технология генной инженерии, которая позволяет точно редактировать ДНК. Применение его в генотерапии может изменить жизнь миллионов людей с генетическими заболеваниями и миллиардов – с приобретенными. В этой статье я рассмотрю историю применения CR...

Предприятие Ростех ускорит сертификацию двигателя с помощью цифрового двойника Рыбинское предприятие «ОДК-Сатурн», входит в госкорпорацию Ростех, начинает использовать цифровую сертификацию для авиадвигателя ПД-8. Это позволит сократить объем натурных испытаний и ускорить процесс сертификации. Применение компьютерного моделирования и цифрового двойника...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Генератор кадров Nvidia никогда таким похвастаться не сможет. AMD Fluid Motion Frames теперь доступен в любой современной игре Компания AMD добавила поддержку генератора кадров Fluid Motion Frames сразу для всех игр с поддержкой DirectX 11/12. Но это не полноценная поддержка.  Это реализация генератора посредством драйвера, которая не требует от разработчиков внедрения технологии в их игр...

ASUS представила карту расширения USB4 с поддержкой подачи питания 60 Вт через порт USB ASUS расширяет «ограниченный» портфель AIC USB4, конкурируя напрямую с MSI.

Применение технологий ИИ будет потреблять столько же электроэнергии, сколько небольшая страна Потребление электроэнергии цифровыми технологиями продолжает расти. В частности, искусственный интеллект вскоре может потреблять столько же электроэнергии, сколько небольшая страна.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

iPhone 16 может получить дополнительную кнопку, а кнопка действия станет твердотельной Смартфоны iPhone 16 могут быть оснащены дополнительной кнопкой, о чем пишет Мacrumors. Кроме того, Apple также планирует переместить антенну mmWave не левую часть смартфона, чтобы учесть это изменение. Предполагается, что «кнопка действия» iPhone 16 Pro буде...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

FSP представила линейку блоков питания VITA GM Блоки питания серии VITA GM соответствуют новейшим техническим стандартам и обеспечивают стабильную подачу питания

Как мы внедряли Sentry. Часть 2 — внедрение в разработку В прошлый раз мы рассматривали внедрение Sentry со стороны эксплуатации: устанавливали на сервер self-hosted, делали его высокодоступным при помощи сети доставки td-agent, настраивали мониторинг.Теперь рассмотрим процесс внедрения Sentry со стороны команды разработки. Читать...

Samsung готовит мобильные процессора на 3 нанометрах Можно с уверенностью сказать, что компания Apple лидирует в области мобильных чипов для смартфонов и ноутбуков, но конкуренты постепенно догоняют гиганта из Купертино. Например, если верить инсайдерам, компания Samsung готовит свои собственные 3-нм чипы для следующих флагман...

Samsung и ARM начнут совместную работу над созданием следующего поколения процессора Cortex-X Новое решение должно будет помочь с внедрением технологий искусственного интеллекта в мобильные устройства

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Google назвала дату запрета слежки за пользователями Chrome Компания Google окончательно определилась со своими планами по поводу отслеживания файлов cookie сторонними сервисами в браузере Chrome. Если ранее говорилось лишь о расплывчатых сроках - 1 квартал 2024 года, то теперь названа точная дата начала «решительных шагов...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

OpenProject на практике В этой статье рассмотрим реальную историю внедрения приложения для управления проектами OpenProject. После быстрого гугления и поиска по Хабру не удалось найти ни короткого русскоязычного мануала по нему, ни задокументированного опыта его применения на реальных кейсах. Будем...

Монитор Dell UltraSharp 38 U3824DW оценен в 1300 евро Компания Dell дала старт европейским продажам монитора Dell UltraSharp 38 (U3824DW), который вышел в Северной Америке еще весной прошлого года. В Старом Свете за новинку просят 1300 евро. Монитор оснащается 37,5-дюймовой панелью IPS Black с разрешением 3840:1600 пикселей...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Правительство РФ одобрило компенсации гражданам в случае утечки их персональных данных Власти полностью поддержали инициативу Минцифры о компенсации пострадавшим от утечек конфиденциальных данных в рамках закона об оборотных штрафах.

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

В iPhone 16 появятся функции на базе ИИ Если вы следите за рынком высоких технологий, то заметит, что в последнее время крупные компании делают акцент не на производительности своих смартфонов, а на технологиях, которые основываются на искусственном интеллекте. Например, у Samsung появилось приложение, которое мож...

«Сколково»: искусственный интеллект позволяет закрыть потребности десятков медицинских организаций В рамках IX Телемедфорума на сессии «Лечите где болит» эксперты разобрали успешные кейсы по применению искусственного интеллекта в области здравоохранения, а также обсудили, с какими проблемами сталкиваются разработчики ИИ-решений при внедрении их в регионы. Модератором сесс...

Digital Realty: Центры обработки данных могут питаться от ядерных реакторов Компания Digital Realty считает атомную энергетику альтернативным источником энергии для питания центров обработки данных искусственного интеллекта. Похожая технология — с применением малых модульных реакторов — используется на атомных подводных лодках.

Intel Core i9-14900KS протестировали с жидким металлом Сегодня в сети появились первые изображения процессора Intel Core i9-14900KS после удаления теплораспределительной крышки. Впрочем, они ничем не отличаются от того, что мы уже видели с процессором Intel 14900K, поскольку оба чипами являются абсолютно идентичными и с одинаков...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Xiaomi показала Redmi K70 Pro в белом. Рисунок тыльной панели имитирует «процесс преобразования кристаллов льда в ледники» Xiaomi сегодня продемонстрировала флагман K70 Pro в черном цвете, а сейчас компания показала Redmi K70 Pro в белом. Тут тоже своеобразная текстура, создатели говорят, что рисунок тыльной панели имитирует «процесс преобразования кристаллов льда в ледники»....

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

В сеть попала фотография кристалла 24-ядерного процессора Intel Arrow Lake-H Первая фотография показывает, что кристалл состоит из шести компонентов

Врачи рассказали, чем лучше перекусить перед тренировкой: белками или углеводами Правильное питание до и после тренировки ускорит процесс восстановления и сделает вас продуктивнее. Но из-за большого количества самой разной информации в соцсетях может быть непросто понять, какие макронутриенты лучше употреблять до занятий спортом: белки или углеводы.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Как в природе образуются кристаллы С точки зрения науки, кристалл - это любое твёрдое тело, имеющее упорядоченную химическую структуру. Все минералы - это кристаллы, но не все кристаллы при этом можно назвать минералами.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Представлен первый в мире автомобильный дисплей в прозрачном кристалле Swarovski Continental продемонстрировала автомобильный экран под названием Crystal Center Display на выставке CES 2024. Производитель заявляет, что это первый в мире автомобильный дисплей для автомобилей премиум-класса, полностью интегрированный в кристалл Swarovski. Дисплей явл...

Новый метод ускорит онлайн-транзакции в 20 раз Австралийские ученые совместно с коллегами создали способ ускорить работу цифровых подписей в 20 раз. Это обеспечит более быстрые и безопасные онлайн-платежи. Технология позволит защитить транзакции от взлома квантовыми компьютерами, которые появятся в будущем.

Израиль доложил США о возобновлении подачи воды на юге сектора Газа Израиль возобновил подачу воды на юге сектора Газа

Состоящий только из электронов кристалл Вигнера удалось заснять впервые за 90 лет До сих пор существование кристалла Вигнера (вигнеровский кристалл) было доказано только теоретически. Теперь есть фотодоказательства.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

В Гарварде разработали программируемую «интеллектуальную жидкость» В Гарварде исследователи разработали «интеллектуальную жидкость» с изменяемым расположением атомов под влиянием внешних факторов. Как указывается, это нововведение может найти применение в робототехнике и ряде других сфер.

MediaTek хочет сделать Dimensity 9400 очень большим Если верить информации западных журналистов, компания MediaTek планирует представить новый процессор Dimensity 9400 с внушительными размерами кристалла. На самом деле специалисты заявляют, что новая система на кристалле будет иметь самые большие размеры среди всех систем, ко...

Сбер поможет АвтоВАЗу выпустить новый автомобиль, в котором могут появиться ИИ-технологии и сервисы банка Сбербанк обсуждает возможность интеграции своих цифровых сервисов в мультимедийную систему автомобилей Lada, о чем сообщила пресс-служба банка. Сбербанк и АвтоВАЗ уже обсудили расширение сотрудничества в сфере цифровизации производства. В рамках этого партнерства рассма...

Проект Google по производству геотермального электричества начал подачу электроэнергии к ЦОД Передовой геотермальный проект начал подачу электроэнергии, не содержащей углерода, в энергосистему Невады для питания центров обработки данных компании Google.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Microsoft проверила эффективность водородного топлива для питания серверов В рамках сотрудничества компании Caterpillar Inc., Microsoft и Ballard Power Systems успешно продемонстрировали потенциал технологии водородных топливных элементов для обеспечения надежного и устойчивого резервного питания центров обработки данных.

Для отечественных разработчиков создадут «регуляторный рай», экономический эффект он внедрения ИИ уже превысил 1 трлн рублей Кандидат на пост вице-премьера РФ Дмитрий Чернышенко отметил, что Россия должна оставаться в числе лидеров по развитию технологий искусственного интеллекта. На этом сосредоточен кабинет министров РФ. В частности, планируется создан «регуляторного рай» для от...

На саммите Huawei Talent объявлены инициативы по поддержке цифровых талантов в 2024 году В рамках Международной выставки мобильных технологий MWC 2024 в Барселоне компания Huawei провела свой Саммит талантов (Huawei Talent Summit), анонсировав несколько инициатив по поддержке талантов, включая: открытие периода подачи заявок на участие в глобальном проекте МСЭ –...

AMD переманила руководителя ИИ-отдела из Intel AMD переманила бывшего руководителя отдела ИИ из Intel, Джона Рэйфилда, что может ускорить разработку новых ИИ-ускорителей и технологий в компании

Блокчейн за пределами биткойна: названы 4 ниши, о которых мало говорят Мир технологии блокчейн выходит далеко за рамки биткойна и его потенциала, пишет HackerNoon. Несмотря на значительное применение в финансовой сфере, различные специализированные ниши расширяют границы инноваций, определяя будущее различных отраслей, считают эксперты.

«Роснано» и китайская компания договорились о совместной переработке отходов «Роснано» и «Харбинская международная торгово-экономическая компания Цзюешэн» заключили соглашение о сотрудничестве по внедрению технологий переработки и утилизации промышленных отходов в России и Китае. Подписание документа состоялось в рамках визита президента РФ Владимира...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Яндекс ускорил применение настроек в интерфейсе РСЯ Время доставки данных до пользователя удалось сократить до пяти минут

Ученые считают, что эпитаксиальный графен может заменить собой кремний в производстве чипов Новый материал позволит ускорить эволюцию передовых технологий и снизить при этом себестоимость самих изделий

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Технология Massive MIMO от МегаФона ускорила мобильный интернет в Ленинградской области В загруженных локациях Ленинградской области специалисты МегаФона модернизировали сеть и запустили технологию Massive MIMO, которая ускорила мобильный интернет на 30%.

Первый мозговой чип Neuralink успешно установлен живому человеку Основатель компании Neuralink Илон Маск сообщил о первом удачном применении технологии «мозг-машина» на живом человеке. По его словам, операция по внедрению мозгового импланта прошла успешно, сейчас пациент проходит процедуру реабилитации. Это все еще экспериментальная техно...

А ведь совсем недавно у iPhone были самые широкие рамки. Рамки iPhone 16 будут ещё уже благодаря технологии Border Reduction Structure Флагманские iPhone 15 Pro и 15 Pro Max уже сейчас выделяются весьма узкой рамкой вокруг экрана. У новых iPhone она может стать ещё уже.  фото: Apple Это может стать возможным благодаря технологии Border Reduction Structure (BRS). Она нацелена в первую очередь на у...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Учёные нашли способ увеличения эффективности аккумуляторов для электрокаров в экстремальных условиях Внедрение новой технологии может существенно повысить запас хода для электромобилей.

Умная линза Blink Energy получает свое питание через веко Израильский стартап Blink Energy придумал, как реализовать подачу энергии на умные контактные линзы

X5: как ИИ «поставить на поток» Артем Ерохин, ведущий менеджер по работе с большими данными X5 Group, – о создании платформы AI-RUN Business Platform, позволяющей радикально ускорить процессы внедрения решений на основе искусственного интеллекта.

МТТ переходит на платформу МТС DataOps Platform для управления данными Внедрение DataOps позволяет оптимизировать процессы управления, повысить безопасность при работе с данными и ускорить вывод на рынок новых продуктов и сервисов.

Microsoft усиливает киберзащиту в ответ на атаки Midnight Blizzard В ответ на атаку российских хакеров Microsoft ускорит внедрение новейших систем безопасности

Процессоры AMD EPYC-E для сокета SP8 могут получить до 64 ядер Zen 6 и поддержку PCIe Gen 6 Часть кристаллов с ядрами CCD заменят на специализированные кристаллы FGPA и NCD.

ДНК-оригами: самый маленький в мире наноразмерный электромотор Оригами — это древнее искусство складывать различные фигуры из листов бумаги. В современном же мире бумага является не единственным материалом для складывания. Оригами нашло свое применение и в робототехнике, и в медицине, и в фармакологии. А вот ученые из Техасского униве...

Вы не знали, а Rolls-Royce создает ядерные реакторы: об одном из них нам рассказали Rolls-Royce представила концептуальную модель космического микрореактора, показанную на Космической конференции Великобритании. Компания, в рамках программы, финансируемой Британским космическим агентством в размере 2,9 миллиона фунтов стерлингов, разрабатывает передово...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

В России разработаны полностью отечественные фотомодули на кристалле Холдинг «Росэлектроника», который входит в Госкорпорацию Ростех, разработал полностью отечественные матричные фотомодули. За их основу были взяты кристаллы кремния, пишет Госкорпорацию Ростех.

Индия изменила свою позицию по ИИ после критики Индийское Министерство электроники и информационных технологий поделилось обновленным советом по искусственному интеллекту с заинтересованными сторонами индустрии в пятницу. Теперь им больше не требуется получение одобрения правительства перед запуском или внедрением модели ...

Хроники вещества: симметрия, кристаллы и дальний порядок В этом посте мы поговорим о симметрии в нашем повседневном мире и на уровне отдельных атомов. Эти знания помогут нам заглянуть в мир кристаллов (и даже квазикристаллов!). Здесь будут картинки, анимашки и немного школьной математики. Читать далее

От причала к причалу со скоростью самолета: внедрение электрических морских планеров в Абу-Даби Морские планеры будут обслуживать маршруты в Абу-Даби протяженностью до 300 км с существующей аккумуляторной технологией и до 800 км с батареями следующего поколения.

Серия Samsung Galaxy S23 не получит технологию Super HDR Хотя внедрение функции Ultra HDR производителями телефонов Android немного запоздало, Samsung S24 Ultra появился на рынке с технологией Samsung Super HDR

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Поставщиком титана для Samsung Galaxy S24 Ultra выступит китайская компания Solomon Корейский ресурс TheElec рассказал подробности о будущем флагмане Samsung Galaxy S24 Ultra. Он подтвердил, что боковая рамка Galaxy S24 Ultra будет выполнена из титанового сплава, а поставщиком этого сплава выступит китайская компания Solomon. Источники TheElec со...

Минцифры: в правительстве России одобрили компенсации пострадавшим от утечек Правительство поддержало инициативу о компенсации пострадавшим от утечек персональных данных в рамках закона об оборотных штрафах. Об этом рассказала пресс-служба Министерства цифрового развития, связи и массовых коммуникаций Российской Федерации (Минцифры России). ...

Проектирование и строительство развязок в Тюмени осуществляется с применением ТИМ Главное управление строительства Тюменской области рассказало о применении технологий информационного моделирования дорог и транспортных развязок.

Набиуллина может быть выдвинута кандидатом на пост главы МВФ ТАСС: Международный валютный фонд (МВФ) рассчитывает до конца апреля завершить процесс выбора следующего директора-распорядителя. Если принять во внимание все обстоятельства, а также требование регламента иметь при подаче списка кандидатов не менее одной женщины, шансы Эльви...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Илона Маска обязали явиться в суд из-за покупки X/Twitter Федеральный судья обязал Илона Маска снова дать показания в ходе расследования Комиссии по ценным бумагам и биржам США по поводу его покупки Twitter за 44 миллиарда долларов. Приказ мирового судьи США Лорел Билер, изданный в субботу вечером, официально закрепил предвари...

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

ИИ лаборант В последние годы огромное внимание со стороны как ученых, так и обывателей получили большие языковые модели, такие как GPT. Они нашли свое применение в обработке естественного языка, в биологии и химии, и даже в программировании. В результате разгорелись дебаты на тему эти...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Найден способ существенно ускорить домашний интернет Ждем!

Смартфонам с чипами Snapdragon 8 Gen 4 могут потребоваться аккумуляторы большей емкости Системы на кристалле от Qualcomm и MediaTek становятся все мощнее, что может привести к увеличению энергопотребления и требовать увеличения емкости аккумуляторов в смартфонах. Ожидается, что новый чипсет Snapdragon 8 Gen 4 от Qualcomm сможет использовать более энергосберегаю...

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Samsung готовит новое поколение памяти LPDDR5x для автомобилей Сегодня южнокорейская компания Samsung официально объявила о планах по внедрению памяти новых форматов LPDDR5x, GDDR7 и AutoSSD (твердотельная память специально для автомобильного рынка) в автомобильной промышленности в самом ближайшем будущем. В рамках форума об инновационн...

Sitronics собирается представить беспилотное электросудно уже в этом году Российская компания Sitronics Group планирует представить собственное электросудно с системой автономного судовождения уже в этом году. Президент компании Николай Пожидаев рассказал, что применить такие технологии на своих электросудах Sitronics надеется этим летом. По ...

Стартап Interlune, основанный бывшими лидерами Blue Origin, привлёк финансирование для добычи изотопа гелия-3 на Луне. Прогнозируемый годовой доход составляет более $500 миллионов Стартап Interlune, созданный бывшими руководителями Blue Origin, заявил о планах по добыче на Луне редкого гелия-3. Гелий-3 является стабильным изотопом гелия и его применение может способствовать развитию квантовых вычислений и термоядерной энергетики.  Компания з...

США и ЕС объединяют усилия в поиске альтернативы токсичным химикатам в производстве микросхем В рамках совета по торговле и технологиям стороны запускают совместные проекты по созданию альтернатив токсичным материалам и стабилизации рынка чипов.

ИИ в бизнесе: притягательный, рискованный и неизбежный Разнообразие областей применения искусственного интеллекта в бизнесе, риски, бонусы и типичные «грабли» его внедрения обсудили на форуме «Интеллектуальная автоматизация — 2023».

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Суперкомпьютер с ИИ повысит эффективность работы правительства США Аналитический центр MITRE, ключевой партнер Пентагона и американской разведки, совместно с Nvidia строит суперкомпьютер стоимостью 20 миллионов долларов, призванный ускорить внедрение ИИ в федеральном правительстве. Эта «ИИ-песочница» обещает улучшить все — от здравоохранени...

Wylsacom рассказал, что делать, если Apple и Google заблокируют смартфоны в России С подачи депутата Госдумы Антона Горелкина несколько последних дней в России активно обсуждается потенциальная блокировка смартфонов со стороны Apple и Google.

Технические характеристики Exynos 2500 от Samsung могут ... Процессоры Exynos от Samsung воспринимают неоднозначно, но в этом году, похоже, компания нашла баланс. Следующий год может быть не таким удачным.

Технические характеристики Exynos 2500 от Samsung могут ... Процессоры Exynos от Samsung воспринимают неоднозначно, но в этом году, похоже, компания нашла баланс. Следующий год может быть не таким удачным.

AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Компания AMD уже довольно давно выпускает процессоры, а с недавних пор и GPU, с чиплетной компоновкой. Но чиплетными являются либо настольные CPU, либо мобильные высокопроизводительные, которые технически представляют собой те же настольные. AMD говорит, что доступные ч...

Собственные чат-бот Apple GPT и большая языковая модель Apple отстают от ChatGPT и Gemini Bloomberg отмечает, что собственная технология генеративного искусственного интеллекта Apple отстает от конкурентов. Сотрудники Apple тестировали собственного чат-бота под названием Apple GPT, кроме того, у компании есть собственная большая языковая модель под кодовым н...

История о том, как IT-шник ушел в гостиничную сферу или почему ваш летний отпуск может быть омрачен Скоро лето, скоро отпуска, а значит, вы должны знать, с какими проблемами некоторые из вас столкнутся при бронировании гостиниц. Плохая новость заключается в том, что вы с этим не сможете ничего сделать и ваш отпуск будет омрачен.Когда...

В ПНИПУ исследовали 3D-печать графеном Исследователи из Пермского национального исследовательского политехнического университета провели опыты по 3D-печати изделий из графена. Методика может найти применение в аддитивном производстве крупных деталей.

Нотификации через RSocket в Альфа-Онлайн: от концепции до запуска в продакшн Однажды мы решали задачу разработки системы нотификаций для Альфа-Онлайн — мобильного банка в вебе. Нам было необходимо разработать инструмент по отправке сообщений (нотификаций) со стороны сервера в браузер в любой момент времени, пока клиент онлайн. На стороне Альфа-Онлайн...

Новый алгоритм ускорит климатические модели в 10 раз Разработан новый алгоритм, который может значительно ускорить работу климатических моделей. Климатические модели являются сложными компьютерными программами, используемыми для моделирования различных частей климатической системы, таких как атмосфера и океан. Эти модели работ...

Власти США помешали AMD продать в Китай ИИ-ускорители Производитель микросхем Advanced Micro Devices Inc. (AMD) столкнулся с трудностями со стороны американского правительства при попытке продажи чипа искусственного интеллекта, специально адаптированного для китайского рынка. Это произошло в рамках ужесточений в отношении экспо...

Samsung рассматривает технологию 3D-чипсетов для мобильных точек доступа Exynos Сообщается, что компания Samsung Electronics рассматривает возможность применения технологии 3D-чипсетов в своих процессорах мобильных приложений (AP) Exynos.

Adobe интегрирует генеративный ИИ в Premiere Pro Adobe объявляет о внедрении передовых инструментов генеративного искусственного интеллекта в Adobe Premiere Pro, позволяющих с легкостью редактировать видео, добавлять и удалять объекты, а также расширять клипы, что значительно ускорит и упростит процесс постпродакшна.

Франция стремится ускорить внедрение транспортного коридора Индия-Ближний Восток-Европа Проект, пролегающий через Израиль, направлен на противодействие российско-индийскому коридору «Север-Юг» через Иран и китайской инициативе «Пояс и путь».

ИИ помог российским врачам более 11 миллионов раз Мэр Москвы Сергей Собянин сообщил о внедрении искусственного интеллекта (ИИ) в работу рентгенологов столицы. С начала 2020 года ИИ помог проанализировать более 11 миллионов исследований, что существенно ускорило диагностику, пишет ТАСС со ссылкой на личный блог мэра столицы....

iPhone от Apple может стать полноэкранным, после применения технологии "камера под дисплеем" Ходят слухи, что этот технологический прорыв станет стратегическим шагом, который заложит основу для создания полноэкранного iPhone от Apple

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

GameFun — играй в игры на смартфоне или ПК и выигрывай PlayStation 5 или iPhone 15 Pro Max GameFun — игровое приложение, доступное в App Store, Google Play, а также в веб-версии. Играть можно на смартфоне, ПК или планшете. Суть GameFun в том, чтобы зарабатывать алмазы за прохождение игр, доступных только в рамках этого приложения. За успешное прохождение уровня...

Как оценить эффект от внедрения проекта? Целью любого проекта, будь то разработка сайта, внедрение искусственного интеллекта или модернизация оборудования, является получение бизнес-результата. Поэтому для клиента важно понимать, какую выгоду он получит от внедрения проекта и как это отразится на его прибыли. Кроме...

Белорусское — это теперь российское. Белорусскую микроэлектронику определённого типа начали приравнивать к российской Как сообщает ресурс «Ведомости», белорусскую микроэлектронику начали приравнивать к российской.   Пока это коснулось только аналоговых базовых матричных кристаллов (БМК), но вполне может распространиться и на другие виды продукции.  Суть в том, чт...

В России запустят федеральный проект по внедрению ИИ в различные отрасли Министр цифрового развития Российской Федерации Максут Шадаев сообщил о создании нового федерального проекта в рамках национального плана «Экономика данных». Этот проект направлен на повсеместное внедрение технологий искусственного интеллекта (ИИ) в различные отрасли, такие ...

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

ИИ-функции Google Фото станут бесплатными Сегодня компания Google официально объявила, что инструменты на основе искусственного интеллекта в приложении Google Фото будут доступны для всех пользователей без необходимости оформления платной подписки. Речь идет об инструментах Magic Eraser, Photo Unblur и Portrait Ligh...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Samsung показала смартфон, который может складываться в обе стороны Он оснащен дисплеем, выполненным по технологии Flex In & Out и не требует установки дополнительного второго экрана.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

В России появится международная платформа услуг на основе ИИ Российский фонд прямых инвестиций (РФПИ) и Университет ИТМО заключили соглашение о сотрудничестве для разработки и продвижения мировой платформы по предоставлению услуг на основе искусственного интеллекта (ИИ) с применением облачных технологий (AIaaS). Эта новость была озвуч...

OpenAI откладывает запуск ИИ-генератора видео Sora на конец года OpenAI запустит свой генератор преобразования текста в видео Sora позднее в этом году, но его внедрение будет медленным из-за опасений, что эта технология может поставить под угрозу рабочие места и распространить дезинформацию.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

В сеть утекли предположительные характеристки Surface Laptop 6 на базе Snapdragon X Уже в следующем месяце Microsoft представит ноутбук Surface Laptop 6 на базе ARM-процессоров Snapdragon X Elite в качестве версии для потребителей. Напомним, что модели для бизнеса по-прежнему оснащаются процессорами Intel, поскольку корпоративный сегмент не готов к экспери...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Твердотельный накопитель MiWhole CT300 емкостью 1 ТБ: недорогой контроллер Maxiotek MAP1602 и новейшая 232-слойная TLC-память YMTC в одной связке За исключением проблемы с размером кристаллов (флэш-памяти нужно всё больше и больше, на «больших» кристаллах собирать емкие накопители и проще, и выгоднее, а вот производительность моделей меньшей емкости с такими чипами падает) у новой платформы всё предсказуемо. В Maxiote...

Разъёмы RTX 4090 и блока питания расплавились даже при 75% нагрузки Проблема в очередной раз всплыла на форуме Quasar Zone. Появилось фото, на котором изображён 16-пиновый коннектор, который уже привычно оплавился. Но в этот раз ситуация ещё более печальная, потому что оплавление произошло не только со стороны видеокарты, но и со стороны б...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Представлены первые на 100% российские фотомодули на кристалле для высокоточного оружия В России создали линейку матричных фотомодулей на основе кристаллов кремния, которые позволяют «видят» объекты в любое время суток и формировать как черно-белое, так и цветное изображение. Ростех подтверждает, что новинка создана полностью из отечественных к...

 Microsoft инвестирует 1,5 млрд долларов в G42 из Абу-Даби для ускорения развития ИИ G42, ведущая технологическая холдинговая компания со штаб-квартирой в ОАЭ, специализирующаяся на искусственном интеллекте (ИИ), и Microsoft объявили о стратегических инвестициях Microsoft в G42 в размере 1,5 млрд долларов. Инвестиции укрепят сотрудничество двух компаний по в...

Библиотека Scout — быстрый и безопасный DI на Kotlin Привет! Меня зовут Александр Миронычев. Я занимаюсь инфраструктурой приложения Яндекс Маркет под Android. Около двух лет назад при работе над модульностью у меня появилось желание написать собственную библиотеку для внедрения зависимостей, которая позволила бы ускорить сборк...

Корпорация Ligado подаёт в суд из-за саботажа планов по развёртывнию 5G-сетей со стороны правительства США В судебном иске, направленном в федеральный суд США, Ligado заявляет, что Министерство обороны использовало частоты L-диапазона, не предоставив компенсацию, и вело дезинформационную кампанию относительно возможных помех GPS, что вынудило компанию отложить свои планы по ...

Volvo инвестирует в технологию Breathe Battery, позволяющую на 30% ускорить зарядку электромобилей Стратегические инвестиции Volvo Cars в технологии Breathe Battery Technologies направлены на значительное сокращение времени зарядки электромобилей, что сделает электромобили более привлекательными.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Сможет ли AMD повторить свой прорыв, который совершила в настольном сегменте с переходом на чиплеты? Мобильные APU компании тоже станут такими Возможно, следующие мобильные процессоры AMD перейдут на чиплетную компоновку, как это реализовано в настольных Ryzen уже много лет.  В Сети появились новые подробности о линейках Strix, Sarlak и Kracken, и для всех указано наличие кристалла ввода-вывода, причём у...

Математика объяснила, как сотрудничать в разных группах Ученые разработали новый математический принцип, который проливает свет на то, как сотрудничают люди с разными «характеристиками». Это исследование, опубликованное в журнале PNAS, может найти широкое применение в экономике, поведенческих исследованиях и понимании социальных ...

Новое исследование показало, что бизнес ждет взрывной рост применения ИИ В рамках последнего исследования Comindware и PEX Network, было выявлено значительное проникновение искусственного интеллекта (ИИ) в различные аспекты операционной эффективности и цифровой трансформации бизнеса. Отчет за 2024 год демонстрирует, что компании различных отрасле...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Huawei запустила решение по цифровизации медицины для ускорения инноваций здравоохранения Во время форума MWC Barcelona 2024 компания Huawei официально представила свое решение для цифровизации медицинских технологий в рамках своих усилий по цифровому и интеллектуальному обновлению отрасли здравоохранения. Дэвид Ши (David Shi), вице-президент по маркетингу и прод...

Что такое semantic-release и как с ним работать Привет! Я — Алексей Бондаренко, работаю в команде Платформа Банки.ру. Сегодня хочу рассказать о semantic-release и его практическом применении на примере упрощения разработки и внедрения библиотеки в проект.  Читать далее

Оборотное зелье. Как pluggable transports помогает, когда VPN бессилен

Плохое питание может привести к большему риску развития рака Новое исследование, проведенное в Национальном университете Сингапура (NUS), проливает свет на связь между плохим питанием и повышенным риском развития рака.

В ДГТУ исследуют 3D-биопечать мясных продуктов Ученые кафедры «Биоинженерия» Донского государственного технического университета занимаются разработкой технологии производства мясных продуктов из выращенных в лабораторных условиях животных клеток с применением технологий 3D-печати.

Найден простейший способ ускорить старый компьютер в играх Разработчик, известный под ником xCuri0, создал утилиту ReBarUEFI. Она активирует в старых процессорах функцию Resizable BAR.

Intel Core Ultra 5 240F потенциальный преемник 14400F, серия может быть основана на двух кристаллах Процессоры Intel Arrow Lake должны быть представлены в этом году.

AMD подала патент на новую технологию чиплетов для будущих поколений видеокарт Radeon Чиплеты могут разделить графический процессор на несколько отдельных кристаллов с разными функциями

Как у MacBook или у iPhone? Apple может добавить зарядный порт MagSafe в iPad Pro Apple рассматривает возможность добавления технологии MagSafe в следующее поколение iPad Pro.

Эксперт рассказал, какая технология значительно ускорит развитие квантовых компьютеров Директор Института физики и квантовой инженерии НИТУ МИСИС рассказал, как квантовая память поможет в развитии технологий

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Китайские банки блокируют закупки ПК и комплектующих со стороны РФ, а цены продолжают расти Дефицит электроники может привести к резкому скачку цен, ну а российские импортёры пытаются найти выход из сложившейся ситуации

Российские ученые создали способ изготовления люминесцентных покрытий В пресс-службе Томского государственного архитектурно-строительного университета сообщили, что ученые вуза представили новый метод создания люминесцентных материалов, который может найти применение в дорожном строительстве и электронной промышленности. Они разработали более ...

Насколько близко мы подошли к созданию терминатора? Хладнокровная машина, не знающая печали и жалости. Неумолимо и прямолинейно выполняющая поставленную ей задачу до конца. Таким запомнился зрителю терминатор из одноимённого фильма. Согласно его сценарию, бездушная машина прибыла к нам из будущего. И вот, именно сейчас мы под...

Noctua представила линейку Home — устройства не для ПК, а для дома Компания Noctua, известная своими воздушными системами охлаждения для ПК, неожиданно представила линейку продуктов Home. И это именно то, о чём можно подумать из названия.  Это устройства вовсе не для компьютера, а для дома. Если точнее, для домашней, офисной и мн...

Представлен военный Ford F-150 Kodiak Robotics демонстрирует первый прототип автономной военной платформы компании, которая позволяет Ford F-150 перемещаться без человека за рулем. В ноябре компания Kodiak передала военным свой первый автономный F-150 в качестве испытательного стенда для будущих техн...

Для Delta Force: Hawk Ops проведут первый бета-тест на смартфонах Разработчики Delta Force: Hawk Ops готовятся к закрытому бета-тесту для смартфонов и ПК в Китае. Подробная информация указана на странице игры в маркете 3839, со ссылкой на подачу заявки. Тестирование будет кроссплатформенным, поэтому получив приглашение для теста на мобильн...

Посадку на поезда по биометрии в России протестируют уже в начале 2024 года Гендиректор Центра биометрических технологий (ЦБТ) Владислав Поволоцкий заявил, что ЦБТ и РЖД планируют в первом полугодии 2024 года в закрытом режиме провести эксперимент по посадке на скоростные поезда дальнего следования при помощи биометрии. «С коллегами из РЖ...

Как защитить бизнес при внедрении LLM (часть 1) Новый мир с LLM — прекрасен! Нам, инженерам, он открывает много перспектив. А тем, кто его незаконно использует — предоставляет новые страшные инструменты. Как же защитить свой бизнес от угроз нейросетей?Меня зовут Евгений Кокуйкин и я — руководитель AI продуктов компании Ra...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

В России разработают рацион питания для полетов к Луне Научно-исследовательский институт пищеконцентратной промышленности и специальной пищевой технологии примет участие в испытаниях базового рациона питания для экипажей перспективного транспортного корабля нового поколения. Главный конструктор института Виктор Добровольский соо...

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

Арктический НОЦ разработал стандарт аддитивных технологий для судостроительной отрасли Новый ГОСТ охватывает принципы сертификации в производстве судовых деталей методами 3D-печати. Согласно предлагаемому регламенту, утверждаться будет та или иная разработанная аддитивная технология для судостроения, а не отдельные материалы — это поможет ускорить внедрение.

Как мы упростили внедрение роботов в банке Я роботизатор, который занимается автоматизацией рутинных задач в банке. Чтобы справиться с потоком таких задач, мы начали использовать технологию RPA (robotic process automation), которая имитирует действия человека на уровне пользовательского интерфейса. Внедрение RPA в...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Это первый ноутбук с Intel Core HX 14-го поколения и RTX 4060. Появились фотографии Lenovo Savior Y7000P Компания Lenovo объявила сегодня о скором выпуске нового поколения игрового ноутбука Savior Y7000P, который поступит в продажу в январе. Он получил новый корпус и свежий процессор. Игровой ноутбук Savior Y7000P 2024 получил новую конструкцию с увеличенным задним воздух...

Samsung, Vodafone и AMD демонстрируют первую в отрасли технологию Open RAN Samsung Electronics, совместно с Vodafone и AMD, анонсировали успешное внедрение первой в индустрии технологии Open RAN, демонстрируя виртуализированную радиодоступную сеть на процессорах AMD и подтверждая лидерство компаний в развитии экосистемы Open RAN.

Как защитить бизнес при внедрении LLM (часть 2) Новый мир с LLM — прекрасен! Нам, инженерам, он открывает много перспектив. А тем, кто его незаконно использует — предоставляет новые страшные инструменты. Как же защитить свой бизнес от угроз нейросетей?Меня зовут Евгений Кокуйкин и я — руководитель AI продуктов компании Ra...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Плата MSI Z790 Project Zero появилась в продаже Компания MSI выпустила в китайскую продажу флагманскую материнскую плату Z790 Project Zero, которая была представлена еще в начале года в рамках выставки CES 2024. Новинка характеризуется выведенными на обратную сторону разъемами, 6-слойной печатной платой, подсистемой питан...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Как управлять распределённой системой, не привлекая внимания санитаров Привет! Меня зовут Александр Попов, я tech lead команды маркетплейса 05.ru. Сейчас мы занимаемся бэком маркетплейса и некоторыми другими сервисами на рынке Дагестана. При разработке серверной части маркетплейса мы сразу решили строить её в распределённой архитектуре. Эт...

Китай приступает к серийному производству 5-нм кристаллов Китай в ближайшее время планирует развернуть серийное производство 5-нм чипов по технологии SAQP

Apple нашла неочевидное применение порту USB-C в новых iPhone 15 Этот лайфхак поможет вам распознать подделку при покупке.

Одним из победителей конкурса Global CIO стал проект по внедрению ВКС Vinteo в работу ЦИТ Мурманской области Проект по внедрению российского решения видеоконференцсвязи (ВКС) Vinteo в ГОБУ «Центр информационных технологий Мурманской области» одержал победу в номинации «Лучший проект в Северо-Западном федеральном округе» на 12-м конкурсе «Проект года-2023» профессионального сообщест...

Оптимален ли блокчейн для хранения идентификационных данных? Приветствую, Хабр! Моя предыдущая статья была посвящена формализованным критериям выбора базовой технологии хранения и обработки данных, совокупность которых позволяла ответить на вопрос, использовать ли в конкретной системе блокчейн-технологии или ограничиться хорошо изучен...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Galaxy Watch Ultra может стать следующим флагманским ... Компания Samsung, один из ведущих мировых поставщиков дисплеев, заявила, что расширит свою технологию MicroLED на меньшие экраны, такие как смарт-часы.

Corsair представила белые блоки питания RMx SHIFT мощностью до 1200 Вт Особенностью блоков питания является расположение разъемов питания на боковой стороне

Apple возобновила переговоры с OpenAI и Google для интеграции ИИ в iPhone Обсуждаются возможности внедрения ИИ-технологий в iOS 18

Этот мини-ПК с процессором Intel и подачей питания через RJ45 можно спрятать в карман. Представлен Minisforum S100 Компания Minisforum выпускает много разных мини-ПК, но зачастую их объединяют примерно одинаковые габариты и довольно высокая производительность. Модель S100, которую компания представила сегодня, выбивается из общей линейки.  Во-первых, она в разы компактнее. Габ...

Внедрение электроники с ИИ в космические корабли невозможно – нужна спецподготовка Применения искусственного интеллекта и машинного обучения для космических проектов на данный момент ограничиваются работами на Земле, поскольку перемещение ИИ на борт спутников, хотя и многообещающее, но значительно сложнее.

«Мы будем усердно работать над этим», — рамка Xiaomi 15 будет ещё меньше, чем у Xiaomi 14 Основатель Xiaomi Лэй Цзюнь опубликовал на своей страничке в Weibo подтвердил, что компания постарается сделать рамку Xiaomi 15 ещё меньше, чем у Xiaomi 14. Напомним, Xiaomi 14 получил рамку шириной 1,61 мм с трех сторон, а подбородок имеет ширину 1,71 мм. Для сравнения...

Huawei запустила глобальную демо-площадку для ускорения цифровизации в образовании В рамках конференции HUAWEI CONNECT 2023 на семинаре на тему «Умное преподавание и обучение для ускорения внедрения интеллектуальных технологий в образовании» компания Huawei запустила глобальную демонстрационную площадку «умного образования», а также представила эталонную а...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Когда был большой взрыв в виртуальной реальности? История развития VR-технологий Сегодня шлемы Oculus Rift, Kinect, гарнитуры PS-VR и тактильные жилеты не кажутся чем-то фантастическим. Крупные IT-компании вроде Google и Apple уже давно создают устройства дополненной и виртуальной реальности. Почти любой человек может запустить любимую игру и погрузит...

Cognizant внедрила технологию Just Walk Out от Amazon в Canberra Institute of Technology Student Association Cognizant, одна из ведущих в мире компаний по предоставлению профессиональных услуг, объявила о своем избрании Canberra Institute of Technology Student Association (CITSA) на роль провайдера услуг в области системной интеграции и платежной системы для внедрения технологии ко...

BMW представляет новейшие автомобильные технологии: искусственный интеллект, поддержка геймпадов Xbox и PlayStation, автопарковщик следующего поколения Компания BMW во время выставки CES покажет последние достижения компании в области умных кабин, умного вождения и других областях. Согласно официальному сообщению, BMW создает новое поколение персонального помощника, которое будет умнее предыдущего. Оно основано на техн...

«Ренессанс страхование» в два раза ускорит работу с данными с помощью технологий Yandex Cloud Архитектуру решения разработали эксперты компании «КОРУС Консалтинг».

Блок питания XPG CyberCore II 1000 Platinum: качественный киловаттник с гибридным режимом и соответствием ATX 3.0 Потребительские качества XPG CyberCore II 1000 Platinum находятся на очень высоком уровне, если рассматривать применение данной модели в домашней системе, в которой используются типовые компоненты. Акустическая эргономика БП до 400 Вт включительно очень хорошая. Отметим высо...

Porsche и Bosch инвестируют в компанию по переработке аккумуляторов Cylib Cylib надеется на внедрение новой технологии в Германии.

5G появится в России уже в 2026 году В России к 2026 году начнется новая эра мобильной связи благодаря внедрению технологии 5G.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Представлен ультраширокий изогнутый монитор HP Z34c G3 с выдвижной селфи-камерой Девайс также может похвастаться подачей мощности до 100 Вт на ноутбук.

О внутрикластерной маршрутизации через Istio Привет, Хабр! Я Степан, DevOps‑инженер, занимаюсь созданием CI/CD процессов с учётом проверки кода на безопасность, поддержкой и разверткой новых кластеров Kubernetes, соблюдением требований безопасности и созданием системы мониторинга и логирования — все это ...

Внутри дисковода HP от 1977 года найден уникальный чип по технологии кремния на сапфировой подложке Технология по энергоэффективности превосходит современные чипы, но так и не стала массовой

Внутри дисковода HP от 1977 года найден уникальный чип по технологии кремния на сапфировой подложке Технология по энергоэффективности превосходит современные чипы, но так и не стала массовой

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

Apple лучше отключит функцию в своих умных часах, чем будет лицензировать чужую технологию? Компания не хочет вести переговоры с Masimo Компания Apple не собирается вести переговоры с Masimo, чтобы полюбовно решить вопрос нарушения патентов.  Напомним, в конце прошлого года суд постановил, что в умных часах Apple Watch Series 9 и Apple Watch Ultra 2 нарушены патенты компании Masimo, и продажи данн...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Samsung, а как отличить Galaxy S24 от Galaxy S23? Качественные рендеры Galaxy S24 говорят о том, что Samsung не стала заморачиваться с дизайном Инсайдер Стив Хеммерстоффер (Steve Hemmerstoffer), известный под ником Onleaks, опубликовал первые качественные рендеры смартфона Samsung Galaxy S24. По слухам, линейка Galaxy S24 дебютирует только в январе 2024 года, но оценить дизайн базовой модели серии можно уже пря...

Samsung строит умные фабрики по производству чипов — собирать микросхемы будет исключительно ИИ Южнокорейский производитель микросхем намерен использовать "умные" технологии и полностью исключить людей из процесса производства кристаллов

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

МТС и группа «Эталон» займутся разработкой цифровых решений для «умных» зданий Стороны планируют реализовать совместное внедрение инфраструктурных решений МТС и «Эталон» в процессы строительства и эксплуатации объектов российской жилой недвижимости.

News.ru: применение ИИ и особенности конструкции БПЛА-ВТ «Термит» делают его устрашающим оружием Эксперты рассказали о сильных сторонах новой модели вооружения России.

Слухи о применении чат-бота от Baidu в военных целях спровоцировали падение акций китайской компании Инвесторы боятся санкций в отношении Baidu со стороны США.

Дивергентное мышление: человек vs GPT-4 За последние годы применение больших языковых моделей искусственного интеллекта стало крайне распространенным явлением. Популярность ChatGPT повлекла за собой море дискуссий на тему правильности применения таких систем как с практической, так и с этической стороны вопроса....

В компании Amazon работает порядка 750 000 роботов Технологии искусственного интеллекта позволяют ускорить процесс замены сотрудников на чат-ботов и роботов

Tesla не будет торопиться с внедрением технологии изготовления монолитных кузовных деталей Это слишком дорого.

Роскомнадзор внедрит ИИ в свою работу В пресс-службе Роскомнадзора сообщили, что ведомство активно исследует применение технологий на базе искусственного интеллекта (ИИ) для решения своих задач. Основной упор делается на построение моделей и обучение нейронных сетей. Эта работа проводится в рамках центров компет...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Представлен первый бойлер с HyperOS — Xiaomi Mijia 60L N1. Он может полтора часа непрерывно подавать горячую воду Электрический водонагреватель Mijia 60L N1 отличается возможностью быстрого нагрева, при этом мощность можно регулировать от 2200 до 3300 Вт. Xiaomi Mijia 60L N1 может похвастаться уникальной технологией «8-кратного увеличения емкости воды». Хотя сам резерву...

Китайская компания Baidu нашла способ объединять графические ускорители от разных производителей Данная технология может позволить более эффективно использовать все имеющиеся ресурсы в обучении ИИ

Canon хочет бросить вызов ASML с помощью более дешевой машины для наноимпринтной литографии 5 нм Если технология NIL сможет увеличить производительность и снизить затраты, она может найти свое место

Новый ИИ OpenAI может клонировать голос человека из 15-секундного аудио Компания OpenAI сообщила о готовности к внедрению нового ИИ-инструмента Voice Engine. С его помощью можно клонировать голоса людей на основе аудиозаписи их речи длительностью всего 15 секунд. При этом сгенерированные голоса звучат не только естественно, но и эмоционально и р...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Турель "Шторм-1" с управлением по радиоканалу и с трехрежимным огнем скоро появится на СВО Будущее уже наступило – следующий шаг: массовое внедрение "Ронина"

Моль может помочь в выращивании следующего поколения пандемических вакцин Новая технология производства вакцин описывается как более дешевая, простая и быстрая, чем использование куриных яиц.

MMORPG Noah's Heart официально закроется в конце апреля 2024 Разработчики из компании Archosaur Games, известные по играм Dragon Raja и Avatar: Reckoning, объявили о закрытии MMORPG Noah's Heart, которая была выпущена в 2022 году. На данный момент игровые магазины уже недоступны, но сервера будут отключены только 29 апреля 2024. В кач...

Гурман: Apple активизирует разработку технологии 6G на фоне проблем с собственным модемом 5G В настоящее время компания Apple набирает инженеров для работы над технологией 6G в рамках команды Wireless Technologies and Ecosystems (WTE).

ООН: человечество в год выбрасывает в мусор продуктов питания на $1 трлн, а 720 млн людей голодают Решения проблемы нет, несмотря на усилия со стороны учёных и общественных деятелей

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

АвтоВАЗ разрабатывает Lada размером с Toyota Camry, и она получит беспилотные технологии Глава АвтоВАЗа Максим Соколов сообщил о том, что завод работает над внедрением беспилотных технологий в автомобили Lada, причем это буду модели покрупнее Lada Vesta — классов C (длиной около 4,5 метра как Toyota Corolla) и даже D (длиной около 4,8 м, как Toyota Ca...

Лидеры Венесуэлы и Гайаны договорились избегать применения силы и насилия Стороны согласились на мирное решение проблемы, касающегося земельных споров

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Apple может оснастить iPhone 17 и 17 Plus дисплеем ProMotion с частотой 120 Гц Согласно слухам, в следующем году технология дисплеев ProMotion с частотой обновления 120 Гц появится в бюджетных iPhone 17 и 17 Plus.

Новые горизонты производства электроники и солнечных батарей: оптимизация мультикристаллических материалов с помощью ML упность и различные преимущества мультикристаллических материалов сделали их широко распространенным сырьем для различных применений в сфере солнечной энергетики и, в целом, полупроводни.ковой индустрии, электроники и медицины, однако работа с ними сопровождается серьезными ...

В TSMC считают, что число транзисторов в GPU превысит 1 триллион в течение 10 лет За счет внедрения новых технологий упаковки

PlayStation 5 Pro сможет запускать игры в 4К при 120 кадрах в секунду Кроме того Sony работает над внедрением ИИ, аналогичного технологии Nvidia DLSS

Это Xiaomi 14 Pro. Множество живых фото новинки со всех сторон и комплекта поставки После вчерашнего анонса Xiaomi 14 Pro издание Mydrivers опубликовало живые фото смартфона и его упаковки, а также комплекта поставки. Xiaomi 14 Pro доступен в трёх цветах: чёрном, белом и синем. Внешне Xiaomi 14 и Xiaomi 14 Pro похожи, только у Xiaomi 14 Pro и перед...

Третья межрегиональная практическая конференция по снижению издержек, повышению эффективности, увеличению чистой прибыли и цифровой трансформации предприятий промышленности В Новомосковске (ООО "Аэрозоль Новомосковск") при участии Минпромторга России и Минпромторга Тульской области пройдет "Третья межрегиональная практическая конференция по снижению издержек, повышению эффективности, увеличению чистой прибыли и цифровой трансформации предприяти...

За пределами Солнечной системы найдены «планеты-глаза», на которых может существовать жизнь Существование таких планет было теоретически доказано давно, но только совсем недавно их удалось обнаружить при помощи современных технологий

В Китае смоделировали атаку гиперзвуковой ракеты на американский военный корабль Лаборатория нашла слабое место в радаре, используемом ВМС США, и может преодолеть его с помощью своей новой технологии космического базирования.

Мишустин дал поручение найти способы выявлять ложные сведения от ИИ Многие россияне пользуются технологиями искусственного интеллекта. Проблема в том, что ИИ может сгенерировать информацию, которая не соответствует действительности, что может повлечь за собой негативные последствия.

Пользователь купил дешёвый блок питания Equites T500 и нашёл внутри коробку с металлической стружкой Иногда на комплектующих для ПК лучше не экономить

Пользователь купил дешёвый блок питания Equites T500 и нашёл внутри коробку с металлической стружкой Иногда на комплектующих для ПК лучше не экономить

Экологи смогут найти незаконные свалки благодаря ИИ-модели Сбера Стартовал проект по применению нейросети для очищения России от мусора.

Процесс извлечения водорода из побегов виноградной лозы разработали испанские ученые Найдено неожиданное применение виноградной лозы – выработка биотоплива.

LG и Samsung продемонстрировали на выставке CES 2024 свои огромные прозрачные дисплеи Прозрачные экраны могут найти применение в гостиных, витринах магазинов, транспортных узлах и других местах.

В WhatsApp появится собственный аналог ChatGPT прямо внутри мессенджера В рамках своего последнего мероприятия, компания *Meta представила ряд инноваций, среди которых особое внимание привлекло внедрение искусственного интеллекта в популярные мессенджеры.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Китай запустил спутник 6G. Пока технология остаётся на стадии экспериментов, исследования не останавливаются По данным China Global Television Network (CGTN), англоязычной государственной новостной организации, Китай запустил два экспериментальных спутника на низкую околоземную орбиту в начале этого месяца. Первый спутник, China Mobile 01, оснащён первым в мире спутником обраб...

Это может спасти сотни тысяч жизней: стетоскоп с искусственным интеллектом ставит точный диагноз за 15 секунд Британские медики в настоящее время тестируют новейший стетоскоп, который использует передовую технологию искусственного интеллекта для моментальной постановки диагноза. Портативное устройство, созданное американской компанией Eko Health, представляет собой инновационны...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Google проиграла и признана монополистом. Epic Games удалось доказать свою позицию в суде Компания Epic Games выиграла суд у Google. Согласно решению суда, Google превратила свой магазин приложений Google Play в монополиста. При этом пока что суд не вынес никаких решений относительно того, что должна теперь сделать Google, пока принято не было — их при...

Apple возобновила переговоры с OpenAI о внедрении их технологий в iOS 18 Компания в настоящий момент ищет партнёра, который помог бы в реализации чат-бота в её гаджеты

Qualcomm готова показать первую SoC RISC-V на базе Android для массового рынка Экосистема Android делает шаги в сторону внедрения RISC-V: Google подтвердила официальную поддержку RISC-V в Android, а компания Qualcomm объявила о разработке первого массового RISC-V Android SoC.

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Как мы ускорили Golang-тесты на CI Привет, Хабр

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Рендер Sony Xperia 1 VI указывает на тот же дизайн, что и у его предшественника Sony Xperia 1 VI сохранит плоские рамки с элементами управления на правой стороне, включая сканер отпечатков пальцев на кнопке питания

МегаФон ускорил интернет в 4 райцентрах Новгородской области Инженеры МегаФона модернизировали инфраструктуру связи в этих населенных пунктах и на 20% ускорили интернет 4G.

Зона высоких технологий Ляочэн: инвестиции в развитие отраслевой цепочки машиностроения Делегация компании Taixing Industry (Thailand) Co., Ltd. во главе с заместителем генерального директора г-ном Эдди (Eddie) посетила зону высоких технологий Ляочэн (Liaocheng High-tech Zone). Стороны подробно обсудили вопросы НИОКР и производства нового интеллектуального обор...

Хороший кроссовер и необычный сабвуфер Привет, Хабр! Сегодня я расскажу про свою новую самоделку. Это компактная моноблочная стереоколонка с сабвуфером и 5-вольтовым питанием от USB. Роль звукоизлучателя сабвуфера выполняет сам корпус устройства, благодаря применению вибрационного громкоговорителя. Читать даль...

НАТО планирует финансировать стартапы для развития технологий энергетической безопасности НАТО, в рамках укрепления энергетической безопасности, намерен финансировать разработчиков технологии наблюдения за морскими ветряными электростанциями и производителями микрогенераторов.

Nintendo Switch 2 может выйти в марте 2024 года Пользователь с ником Doctre81 выпустил свежий видеоролик на YouTube, в котором рассказал о свежей вакансии от компании Nintendo с крайним сроком подачи заявок до 1 декабря. Речь идёт про должность стажёра по розничному маркетингу и стратегии компании — интересно, что в объяв...

Компания Apple не отказывается от проекта собственного электромобиля Однако, ей придётся отказаться от внедрения технологий полностью беспилотной езды

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Представлены OLED-мониторы Samsung Odyssey нового поколения Компания Samsung Electronics представила новое поколение OLED-мониторов Odyssey, которые можно будет увидеть вживую на выставке CES 2024 в Лас-Вегасе на следующей неделе. Линейка будет включать модели: Odyssey OLED G9 (модель G95SD); Odyssey OLED G8 (модель G80SD)...

Роботы, их применение сегодня и особенности видов На сегодняшний день, когда технологии стремительно развиваются, в эксплуатации появляются умные устройства, которые обладают искусственным интеллектом. Роботы – это автоматическая техника, имеющая встроенную программу, благодаря которой она может выполнять множество функций,...

Через два года Seagate начнет выпуск жестких дисков объемом более 40 ТБ Благодаря применению передовых технологий

Придумана машина по производству синтетического паучьего шёлка Это важный шаг к производству легко адаптируемого и востребованного материала, который найдёт широкое применение в реальной жизни

Депутат ГД Красов заявил о незаконном применении силы Вашингтоном в Йемене В Государственной Думе понадеялись найти порядочных американских политиков

Forbes: ВС ВСУ никогда не догонят ВКС РФ по количеству сбрасываемых бомб-планеров Возросшие мощности отечественного ОПК найдут применение всем огромным запасам ещё советских свободнопадающих бомб

Telegram не удалил свыше 120 тысяч материалов по требованию Роскомнадзора — перспективы оборотного штрафа более чем реальны Уже стандартная практика для ведомства.

Google получила 4,6 миллиарда рублей штрафа за повторный отказ удалить запрещённую в России информацию Это уже третий оборотный штраф.

Telegram не удалил свыше 120 тысяч материалов по требованию Роскомнадзора — перспективы оборотного штрафы более чем реальны Уже стандартная практика для ведомства.

Росатом хочет наладить 3D-печать деталей для атомных станций Госкорпорация «Росатом» собирается печатать детали для атомных станций на 3D-принтере, запустить такую технологию в работу планируется через год. Об этом журналистам рассказал Илья Кавелашвили, генеральный директор компании «Русатом — аддитивные ...

Ракеты в России будут запускать быстрее. Роскосмос планирует ускорить предстартовую подготовку Заместитель гендиректора Роскосмоса по средствам выведения, эксплуатации наземной космической инфраструктуры, обеспечению качества и надежности Александр Лопатин заявил, что корпорация планирует ускорить предстартовую подготовку перспективных ракет-носителей при помощи ...

Цветы в технологиях: инновационные способы использования цветов в производстве и инжиниринге Цветы, помимо своего эстетического значения, находят применение в различных областях, включая технологии и инжиниринг. Инновационные способы использования цветов в производстве и инжиниринге открывают перед нами новые перспективы и возможности. Они помогают не только создава...

Глава украинской разведки Кирилл Буданов заявил о невозможности наступления ни одной из сторон Плотные минные поля и активно применение дронов мешают эффективному наступлению.

Названы преимущества перспективного российского бомбардировщика следующего поколения ПАК ДА Самолёт проектируется и изготавливается с применением новейших технологий.

Обзор поддельного блока питания с закосом под Apple Будучи технически подкованным человеком, я всегда старался выбирать качественные устройства для питания своей техники, и обходил непонятные подвальные решения, которые можно было всегда за недорого найти на рынках, а теперь уже на онлайн-площадках. Но недавно я столкнулся ...

МегаФон на треть ускорил мобильный интернет в двух районах Кировской области Проведенные работы повысили и уровень голосовой связи за счет использования технологии VoLTE.

Программа CNEC Global Leap представляет в Барселоне 7 подходов, ускоряющих внедрение ИИ  Сообщество Cloud Native Elite Club (CNEC) успешно провело на MWC Barcelona 2024 семинар «Успех благодаря изначально облачным технологиям и ИИ». Более 50 лидеров и экспертов отрасли собрались, чтобы изучить передовые тенденции в области технологий и инноваций на 2024 год, под...

Colorful представила белую материнскую плату iGame B760M ULTRA Z D5 с разъёмами на обратной стороне Плата предлагает продвинутую подсистему питания с крупными радиаторами, скоростные USB.

Российские железные дороги (РЖД) начали внедрение скамеек с подогревом Российские железные дороги (РЖД) внедряют инновационные технологии для улучшения комфорта пассажиров

Создатели FIFA и NFS работают над внедрением рекламы в платные ААА-игры Electronic Arts рассматривает возможность внедрения рекламы в свои AAA-игры, которые традиционно продаются по цене около $70 за копию.

Технология OCR и ее преимущества для бизнеса OCR (Optical Character Recognition) - это технология, которая позволяет компьютерам распознавать текст, написанный от руки или напечатанный на бумаге, и преобразовывать его в электронный формат. С помощью OCR возможно автоматическое сканирование и распознавание текста с доку...

Американские генералы испытали новейшие военные технологии с учетом опыта конфликта на Украине Американские военные провели крупномасштабные учения, смоделировав боевые сценарии, напоминающие происходящее на Украине. По данным экспертов, ВС США пока отстают от России и Украины в применении технологий беспилотных платформ наземного базирования и сталкиваются с рисками ...

Ностальгия по «Сумеркам». 7 истин, благодаря которым сага остаётся в сердечках зрителей 15 лет спустя Рассказываем, что же такого есть в истории про вампиров и оборотней и почему Белла Свон — символ girl power.

Российские учёные создали космическую заправочную станцию В Росси разработали технологию космической заправки, которая ускорит освоение космоса

Россия проводит учения по повышению боеготовности своего тактического ядерного оружия В рамках учений, войска должны отработать применение ядерного оружия в критических сиуациях

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Юбилейная GeForce RTX 4090 от GALAX получила скрытый разъём питания и вентилятор на обратной стороне Также в юбилейную серию вошла оперативная память и твердотельный накопитель.

Apple сдалась под натиском Android и анонсировала внедрение протокола RCS на iPhone Случилось практически невозможное: Apple объявила о планах по внедрению стандарта обмена сообщениями RCS (Rich Communication Services) в свои устройства.

Forbes: дроны ВС России сделают применение танков ВСУ днем невозможным В США рассказали об угрозе танкам ВСУ со стороны России и способности дронов коллективно атаковать

Раскрыты проблемы большинства компаний во внедрении генеративного ИИ Гендиректор Accenture Джули Свит (Julie Sweet) откровенно рассказала о том, что большинство компаний не готовы к широкому внедрению генеративного ИИ. Несмотря на ажиотаж вокруг этой передовой технологии, многие предприятия не имеют надежной инфраструктуры данных и необходимы...

Блок питания Super Flower Leadex VII XG 850W: поддержка ATX 3.0, разъем питания PCIe 5.0, отличные характеристики и результаты тестов Потребительские качества Super Flower Leadex VII XG 850W находятся на очень высоком уровне, если рассматривать применение данной модели в домашней системе, в которой используются типовые компоненты. Акустическая эргономика БП до 500 Вт включительно очень хорошая, до 850 Вт в...

Новый API Microsoft позволит ускорить игры Софтверный король Microsoft представила новый API для операционной системы Windows, который позволит легко интегрировать технологии масштабирования на базе искусственного интеллекта, которые сейчас предлагают все производители видеокарт.

В Московском авиационном институте пообещали создать "технологии будущего" Ректор Московского авиационного института (МАИ) Михаил Погосян подчеркнул приоритетную направленность университета на разработку технологий будущего. На III конгрессе молодых ученых он отметил значимость внедрения композиционных материалов, электрификации инженерных систем и...

Фил Спенсер заверил сотрудников: Xbox продолжит выпускать консоли Бизнес-обновление, в рамках которого будут раскрыты планы на будущее, ожидается на следующей неделе. Слухи о выпуске двух моделей Xbox следующего поколения могут оказаться правдой, но пока что информация остается неопределенной. Спенсер подчеркнул, что проекты Xbox, такие ка...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Разъём питания 12VHPWR снова оказался причиной проблем. CableMod отзывает свои адаптеры 12VHPWR Разъём 12VHPWR присутствует на рынке уже достаточно давно, но до сих пор остаётся причиной проблем. Компания CableMod запустила отзывную кампанию, в рамках которой просит покупателей вернуть ей адаптеры 12VHPWR и немедленно прекратить их использование.  Речь идёт ...

AMD FSR появится в смартфонах Samsung следующего поколения Предполагается, что следующие чипсеты Samsung и Qualcomm будут использовать технологию масштабирования от AMD, что значительно повысит производительность мобильных графических ускорителей даже на устройствах среднего уровня

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Google нашла смартфонам Pixel необычное, но очень полезное применение Компания Google выпустила приложение Pixel Thermometer, предназначенное для смартфонов Pixel восьмого поколения.

Nvidia представила геймплей Star Wars Outlaws с DLSS 3 и трассировкой лучей Игра, разрабатываемая студией Massive Entertainment, обещает стать одним из самых ярких видеоигр этого года, благодаря внедрению передовых технологий Nvidia

На улице Windows 10 праздник: устаревшая ОС получит встроенный ИИ Copilot В мире технологий произошло неожиданное событие: Microsoft объявила о внедрении своего новейшего ИИ-чатбота Copilot в операционную систему Windows 10.

Герман Греф рассказал, почему ИИ — важнейшая технология нашего времени Глава Сбербанка рассказал, почему внедрение ИИ в жизнь — главное достижение человечества последних лет

Microsoft хочет ускорить трассировку лучей с помощью твердотельных накопителей Microsoft запатентовала технологию использования твердотельных накопителей для ускорения трассировки лучей в играх за счет разгрузки видеопамяти.

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Парогенератор Silanga ST800 Pro Station: быстрый разогрев, сверхмощная постоянная подача пара и резервуар объемом 1,9 л Парогенератор Silanga ST800 Pro Station имеет отличные технические и функциональные характеристики, в чем мы успели убедиться за время тестирования. Прибор, оснащенный функцией парового удара и постоянной подачи пара, отлично отпаривает в горизонтальном и вертикальном положе...

Google заплатит $5 млн тому, кто придумает реальное применение квантовым компьютерам Google и XPRIZE Foundation запускают конкурс с призовым фондом в $5 млн. Цель – найти практическое применение квантовым компьютерам, способным принести пользу обществу.

MWM: ВС РФ обязаны последним победам массовым применением планирующих авиабомб В 200-метровом радиусе от эпицентра попадания трудно найти целую конструкцию, даже выполненную из железобетона

МегаФон ускорил интернет курьерам и водителям такси на время распродаж МегаФон подключает ускоренный мобильный интернет курьерам и водителям такси по всей стране. Такой апгрейд ускорит работу специализированных приложений, дав возможность быстрее выполнять заказы в период самых интенсивных распродаж и предновогоднего ажиотажа.

Штрафы для компаний за утечки персональных данных россиян наконец-то увеличатся При этом пока неизвестно, будут ли они оборотными в случае повторного нарушения

Россия наложила на Google штраф в $50 млн Таганский суд Москвы назначил компании Google третий оборотный штраф в размере 4,6 млрд руб. за отказ удалить из Youtube фейки.

В Минэкономразвития рассказали о применении ИИ в бизнесе В Минэкономразвития рассказали, что технологии с использованием ИИ помогут отраслям улучшить работу

10 отличных программ для монтажа видео Видеоредакторы для всех, кто хочет научиться монтажу или найти новое применение уже полученным навыкам.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Сбер и «Р-Фарм» втрое ускорят время разработки лекарств с помощью ИИ Сбер и компания «Р-Фарм» представили новое решение на базе искусственного интеллекта, которое позволяет ускорить процесс создания новых биологических препаратов в три раза.

В России планируют приступить к внедрению сетей 5G на отечественном оборудовании Первую партию российского оборудования для 5G хотят произвести в следующем году.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Sony пошла на даунгрейд и отказалась от 4K-экрана 21:9 в Sony Xperia 1 VI, потому как такое разрешение до сих пор редко где используется. Плюс рамки стала заметно меньше Выяснилось, что причина, по которой Sony отказалась от использования вытянутого экрана 4K с соотношением сторон 21:9 в новом флагмаме Sony Xperia 1 VI, заключается в том, что используемая ранее панель 4K не поддерживает динамическую частоту обновления и может работать т...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

ИИ улучшил искусственную поджелудочную железу для диабетиков Американские ученые из Центра технологий диабета Виргинского университета усовершенствовали искусственную поджелудочную железу для лечения диабета 1 типа. Новая система регулирует уровень сахара в крови с помощью искусственного интеллекта (ИИ). ИИ анализирует данные пациента...

Airbus открывает центр разработки водородных технологий в Германии Airbus Airbus открыл центр разработки водородных технологий ZEROe Development Center (ZEDC) в Штаде, Германия, для ускорения разработки и внедрения композитных систем хранения и распределения жидкого водорода в авиации.

Apple запатентовала сенсорную панель для боковых сторон iPhone и iPad Apple получила патент на сенсорную панель, которая может быть интегрирована в боковые стороны iPhone и iPad. Новый дизайн обещает изменить способ взаимодействия пользователей с устройствами, расширив их функциональность.

ИИ ускорит создание персонализированных иммунотерапий против рака Создание персонализированных терапий на основе Т-клеток для пациентов с раком занимает много времени, но ученые из Немецкого центра исследования рака (DKFZ) и Медицинского центра университета Мангейма показали, что этот процесс можно ускорить вдвое с помощью классификатора и...

Какие проблемы со здоровьем вызывают головокружение По словам доктора Мины Ле, головокружение может возникнуть по многим причинам. Среди них — жидкость в среднем ухе, смещение кристаллов во внутреннем ухе, болезнь Меньера, вестибулярный неврит и вестибулярная мигрень.

CES 2024: Samsung представляет Music Frame - стильный лаунспикер-рамка Samsung анонсировала новый лаунспикер Music Frame на CES 2024. Устройство выполнено в стиле рамки для картин, что позволяет ему гармонично вписываться в интерьер. Оно обладает высококачественным звуком и совместимо с другими устройствами Samsung через технологию SmartThings.

Оказалось, что старые процессоры AMD таят в себе пистолет и американский штат. На кристалле CPU Athlon K7 выгравированы изображения револьвера и Техаса Гравировка на старых процессорных кристаллах — дело не новое. Но до сих пор обнаруживаются CPU, где о таких изображениях общественность ранее не знала. К примеру, на кристалле старого AMD Athlon K7 их 1999 года нашли изображение пистолета.  Если точнее, изоб...

Context receivers — новые extension functions Поговорим сегодня про context receivers — фиче Kotlin, про которую я узнал давно, но смог найти применение лишь пару месяцев назад. Расскажу о том, что такое context receivers, где их можно использовать, и, конечно же, про «успешный успех» — минус 60% самописного DI в OzonID...

В России предложили способ ускорить процесс зарядки натрий-ионных аккумуляторов Группа ученых из Санкт-Петербургского государственного морского технического университета (СПбГМТУ) разработала способ, который ускорит процессы зарядки и разрядки натрий-ионных аккумуляторов, пишет РИА Новости.

Администрация президента не поддержала поправки Минцифры о смягчении штрафов за утечки данных Предполагалось, что компании должны направлять часть оборотных средств на кибербезопасность

Сервисы обязали раскрывать правила применения рекомендательных технологий — вот какие данные собирают некоторые из них Например, Wildberries и Ozon, «ВКонтакте» и «Яндекс», а также разные онлайн-кинотеатры.

Россия наращивает производство мощных фугасных бомб для применения в СВО В рамках военных операций Россия усиливает свой арсенал, возобновляя производство мощных фугасных авиабомб ФАБ-3000, а также увеличивая выпуск бомб ФАБ-500 и ФАБ-1500.

Как много россиян боятся быть заменёнными ИИ В рамках онлайн-конференции «Навыки будущего 2.0», проходившей с 25 по 27 сентября, Skillbox провёл опрос среди своих студентов на тему применения искусственного интеллекта.

ASUS анонсировала спецверсию GeForce RTX 4090 без кабеля дополнительного питания ASUS представила свои новые продукты с поддержкой стандарта BTF, который позволяет видеокартам работать без кабеля дополнительного питания и переносит почти все интерфейсы на обратную сторону материнской платы

Представлен 180-Гц монитор Cooler Master GM34-CWQ2 Компания Cooler Master пополнила ассортимент игровых мониторов моделью GM34-CWQ2, которая основана на 34-дюймовой изогнутой VA-матрице с разрешением 3440:1440 пикселей. Новинка также характеризуется радиусом кривизны 1500R, базовой кадровой частотой 165 Гц и 180 Гц с разгоно...

В российских вузах собираются ввести единый образовательный стандарт по аддитивным технологиям Проект стандарта уже разработан и обсуждается с ведущими университетами страны, сообщила директор Ассоциации развития аддитивных технологий (АРАТ) Ольга Оспенникова на казанском форуме «Аддитивные технологии — новая реальность». Принятие стандарта ожидается в следующем году.

Apple догонит рынок? Свой аналог ChatGPT в iOS может появиться уже в следующем году Компания Apple, похоже, наконец-то примкнёт к гонке генеративного искусственного интеллекта уже в следующем году.  Аналитик Haitong International Securities Джефф Пу (Jeff Pu) утверждает, что Apple может внедрить генеративный ИИ в iOS 18, которая выйдет ближе к ко...

Для жителей кировских новостроек ускорили мобильный интернет Скорость передачи данных в данных локациях увеличилась в среднем на 15-20%. Работы повысили и уровень голосовой связи за счет использования технологии VoLTE.

Ученые создали чип, который ускорит развитие технологии 6G Исследователи из Корнельского университета создали полупроводниковый чип, который позволит устройствам становиться еще меньше и работать на более высоких частотах, необходимых для будущей технологии связи 6G.

Tesla заключила соглашение с Baidu для внедрения системы полного беспилотного вождения в Китае Автопроизводитель сможет реализовать свою идею по внедрению продвинутого автопилота в машины Tesla

CPM vs. BI:  о чем не расскажут продавцы Более восьми лет я специализируюсь на внедрении CPM и BI-систем различных вендоров. Несмотря на то, что во многом их функционал пересекается, мне хорошо понятно, какие задачи решаются лучше, а какие решаются только одним из этих двух классов систем.Написать данную статью мен...

Rhea Space Activity выиграла грант NASA для создания новой технологии связи на Луне Космическая компания Rhea Space Activity получила грант NASA на разработку и тестирование своей окололунной навигационной системы. 6 марта компания объявила о своей победе в конкурсе агентства TechFlights, проект Rhea Space Activity получит $750 000 и возможность запуст...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Google и Microsoft объединились для развития чистой электроэнергии Корпорации Nucor Corporation, Google и Microsoft Corporation объявили о сотрудничестве в рамках энергетической экосистемы для разработки новых бизнес-моделей и агрегации спроса на передовые технологии чистой энергии. Основное внимание будет уделено развитию первых коммерческ...

Стартап Wayve привлекает $1 млрд на разработку и внедрение «ИИ для автомобиля» Wayve работает над ИИ-моделями автономности, похожими на «GPT для вождения». Сотрудничество с технологическими гигантами призвано обеспечить распространение технологии автономного вождения.

JEDEC объявила о появлении памяти GDDR7 для видеокарт следующего поколения Организация, устанавливающая отраслевые стандарты памяти, официально опубликовала спецификацию GDDR7, открыв путь к ее внедрению в видеокарты следующего поколения.

Ученые создали работающий наноразмерный электромотор из ДНК В результате недавнего прорыва ученые создали первый работающий наноразмерный электромотор, открыв тем самым дорогу в «эру миниатюрных машин», которые могут найти применение в молекулярном производстве, медицинской диагностике и т. д.

История Джона Чоффи: создателя первого в мире DSL-модема В 80-х годах многие компании, занимавшиеся системами связи, полагали, что будущее скоростного интернет-соединения — за оптоволокном. Скорость и пропускная способность выше, а затухание при передачи на большие расстояния — меньше. Однако технология передачи данных при помощи ...

Суд в Москве оштрафовал Zoom на 15 млн рублей за повторный отказ локализовать данные россиян В октябре суд рассмотрит ещё один протокол на сервис — ему грозит оборотный штраф.

Беспроводная магнитоионика: превращение немагнитного в магнитное без проводов Описать современный мир, не затрагивая современные технологии — невозможно. Ведь именно наука и технологический прогресс во многом сделали его таким, как он есть сейчас. Если же углубиться в тему именно технологий, то одним из первых в голове возникает эпитет «беспроводной...

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

Почему экран телефона мерцает и что делать? Экран смартфона может мерцать из-за настроек, повреждений или влияния сторонних программ на его работу. Попробуем разобрать все причины и дать советы по исправлению неисправностей в домашних условиях. Изменение окружающей яркости Если на смартфоне установлен OLED-экран, то о...

Представлен безрамочный Mini-LED монитор Acer Predator X32Q Acer представила безрамочный (с трёх сторон) монитор Predator X32Q, который предлагается за 700 долларов на популярной площадке JD.com. Этот 31,5-дюймовый монстр оснащен Mini-LED дисплеем 4K с частотой обновления 144 Гц. Он характеризуется максимальной яркостью 1000 кд/...

Принципы ООП в примерах для начинающих Как создатель и руководитель курсов по C# я вижу, что часто у людей, начинающих изучать этот язык, принципы Объектно-Ориентированного Программирования вызывают затруднения в понимании. А так как один из лучших способов что-то понять, это посмотреть применение на примерах, то...

Отходам от варки пива нашли применение в косметике Ученые из Наньянского технологического университета в Сингапуре разработали метод извлечения ценных белков из отходов пивоваренного производства, предлагая устойчивое решение проблемы утилизации отходов и потенциальный источник белка для продуктов питания и косметики. Пишет ...

Samsung представила стандарт памяти LPCAMM Компания Samsung Electronics разработала стандарт для модулей оперативной памяти Low Power Compression Attached Memory Module (LPCAMM). Новое решение должно найти применение в ноутбуках и настольных компьютерах, а затем, возможно, и на серверах. Модули LPCAMM обладают компак...

Кремниевый «снег» может быть причиной аномалий в недрах нашей планете В течение многих лет сейсмологи сталкивались с загадкой, которой теперь можно дать объяснение. На границе ядра и мантии Земли обнаружили кремниевый «снег», который состоит из твердых кристаллов из соединения железа и кремния.

Гильдия актеров заключила соглашение по внедрению искусственного интеллекта при озвучке в видеоиграх По имеющимся данным, новые технологии не будут угрожать рабочим местам в индустрии

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Технология YandexGPT больше всего востребована в ИТ, ретейле и банках Платформа Yandex Cloud подвела первые итоги закрытого тестирования технологии YandexGPT. В нем приняли участие 800 компаний, больше всего - ИТ, ретейл и банки. Компании проверили возможности YandexGPT в нескольких десятках сценариев применения больших языковых моделей. Спец...

Смартфоны Sony Xperia 1 VI и 5 VI получат технологию цифровой подписи в камере По сообщениям, Sony планирует оснастить свой смартфон Xperia следующего поколения первой в мире технологией цифровой подписи непосредственно в камере.

Tesla заплатит 1,5 млн долларов за неправильную утилизацию опасных отходов Tesla достигла соглашения с 25 округами Калифорнии, подавшими на нее в суд, об неправильной утилизации опасных отходов на своих предприятиях по всему штату всего в несколько дней после подачи иска. Суд приговорил автопроизводителя к уплате $ 1,5 миллиона в рамках мирового со...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Бюджетно снаряжаем Микротик RBM33G для «дальнего боя» Микротик OEM RouterBoard RBM33G далеко не новинка и хорошо известна среди специалистов и пользователей Микротик. Она была создана производителем для того, чтобы дать каждому возможность самому «сконструировать» свой роутер. В настоящее время эта плата вовсе не устарела, акти...

Внедрение персистентной памяти: добро пожаловать в революцию? Следующим шагом эволюции компьютеров (который уже буквально на пороге) может стать постоянная энергонезависимая память большой ёмкости. Твёрдотельные накопители на несколько терабайт обеспечивают одновременно и длительное хранение данных, и функции оперативной памяти. Это...

Новые функции Galaxy Ring помогут владельцам следить за питанием Samsung снова набирает обороты в мире технологий благодаря своей последней новинке - Galaxy Ring.

МИД Ирана: Мы никогда не поставляли России беспилотники и ракеты для использования в Украине Он утверждает, что ни единого доказательства применения иранских технологий российской армией нет.

Завтра в Ирландии начнётся выпуск продукции по технологии Intel 4 Это первый случай применения EUV-литографии в массовом производстве на территории Европы.

[Перевод] Анализ «Пяти неправд» «Пять почему» — это распространённый метод исследования первопричин события. Он основан на предположении, что задав вопрос «почему» пять раз, можно найти ответ, который и будет являться первопричиной. Программист Сергей Целовальников* уверен: такая практика может оказаться п...

Азотные установки: Ключ к Надежной и Эффективной Работе Промышленных Процессов В современной промышленности, где высокотехнологичное оборудование становится неотъемлемой частью производства, азотные установки приобретают ключевое значение. Они обеспечивают поставку и хранение азота, одного из важнейших газов, используемых в различных отраслях. Для бол...

Apple Vision Pro использует систему чиплетов для процессора R1 Можно смело заявить, что шлем дополненной реальности Apple Vision Pro — одно из самых сложных технологических устройств на планете. Так что специалистам iFixit пришлось потратить немало времени и сил, чтобы разобрать устройство и изучить материнскую плату, на которой помимо ...

Huawei вырывается в лидеры по развертыванию сетей 5.5G Китайский телекоммуникационный гигант лидирует по внедрению технологии 5.5G, обещающей десятикратное увеличение скорости и 100 миллиардов подключений Интернета вещей

Ovrdrive USB — флешка для фанатов безопасности, которая имеет подготовленную функцию физического самоуничтожения На краудфандинговой площадке Crowd Supply стартовала кампания по сбору средств на необычный флеш-накопитель Ovrdrive USB. Выделяется он необычной реализацией защиты данных с потенциальной возможностью интеграции технологии самоуничтожения.  Продукт является проект...

[Перевод] Зачем учёные создают прозрачное дерево Тридцать лет назад у ботаника из Германии появилась мечта: увидеть внутреннюю работу растений, не препарируя их. Отбеливая пигменты в клетках растений, Зигфрид Финк сумел создать прозрачную древесину и опубликовал свою методику в нишевом журнале по технологии древесины. Стат...

Минцифры объяснило, как будут работать компенсации пострадавшим от утечек личных данных при принятии законопроекта По плану, выплаты будут считаться смягчающим обстоятельством при назначении компании оборотного штрафа за утечку.

Cache API — кэшируем данные на стороне клиента Cache API - сравнительно старый API для управления хранилищем кэша, доступный уже во всех современных браузерах и являющийся частью ServiceWorker.Разберемся, как мы можем его использовать, сравним с другими методами организации кэша на стороне клиента, а также реализуем ново...

Ващем-то не плохо получаится :). Будущий поддув под маску, на работу.    Посмотрел дикие (безумно дикие) цены на СИЗы для работ - поддувы под маску сварщиков, слесарей, и вспомнил как мы в цехе, когда варили емкостя, проводили запазухой снизу шланг для пневмоинструмента, накручивали кран как надо, и варили в емкостях - тема та ещё. Но не везде...

iPad 11 выйдет во второй половине 2024 года Издание Nikkei Asia со ссылкой на осведомленные источники сообщает о том, что компания Apple может показать обновленный базовый iPad во второй половине следующего года. Не исключено, что анонс iPad 11 состоится в рамках сентябрьской презентации.Читать дальше... ProstoMAC.co...

Apple запатентовала 3D-дисплеи В последнее время индустрия технологий не перестаёт удивлять, и одним из таких удивительных нововведений стало объявление Apple о патентовании технологии 3D-дисплеев. Эта технология представляет собой новый подход к визуализации контента, который может изменить восприятие.

[Перевод] Математики наконец-то решили проблему «обратного разбрызгивателя» Фейнмана У типичного разбрызгивателя для газонов есть несколько сопел, расположенных под углом на вращающемся колесе; при подаче воды они выпускают струи, которые заставляют колесо вращаться. Но что произойдёт, если вместо этого вода будет всасываться в разбрызгиватель? В какую сторо...

Вступили в силу новые правила подачи заявлений на программы бакалавриата и специалитета Новый закон с 1-го мая изменяет правила подачи заявлений для абитуриентов, поступающих на программы бакалавриата и специалитета в рамках квоты целевого приема. Согласно новому правилу, студенты смогут подать заявление только в один вуз на одну образовательную программу. Ране...

Телевизор Hisense CanvasTV оценили в 1000 долларов Компания Hisense пополнила ассортимент телевизоров линейкой CanvasTV, в которую вошли модели с диагональю 55 и 65 дюймов. Новинки могут похвастаться рамкой из тикового дерева, которую можно заменить на рамку из белого или орехового дерева. Сменные рамки присоединяются посред...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Фрезерные станки с ЧПУ: возможности, области применения и рекомендуемое оборудование. Обзор от 3Dtool Всем привет. С вами компания 3Dtool!Технология фрезерования играет важнейшую роль в современной промышленности и зачастую просто незаменима в прецизионном производстве. Рассказываем о возможностях фрезерных станков с ЧПУ и делимся примерами оборудования для малого и среднего...

Следующее поколение литий-серных аккумуляторов будет заряжаться за 5 минут Ученые университета Аделаиды представили новую технологию зарядки литий-серных (Li-S) аккумуляторов, которая может сократить время зарядки с нескольких часов до менее чем пяти минут. Они опубликовали свои результаты в журнале Nature Nanotechnology.

В Жигулевской долине прошла конференция по 3D-печати 14 декабря в технопарке «Жигулевская долина» прошла специализированная конференция «Внедрение аддитивных технологий в производственные процессы современного предприятия».

В «Россетях» стартовал проект внедрения коммуникационной платформы VK WorkSpace В Группе «Россети» началось внедрение коммуникационной платформы VK WorkSpace. На первом этапе проекта к новым сервисам планируется подключить 100 тыс. сотрудников холдинга.

Внедрение новейшей памяти UFS 5.0 в смартфоны задержится Хотя Samsung уже работает над революционной памятью UFS 5.0, способной передавать данные со скоростью 10 Гбит/с, ждать её внедрения в смартфоны придется до 2027 года.

ИИ поможет найти новые материалы для солнечных батарей Ученые из Швейцарии создали метод на основе машинного обучения для поиска новых материалов для солнечных батарей. Разработка позволит значительно ускорить разработку солнечных панелей и снизить их стоимость.

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

NVIDIA анонсировала запуск своей платформы CUDA-Q в крупнейших суперкомпьютерных центрах Компания NVIDIA анонсировала использование своей новой платформы CUDA-Q в крупнейших суперкомпьютерных центрах по всему миру. Эта технология обещает значительно ускорить исследования в области квантовых вычислений, открывая новые возможности для науки и технологий.

Усилители Wi-Fi сигнала D-Link уязвимы перед удаленным выполнением команд Группа исследователей RedTeam обнаружила проблемы в популярном усилителе Wi-Fi сигнала D-Link DAP-X1860. Найденная специалистами уязвимость позволяет осуществлять DoS-атаки (отказ в обслуживании) и удаленное внедрение команд.

Предложен новый тип аккумуляторов — натрий-ионный высокой емкости. Что это за технология и какие у нее преимущества? Источник: theengineer Аккумуляторы за последние пару десятков лет фактически не изменились. Есть определенные новшества, но они, скорее, косметические. А технологии движутся вперед и требуют новых возможностей от батарей. Периодически публикуются новые работы в этом направ...

Банки выступили против оборотных штрафов за утечки данных Законопроект, предусматривающий штрафы в размере до 500 млн руб. за утечки персональных данных клиентов, был внесен в Госдуму в конце 2023 года.

Apple допустит сторонних разработчиков к своей технологии бесконтактной оплаты Стало известно, что 19 января европейский регулятор подтвердил предложение, поступившие от Apple в связи с антимонопольным расследованием Еврокомиссии в отношении корпорации. В рамках разбирательства Apple предложила открыть на территории ЕС свою технологию NFC для сторонних...

В России создали камеру для работы при температуре до 1800°С Холдинг «Росэлектроника» госкорпорации «Ростех» разработал новые термостойкие тепловизионные камеры, способные работать при экстремальных температурах до 1800°С. В состав системы видеонаблюдения входит жаростойкая камера нового поколения с те...

30 шагов для успешного внедрения CRM-системы Битрикс24 Как провести внедрение своими силами и не наделать ошибок.

Военный эксперт Прохватилов: применение лазерного оружия сейчас невозможно Невозможность применения Израилем лазерного оружия объяснили

Toshiba анонсировала жёсткие диски объёмом 32 Тбайт и собирается покорить 40-терабайтный барьер Создание такого устройство стало возможным благодаря применению технологий HAMR и MAMR.

МТС открывает магистратуру по искусственному интеллекту в Высшей школе экономики Цель программы – обучение специалистов в области ИИ и применение новых технологий в бизнесе.

Microsoft ищет пути использования ядерных микрореакторов для питания своих дата-центров Microsoft, все чаще сталкиваясь с трудностями с обеспечением электропитанием дата-центров, рассматривает возможность внедрения в них ядерных источников энергии. ИТ-гигант решил сделать ставку на малые реакторы SMR модульного типа. Это новое поколение реакторов деления, отлич...

Внедрение практик и принципов DAMA-DMBOK2 как предпосылки к использованию ИИ в бизнес-процессах организаций 28 сентября компания РСХБ‑Интех провела RSHB Data Integration Meetup — митап для специалистов по работе с данными, в рамках которого выступил Антон Агеев, корпоративный архитектор и техлид команды Подписки в проекте «Свой бизнес» РСХБ‑Интех. В своем докла...

Как разблокировать загрузчик на Xiaomi и для чего это нужно Как правило, смартфонами Xiaomi пользуются люди, которые ценят широкие возможности кастомизации оболочки и любят экспериментировать. Однако не все функции устройства доступны из коробки. Чтобы расширить возможности смартфона необходимо разблокировать загрузчик. Но зачем? Ко...

Применение лазерного 3D-сканера Shining 3D FreeScan Trak Pro Устройство, представляющее собой комплект из 3D-сканера и трекера, и называющееся FreeScan Trak Pro, предназначено, в основном, для промышленного применения в инспекции производства — проверки размеров и формы создаваемых деталей на предмет отклонения их от проектных форм и ...

Бизнес-аналитик — мастер переговоров или как не сойти с ума, работая с требованиями Друзья аналитики и ценители данных!Меня зовут Виктория и я считаю, что аналитика - это не просто работа, а образ жизни. 10 лет погружения в мир данных научили меня выжимать инсайты из сухих цифр и видеть скрытые закономерности.Сейчас я также руковожу отделом аналитики в IT-к...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

«Сколково» одобрил в 2023 году финансирование ИИ-проектов более чем на 1,6 млрд рублей Программа реализуется в рамках федерального проекта «Искусственный интеллект» нацпроекта «Цифровая экономика РФ» и нацелена на содействие первому пилотному внедрению отечественных решений в сфере ИИ в приоритетных отраслях экономики.

Эксперты рассказал о применении МиГ-35 в спецоперации на Украине Россия впервые подтвердила боевое применение новых истребителей МиГ-35 в спецоперации на Украине - MWM

Tesla отказывается от планов по внедрению технологии "гигакастинга" на своих заводах Tesla, крупный производитель электромобилей, отказался от амбициозных планов по внедрению инновационной технологии "гигакастинга" на своих заводах. Это решение связано с необходимостью сокращать расходы на фоне падения спроса и усиления конкуренции на рынке.

В Тольятти пройдет конференция «Внедрение аддитивных технологий в производственные процессы современного предприятия» Конференция состоится 14 декабря в технопарке «Жигулевская долина» и соберет экспертов отрасли, разработчиков и интеграторов, инжиниринговые и производственные компании, использующие 3D-печать и готовые поделиться опытом.

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Sitronics Group оборудует дорожную сеть Бишкека умными системами и оборудованием Проект реализуется в рамках плана мэрии по борьбе с пробками и масштабному внедрению автоматизированной системы управления дорожным движением в столице Кыргызстана.

В России успешно испытали первое водородное судно Sitronics group провела испытания первого в России прототипа электросудна на водороде в акватории Невы, о чем говорится в заявлении пресс-службы компании. «Компания Sitronics group провела успешные испытания судна с электрохимическим генератором (ЭХГ), вырабатываю...

Найден сайт, который может убить. Однако нет правил, которые позволили бы его удалить Эксперт Кевин Мерфи случайно нашёл доменное имя, из которого можно сделать вывод, что на нём размещён сайт, который может убить человека. Обычно такие сайты относятся к категории "юмор", но на этот раз всё было намного серьёзнее.

В Самарском университете завершились испытания технологии производства турбин с применением 3D-печати В Институте двигателей и энергетических установок Самарского национального исследовательского университета имени академика С. П. Королева завершились приемочные испытания комплексной типовой технологии аддитивного производства деталей и узлов горячей части индустриальных газ...

Американцы в TikTok жалуются на службу в армии и демотивируют записываться в ее ряды DailyMail: молодые американские военнослужащие ругают свою армию. Они выкладывают на платформе TikTok видео, в которых жалуются на отсутствие конфиденциальности, низкую зарплату, плохое питание и неуважение со стороны руководства. Одно из таких видео, снятое солдатом Энтони ...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

В Госдуме предложили ужесточить наказания за утечки данных — ввести оборотные штрафы и тюремные сроки «Действующие меры ответственности мало кого стимулируют», — пояснил необходимость поправок сенатор Андрей Турчак.

Встроенное водяное охлаждение, блок питания и продуманная прокладка кабелей. Представлен первый корпус Cooler Master ATX MAX Cooler Master, известный производитель компонентов для ПК, выпустил свой первый корпус ATX формата MAX — TD500 MAX. Он предлагает продуманный дизайн, который не только значительно упрощает сборку высокопроизводительного игрового ПК , но и обеспечивает высококачест...

TP-Link представляет два коммутатора с питанием от PoE Оба коммутатора также могут использоваться для питания подключенных камер видеонаблюдения, точек доступа Wi-Fi и других устройств, питающихся по PoE в стандарте 802.3af/at.

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Google запустила конкурс XPRIZE на $5 млн, чтобы найти реальное применение квантовым компьютерам Квантовые компьютеры работают быстро, но есть ли от них толк?

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Аккумуляторы Prius первого поколения будут использоваться в автомобилях Toyota следующего поколения Toyota Prius первого поколения дебютировал почти 30 лет назад. В результате срок службы многих из этих автомобилей либо уже достиг конца, либо приближается к нему, что делает их подходящими кандидатами на утилизацию. Аккумуляторы, которые питали эти ранние гибриды, найд...

Apple подала в суд 916 страниц документа, где пытается доказать свою невиновность в деле против Masima В конце прошлого года Apple неожиданно столкнулась с запретом на продажи умных часов Watch Series 9 и Watch Ultra 2, причём в США. Компания подала апелляцию, и теперь стало известно, что это документ почти на 1000 страниц.  916 страниц, поданных Apple, включают 68...

Politico: Китай отказал Зеленскому во встрече с премьером страны Ли Цяном в рамках форума в Давосе Ни одна из сторон не подтвердила отказ.

В США может случиться рост биткоина, если регулятор признает ETF В США 13 октября истекает срок подачи аппеляции Комиссией по ценным бумагам и биржам (SEC) — госрегулятором, который в частности занимается криптовалютами. И если SEC не подаст апелляцию в течение этих суток, ведомству придётся признать спотовые биткоин-ETF.

Голос как высокая технология. Обзор новых ТВ Станций, созданных Яндексом. Диджитал-флагман Яндекс представил пользователям свои новые устройства — ТВ Станции. Это не «еще одна плоская панель», а гибрид телевизора и музыкальной колонки с Алисой. Теперь телевизор может помочь найти пульт, включить музыку и запустить робот-пылесос. Базовые техническ...

В России улучшили технологию производства белка для спортивного питания Российские учёные придумали, как улучшить технологию производства белка для спортивного питания

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Отчёт: Apple уже сейчас может создать робота-гуманоида из Detroit, но сделает это через 10 лет Компания не спешит с внедрением инноваций.

Началась предзагрузка Solo Leveling: Arise в 2 странах Разработчики Solo Leveling: Arise из Netmarble открыли предзагрузку клиента игры на Android через 2 страны: Канада и Таиланд. Также скачать клиент могут iOS-геймеры через Таиланд. Предзагрузка лаунчера на PC производится через официальный сайт. Ожидается, что ближе к 9 мая, ...

Следующая версия Windows на подходе. Qualcomm заявила, что новая ОС выйдет в середине года Глава Qualcomm Криштиану Амон (Cristiano R. Amon), похоже, подтвердил, что в этом году нас ждёт новая версия Windows. Правда, пока нельзя однозначно утверждать, что речь идёт о Windows 12. создано DALL-E Выступая на мероприятии, посвящённом квартальному отчёту, Амон за...

Apple представила собственную ИИ для редактирования фото На текущий момент абсолютно очевидно, что компания Apple отстаёт от гигантов вроде ChatGPT от OpenAI и Gemini от Google — работа над искусственным интеллектом требует безумных вложений сил, денег и времени, и у Apple с этим пока что серьёзные проблемы. Тем не менее, компания...

Compile Time Dependency Injection в С++: как обуздать зависимости не прибегая к позднему связыванию Начав свою карьеру в качестве C# разработчика, я постоянно сталкивался с использованием техники внедрения зависимостей (Dependency Injection). За то время, что я работаю с C++, я не заметил здесь такого же широкого распространения этой идеи.В то же время мне показалась весьм...

Разрядные трубки с повышенной яркостью. Изготовление своими руками Простейшие, исторически первые, газоразрядные лампы — трубки Гейслера, родившиеся как модная электротехническая забава, и по сей день имеют утилитарно-прикладное применение — как источник света для спектроскопии. Прибор при этом наполняют нужным газом, газовой смесью или па...

12 GitHub записок Наверное, многие помнят детскую (или не очень) игру: "12 записок". В ней нужно последовательно искать записки, в которых есть подсказки, указывающие на место, где лежит следующая записка из цепочки в 12 элементов. Игра длится до тех пор, пока не будет найдена последняя запис...

В результате ошибки программного обеспечения на ракете Alpha компании Firefly спутник Lockheed Martin оказался на низкой орбите Программный сбой наведения, навигации и управления (GNC) в верхней ступени ракеты Alpha компании Firefly Aerospace привел к неудачному размещению полезной нагрузки на низкой орбите. Запуск ракеты Firefly Aerospace Alpha со спутником Lockheed Martin 22 декабря. Фото: Fi...

Часть 1. GPU-Based Fuzzing. Что за зверь такой? Всем привет! При изучении темы фаззинг‑тестирования всегда возникает вопрос, насколько сильно можно увеличить количество выполнений приложения в секунду. Иначе говоря — как ускорить фаззинг?В последнее время одно из популярных направлений —...

Украинский военный раскритиковал турецкие беспилотники Bayraktar TB2 Офицер Главного управления разведки (ГУР) Министерства обороны Украины Владимир Валюх заявил, что на сегодняшний день достаточно трудно найти применение турецким беспилотникам Bayraktar TB2.

КамАЗ упростил производство деталей кабины K5: российские лонжероны не уступают немецким от Daimler Truck AG КамАЗ в рамках стратегии импортозамещения решил оптимизировать и улучшить процесс производства лонжеронов кабины флагманского семейства K5. Ранее до 2023 года эти компоненты поставлялись готовыми от Daimler Truck AG и производились немцами методом горячей листовой штамп...

ФРС США: в скором времени может начаться снижение ключевых процентных ставок Ускорить этот процесс может дальнейшее увеличение числа безработных

В Корее разработали экзокостюм, который может помочь людям бегать быстрее Экзокостюм весит всего 2,5 килограмма и может ускорить бег абсолютно любого человека.

Новая приставка Apple TV может получить камеру для FaceTime В последнее время в индустрии технологий наблюдается возрастающий интерес к интеграции устройств развлечений и коммуникаций. В этом контексте появились слухи о том, что компания Apple может внести значительные инновации в следующее поколение своей популярной приставки Apple ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)