Социальные сети Рунета
Вторник, 21 мая 2024

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

В первом квартале 2024 года контрактные цены на DRAM вырастут на 13-18% Производители продолжают сокращать поставки продукции, чтобы балансировать спрос и предложение

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

GlobalFoundries ухудшила свои прогнозы: дешевые микросхемы теряют популярность GlobalFoundries, один из крупнейших контрактных производителей микросхем, понизил свой прогноз на первый квартал 2024 года, ссылаясь на две ключевые проблемы.

Цены на флеш-память вырастут на 10-15%, а на SSD прибавка достигнет 25%, предупреждает TrendForce Контрактные цены на флеш-память NAND вырастут на 13–18% во втором квартале, что приведет к цем на твердотельные накопители и флеш-память, о чем говорится в новом прогнозе TrendForce. В TrendForce заявили, что хотя объем закупок флеш-памяти NAND во втором квартале ...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

В России запустят контрактное производство квантовых процессоров В пресс-службе Министерства науки и высшего образования Российской Федерации сообщили, что МГТУ им. Н. Э. Баумана и ВНИИА им. Н. Л. Духова готовятся к запуску первого в России контрактного производства сверхпроводниковых квантовых процессоров. Это событие станет важным шагом...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

В этом году выручка тайваньских контрактных производителей чипов сократится на 13% А в следующем вырастет на 15%.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Основатель TSMC считает, что Intel смогла бы стать конкурентоспособным контрактным производителем чипов Если сильно повезёт, конечно.

Intel всегда будет пользоваться услугами контрактных производителей чипов Прямым конкурентом TSMC она себя при этом не считает.

Японский контрактный производитель чипов Rapidus к весне откроет офис продаж в США Содействие со стороны IBM в какой-то мере это предопределило.

NVIDIA не прочь обзавестись третьим контрактным производителем чипов Даже если это снова будет TSMC, но со своими американскими предприятиями.

По итогам года выручка тайваньских контрактных производителей чипов вырастет на 20% В прошлом году она сократилась на 11,6%, хоть и оказалась выше ожиданий.

SMIC обошла UMC и GlobalFoundries по величине выручки Если не считать «придворные» производства Intel и Samsung, именно SMIC является вторым после TSMC контрактным производителем чипов.

Информация о запрете поставок GeForce RTX 4090 в Китай не подтвердилась: цены должны снизиться После обновленного запрета на экспорт полупроводников из США слухи о запрете поставок Nvidia RTX 4090 в Китай привели к резкому росту местных цен. Однако, как пишет DigiTimes, слухи о запрете RTX 4090 не совсем верны. Тем не менее, китайские контрактные производители по...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Китайские контрактные производители чипов готовы на уступки в ценовой политике Торг уместен, если речь идёт о зрелых техпроцессах.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Intel удалось занять девятое место в рейтинге десяти крупнейших контрактных производителей чипов Впервые в истории эта компания попала в данный рейтинг.

Память DRAM подорожает сильнее, чем предполагалось? Из-за землетрясения на Тайване ожидается более резкий скачок цен Производители памяти временно перестали публиковать данные о контрактных ценах на микросхемы DRAM после сильного землетрясения на Тайване. Предположительно, рынок ждёт очередное повышение цен.  создано DALL-E Землетрясение нарушило работу завода по производству пл...

Даже в условиях снижения выручки SMIC нарастила капитальные затраты Компания превращается в контрактного производителя чипов, обслуживающего преимущественно внутренний рынок Китая.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

В России внедрили новые линии по сборке микросхем и чипов Российский производитель микроэлектроники «Микрон» внедрил две новые линии по сборке микросхем в пластиковые корпуса и дополнительную линию по выпуску чипов для банковских карт, электронных документов и sim-карт. Общие инвестиции в развитие производства составили 1,35 млрд р...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

SMIC, как сообщается, наращивает производственную линию по выпуску 5-нанометровых микросхем в Шанхае Согласно свежему сообщению Reuters, крупнейший контрактный производитель чипов в Китае связан с разработкой SoC следующего поколения Huawei

После землетрясения на Тайване ожидается ещё больший рост цен на память Сообщается, что производители памяти перестали озвучивать контрактные цены, вероятно, готовясь к их корректировке.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Индия хочет по примеру EC обязать всех перейти на USB-C, но Apple это очень не нравится. Компания просит сделать исключение для старых iPhone Apple уже перевела свои смартфоны на порт USB-C из-за свежих законов Евросоюза, но теперь то же самое хочет внедрить и Индия, и для Apple это проблема.  фото: Apple Как сообщает Reuters, Индия тоже хочет обязать производителей перейти на единый стандарт USB-C и се...

Смартфоны застряли в рутине: экосистема приложений — ключ к решению проблем Будущее смартфонов кажется застойным, производители изо всех сил пытаются внедрить инновации за пределы вездесущих прямоугольных панелей, на которых доминируют сенсорные экраны и иконки приложений. Пока ходят слухи о предстоящих релизах на выставке Mobile World Congress (MWC...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Азиатские производители электроники нацелились на российский госсектор образования: Hisense планирует локализовать в РФ выпуск интерактивных панелей Hisense, известная своими телевизорами, планирует локализовать производство интерактивных панелей для образовательных учреждений в России. Этот шаг необходим для участия в государственных тендерах в рамках национального проекта «Образование», о чем пишет &la...

Mail.ru внедрила искусственный интеллект для автоматизации техподдержки Российский сервис электронной посты, «Почта Mail.ru», успешно внедрил машинное обучение в техническую поддержку. Новая модель на базе нейронной сети автоматизировала первую линию поддержки, позволяя более эффективно распределять запросы пользователей и сокращать время обрабо...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

В «Бауманке» запускают первое в России контрактное производство квантовых процессоров В будущем производство сверхпроводниковых квантовых процессоров в МГТУ им. Н.Э. Баумана планируют масштабировать.

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Хорошо, а могло быть ещё лучше: TSMC продолжает развиваться, но в США у компании проблемы с заводом. Что случилось? TSMC — крупнейший контрактный производитель чипов в мире. От этой единственной корпорации может зависеть благополучие глобальной отрасли производства и разработки электроники. Но и у такого гиганта бывают проблемы — например, сейчас стало известно о задержке строительства за...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

МТС и IT-компания PravoTech подписали соглашение о внедрении системы управления контрактными обязательствами МТС и PravoTech расширяют многолетнее партнерство. В рамках подписанного соглашения от 01 декабря 2023 года компании договорились о создании и внедрении в работу центра управления договорами МТС CCM-системы для контроля и управления договорными обязательствами.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Intel опубликовала новое заявление по поводу нестабильности процессоров Core 13- и 14-го поколений Производители должны внедрить в платы один из стандартных профилей Intel Default Settings.

Сбер внедрит свою нейросеть в автомобили россиян Сбер планирует внедрить нейросеть GigaChat в российские автомобили на этапе производства

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel открывает свои производственные мощности для аренды другим производителям чипов Intel объявил о новой стратегии в сфере контрактного производства, предлагая аренду своего оборудования и производственных мощностей другим производителям чипов.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

В Германии появится первое социальное жилье, построенное методом 3D-печати Волна растущей популярности возведенных методом 3D-печати домов добралась до Германии. Производитель 3D-принтеров COBOB сообщил о проекте печати первого в Германии дома в рамках программы строительства доступного социального жилья. Его построит компания Peri 3D Construction ...

Apple внедрит новейший искусственный интеллект в iOS 18 в 2024 году Популярный аналитик Джефф Пу рассказал, что Apple планирует внедрить технологию генеративного ИИ в свои устройства iPhone и iPad к концу 2024 года.

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Впервые истребитель пятого поколения F-22 Raptors осуществил дозаправку на коммерческом танкере Частный самолет-заправщик KDC-10 выполнил первую контрактную дозаправку в воздухе истребителей ВВС США.

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Whoosh внедрил технологию, предотвращающую езду на электросамокатах вдвоем Whoosh внедрила технологию «Антитандем», предотвращающую езду на электросамокатах вдвоем, на всех своих самокатах в России, Беларуси и Казахстане. Эта новшество, которая ранее проходила тестирование в Санкт-Петербурге, теперь доступна для всех городов, где работает сервис.

Это Polestar Phone — первый смартфон производителя премиальных автомобилей Polestar, производитель электромобилей, объявил о скором выпуске своего дебютного смартфона Polestar Phone. Телефон, позиционируемый как флагман с искусственным интеллектом, будет официально представлен на мероприятии 23 апреля. Компания заявляет, что дизайн телефона я...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Производители электроники начинают переносить мощности из Калининграда Компания «Телебалт», специализирующаяся на контрактной сборке телевизоров, решила перенести производство из Калининградской области в Ленинградскую область, о чем пишет «Коммерсантъ». Владелец компании, Юлия Чинаева, подтвердила, что сейчас произ...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

На заводе цифровых телесистем под Калининградом внедрят искусственный интеллект Завод цифровых телесистем под Калининградом к концу 2024 года внедрит искусственный интеллект в свою работу, что может значительно повысить производительность и качество выпускаемых продуктов.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

В России разработали и успешно внедрили автокраны для работы c радиоактивными грузами В России разработаны и внедрены уникальные автокраны для работы с радиоактивными материалами. Техника произведена на Галичском автокрановом заводе, имеет грузоподъемность 50 тонн и длину стрелы 25 метров.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

«КОРУС Консалтинг» внедрил единую цифровую среду для подразделений сети «Галамарт» в России и Китае Система, ставшая ядром нового ИТ-ландшафта компании, охватила товародвижение и логистические операции и помогла объединить процессы основных подразделений в России и Китае в рамках единой платформы.

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Первые сюжетные кадры экшена Marvel 1943: Rise of Hydra от автора Uncharted Компания Skydance New Media вместе с Marvel в рамках мероприятия State of Unreal 2024 официально представила сюжетный приключенческий экшен Marvel 1943: Rise of Hydra. Платформы пока что не заявлены, как и точная дата релиза. Но зато авторы уже поделились сюжетным трейлером ...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

В Canon разработали 5-нм литограф, который стоит дешевле, чем система от ASML. Но есть нюанс В современной отрасли разработки и производства электроники правит балом тот, у кого есть литографы. Можно иметь собственную процессорную архитектуру и продвинутые разработки, как у Huawei, но если нет контрактного производителя микросхем, ничего хорошего из этого не выйдет...

Запуск первого в России производства квантовых процессоров Московский государственный технический университет им. Н. Э. Баумана в партнерстве с Всероссийским научно-исследовательским институтом автоматики им. Н. Л. Духова анонсировали запуск первого в России контрактного производства сверхпроводниковых квантовых процессоров, что ста...

Тоньше или нет? Известный инсайдер сравнил рамку экрана Galaxy S24 Ultra с рамкой Galaxy S23 Ultra и Galaxy S22 Ultra Инсайдер Ice Universe опубликовал изображения, на которых сравнил рамку экрана будущего флагмана Samsung – Galaxy S24 Ultra – с Galaxy S23 Ultra и Galaxy S22 Ultra. Получилось довольно наглядно показать прогресс Samsung в этой области. Первое изображение &n...

«МегаФон» внедрил на своей сети отечественное транспортное оборудование В пресс-службе «МегаФон» сообщили, что мобильный оператор успешно завершил тестирование и внедрил на своей сети транспортное оборудование от российского производителя. Новые маршрутизаторы, способные обеспечить высокую пропускную способность до 100 Гбит⁄с, были установлены н...

TikTok изменил правила выплат блогерам TikTok внедрила новый подход к оплате блогеров, учитывая, насколько их видео соответствует запросам пользователей. Этот новый метрический показатель называется «ценность поиска» и станет одним из основных элементов определения заработка. Это объявлено в рамках новой программ...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

У крупнейшего поставщика литографов, компании ASML, новые проблемы. TSMC отказывается покупать новинки Не так давно мы писали о том, что ASML из-за мирового роста спроса на продукцию планировала поступательное расширение. Этим планам мешали действия чиновников, так что ASML решила перенести штаб-квартиру из Нидерландов в другую страну. Но, кажется, этот вопрос в итоге удалось...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

РКЦ "Прогресс" внедрил инновационную технологию сварки для ракеты "Союз-5" В пресс-службе Ракетно-космического центра «Прогресс», входящего в структуру Роскосмоса, сообщили, что была внедрена инновационная технология сварки трением с перемешиванием при создании перспективной ракеты-носителя «Союз-5».

Как будет выглядеть Polestar Phone: шведский производитель электромобилей показал свой первый смартфон Шведский автомобильный бренд Polestar, за которым стоят Volvo и Geely, на недавнем мероприятии продемонстрировал небольшой видеоролик, который даёт первое представление о том, как будет выглядеть грядущий смартфон Polestar.  О планах по выпуску смартфона под ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Apple AirPods 4-го поколения будут доступны в двух вариантах Первое нововведение, которое планирует внедрить Apple, - это разделение нового поколения наушников на две модели

Появились первые два автопроизводителя, которые внедрят CarPlay от Apple Apple официально выполнила свое давнее обещание. Анонсированы первые автомобили с поддержкой CarPlay следующего поколения.

Контрактные цены на память типа NAND вырастут в этом квартале В среднем на 8-13%.

ГК «Солар»: более 90% российских компаний внедрили или готовы внедрить решения для выявления интернет-угроз Подавляющее большинство (92%) российских компаний открыты к работе с решениями для выявления интернет-угроз. Из них 31% уже работают с этим классом, и еще 61% планируют внедрение в течение ближайших двух лет. Таковы результаты исследования ГК «Солар», посвященного уровню зна...

«Мы будем усердно работать над этим», — рамка Xiaomi 15 будет ещё меньше, чем у Xiaomi 14 Основатель Xiaomi Лэй Цзюнь опубликовал на своей страничке в Weibo подтвердил, что компания постарается сделать рамку Xiaomi 15 ещё меньше, чем у Xiaomi 14. Напомним, Xiaomi 14 получил рамку шириной 1,61 мм с трех сторон, а подбородок имеет ширину 1,71 мм. Для сравнения...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Это Meizu 21 с белой рамкой. Первое официально фото флагмана Компания Meizu поделилась первым изображением нового флагманского смартфона Meizu 21, который оснащен белой рамкой вокруг экрана. Разработчики заявили, что с первого дня проектирования они решили создать чрезвычайно узкую рамку одинаковой ширины по периметру, и у них эт...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Мобильные водительские права появятся в Samsung Wallet Компания Samsung собирается внедрить водительские права в приложение Samsung Wallet первое время в США, а потом в остальных странах

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

ГК «Солар»: более 90% российских компаний внедрили или готовы внедрить решения для выявления интернет-угроз Подавляющее большинство (92%) российских компаний открыты к работе с решениями для выявления интернет-угроз. Из них 31% уже работают с этим классом, и еще 61% планируют внедрение в течение ближайших двух лет ...

Intel рассказала, почему будет иметь преимущество над конкурентами в США В сфере контрактного производства чипов.

Intel закончила год с рекордной выручкой на контрактном направлении Компания уже располагает заказами на $10 млрд.

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

ВМФ США планирует использовать БПЛА на авианосцах как "расходные материалы" с минимальными затратами Стремление к эффективности: ВМФ США планируют использование беспилотных летательных аппаратов как 'расходные материалы' с минимальными затратами. В рамках программы Collaborative Combat Aircraft ВМС намерены внедрить беспилотную авиацию на авианосцы с уникальной стратегией '...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Intel назначила нового руководителя по взаимодействию с контрактными клиентами Прежний уже достиг пенсионного возраста.

Только отказались от бренда Nokia и тут же попали в скандал. Смартфоны HMD Pulse вживую выглядят не так, как на официальных рендерах компании На днях компания HMD Global представила смартфоны линейки Pulse. Они уже успели поступить в продажу, и вокруг них сразу же образовался скандал. Оказалось, что официальные рендеры не соответствуют реальному дизайну.  оригинальный рендер (слева) и исправленный (спра...

Контрактное производство чипов для Intel пока остаётся глубоко убыточным И в текущем году убытки достигнут максимальных значений.

TrendForce сообщает, что в четвертом квартале 2023 падение цен на DRAM прекратилось. Последний анализ TrendForce сообщает о том, что в четвертом квартале 2023 года падение контрактных цен на DRAM и флэш-память NAND прекратилось.

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Samsung представила 4K и 8K-телевизоры Neo QLED TV 2024 Вот мы и дождались выставку CES 2024, в рамках которой многие производители уже начали представлять свои новые продукты.

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Тесты «Тринити»: совместимость со средствами доверенной загрузки Как часто процесс внедрения какого-нибудь ПАК в сервер затягивается из-за того, что «выключили — внедрили — включили — всё лежит». Причём, лежит не 5 минут, а, например, 5 дней, пока вокруг бегают инженеры, пытаясь понять, почему не заводится. Порой попытки внедрить так, что...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Tesla действительно будет отливать днище автомобилей единой деталью Tesla действительно работает над модернизацией своей технологии «гигакастинг» (gigacasting), позволяющей отливать под давлением все детали днища автомобиля как единое целое, о чем сообщило в среду, 27 сентября, издание Shanghai Securities News со ссылко...

В контрактном сегменте выручка Intel росла преимущественно за счёт услуг по упаковке чипов И продаже оборудования для изготовления фотомасок.

К 2027 году Тайвань будет контролировать лишь 40% мощностей по контрактному производству чипов Китай будет его теснить, но только в сегменте зрелой литографии.

Intel рассчитывает вывести контрактный бизнес на безубыточность в течение двух лет А пока она в целом несёт убытки на фоне растущей выручки.

Intel к 2027 году сможет занять до 3% рынка услуг по контрактному производству чипов Даже если увеличит выручку в пять раз.

Контрактный бизнес Intel нужен, чтобы окупить затраты на EUV-литографию А субсидии властей помогут развивать производство чипов в США и Европе.

Intel располагает примерно 20 крупными клиентами на контрактном направлении бизнеса Они готовы выплатить в общей сложности $15 млрд.

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Серия Samsung Galaxy S24 получит титановую рамку корпуса Сетевые инсайдеры сообщают, что все смартфоны линейки Samsung Galaxy S24, включая базовую модель, получат корпуса с титановой рамкой. В этом компоненте корейский производитель превзойдет компанию Apple, которая наделила титановой рамкой только iPhone 15 Pro и iPhone 15 Pro M...

Фаундри-бизнес приносит Intel крупные убытки Контрактное производство чипов по прогнозам Пэта Гелсингера выйдет на безубыточность к 2027 году.

США заморозили долгосрочные контрактные проекты с Украиной Причина — отсутствие согласованной финансовой помощи Киеву со стороны Конгресса.

Первые смартфон и кнопочный телефон HMD Global после отказа от бренда Nokia — появились подробности Появилась информация о первой партии мобильных устройств собственного бренда HMD Global для массового рынка — смартфоне TA-1585 и функциональном телефоне N159V. По словам зарубежного информатора Эвана Бласса, HMD Global будет сотрудничать с оператором Verizon для ...

Компания "Смартком" нацелилась на производство базовых станций в России Компания "Смартком" планирует организовать контрактное производство базовых станций для телекоммуникаций в Зеленограде на мощностях "АЛТ мастер"

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Россия начинает разработку собственных BIOS в рамках импортозамещения Стартап «Биос-защита» создал отечественную BIOS-систему и уже тестирует ее совместно с производителем компьютеров.

TECNO POVA 6 Pro 5G засветился в Google Play Supported В базе Google Play Supported появилось упоминание смартфона TECNO POVA 6 Pro 5G, официальная презентация которого состоится в рамках выставки MWC 2024 в конце февраля. Устройство фигурирует под модельными обозначением TECNO-LI9. Ранее стало известно, что линейка TECNO POVA 6...

Первый смартфон Xiaomi с двумя телеобъективами — Xiaomi 14 Ultra — получит корпус из стекла и кожи Подробности о дизайне и материалах корпуса флагманского смартфона Xiaomi 14 Ultra раскрыл проверенный информатор под ником Digital Chat Station. По его данным, Xiaomi 14 Ultra получит экран разрешением 2K с небольшим изгибом со всех четырех сторон. Средняя рамка будет м...

Завод «Микрон» запустил линию по сборке микросхем в пластиковых корпусах Завод «Микрон», российский производитель микроэлектроники, запустил две производственных линии: новую линию по сборке микросхем в пластиковых корпусах и дополнительную линию сборки чип-модулей. Микросхемы в пластиковом корпусе – это новая для завода пр...

В Москве объединили смартфон и умные часы одним номером — в рамках единого счёта Российский оператор «МегаФон» объявил о запуске удобного сервиса в Москве. В сентябре оператор первым в России внедрил технологию, которая позволит автономно использовать умные часы без привязки к смартфону. Тогда сервис запустили в Туле и ещё четырёх города...

Стартап Neuralink Илона Маска успешно вживил мозговой чип-имплантат первому добровольцу Компания Илона Маска, Neuralink, добилась впечатляющего прорыва, успешно внедрив мозговой чип-имплантат первому добровольцу. Сам миллиардер объявил об этом на пресс-конференции, отметив, что после процедуры отмечена "многообещающая" нейронная активность, а пациент успешно пр...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

МГТУ им. Н. Э. Баумана внедрит производство квантовых процессоров для нового поколения ПК На новом кампусе МГТУ им. Н. Э. Баумана в Москве скоро стартует производство сверхпроводниковых квантовых процессоров для суперкомпьютеров, что станет первым таким предприятием в России.

4К, 120 Гц, 75 дюймов, крошечная рамка и подарок — за $545. Xiaomi раздаёт покупателям Xiaomi TV A Pro браслеты Xiaomi Mi Band 8 Pro в Китае Компания Xiaomi, которая вчера представила линейку недорогих телевизоров Xiaomi TV A Pro, решила сделать их ещё привлекательнее. На официальной страничке в социальной сети Weibo говорится, что первые покупатели Xiaomi TV A Pro получат в подарок новый фитнес-браслет Xiao...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

В «Технополис GS» произвели полмиллиона материнских плат В 2023 году одна из ведущих российских площадок массового контрактного производства электроники – АО «НПО «ЦТС» – изготовила 500 тыс. материнских плат.

Breaking Defense: ВВС США и Boeing не могут договориться о цене на новые самолёты ДРЛО E-7 Wedgetail Американские военные и производитель авиационной техники всё никак не могут прийти к ценовому компромиссу в рамках оборонного заказа

JEDEC смягчила требования к толщине корпуса памяти HBM4 по запросу крупных производителей JEDEC смягчила требования к толщине корпуса памяти HBM4 по просьбе основных производителей, позволяя использовать 16-слойные стеки в рамках существующей технологии.

Продажи производителя iPhone Hon Hai выросли на 19% вопреки пессимистичным прогнозам Компания Hon Hai, крупнейший производитель iPhone, за апрель показала рекордный уровень продаж до 510,9 млрд долларов на фоне спроса на iPhone и оборудование для ИИ. Такой сильный рост, по мнению экспертов, может означать восстановление спроса на iPhone после спада в первом ...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Контрактная выручка Intel от сторонних заказов в прошлом квартале сократилась в четыре с лишним раза И появление новых клиентов пока не способствует пропорциональному увеличению выручки.

Bosch окончательно уходит из России. Заводы по выпуску систем ABS и ESP уже проданы, на очереди заводы по производству бытовой техники Как сообщает «Коммерсантъ», компания Bosch веред переговоры по продаже своих российских заводов по производству бытовой техники. Раньше в переговорах участвовала китайская Hisense, но как сообщил источник «Коммерсанта», турецкий инвестиционный фо...

Дом железнодорожников поздравил Курск с 23 февраля выездными концертами Центральный дом культуры железнодорожников (ЦДКЖ) поздравил Курск с Днем защитника Отечества. Два выездных концерта прошли в городе 20 и 21 февраля, сообщает газета «Культура». Первое мероприятие состоялось в Курском гарнизонном Доме офицеров Минобороны России для военнослуж...

Прогнозируется, что контрактные цены на DRAM вырастут на 13-18% в 1Q24 Прогнозируется, что контрактные цены на DRAM вырастут на 13-18% в 1Q24, поскольку рост цен продолжается

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Samsung переориентирует фаундри-бизнес к 2028 году Компанию часто критикуют за чрезмерную зависимость от мобильного сегмента, и корейский вендор решил пересмотреть приоритеты в сфере контрактного производства чипов.

За новым SSD лучше бежать уже сейчас? Samsung поднимет цены на память NAND ещё дважды по 20% Компания Samsung собирается на 20% поднять цены на микросхемы памяти NAND, причём сделает это неоднократно.  Samsung уже поднимала цены на 10-20% в текущем квартале, но собирается поднять их ещё на 20% дважды: в первом и втором кварталах 2024 года. Кроме того, ран...

Xerox сокращает тысячи работников и подтверждает тренд массовых увольнений в технологической отрасли Мировой производитель фотокопировальных машин Xerox объявил, что в рамках реструктуризации сократит штат сотрудников на 15%

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Технология AMD FSR 3 уже доступна в игре Starship Troopers Компания AMD внедрила свою технологию FSR 3 в игру Starship Troopers: Extermination, которая представляет собой кооперативный шутер от первого лица.

Утверждён стандарт видеопамяти GDDR7 Производители видеопамяти, к примеру Samsung, уже анонсировали микросхемы стандарта GDDR7, но только в рамках личной инициативы, ведь как такового «стандарта» тогда ещё не было.

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Капитализация контрактного бизнеса Intel способна достичь $100 млрд Но разработка чипов как бизнес всё равно будет оцениваться дороже в полтора раза.

Поставщики памяти DRAM стремятся поднять контрактные цены Поставщики стремятся поднять контрактные цены, но в условиях неопределенного спроса рост цен на DRAM во 2Q24 ожидается на уровне 3-8%

Samsung внедрит Galaxy AI даже в очень старые устройства Изначально компания Samsung представила функции Galaxy AI на базе искусственного интеллекта в смартфонах серии Galaxy S24, а затем Samsung распространила эти же функции на флагманы 2023 года. Благодаря этому решению функции на базе искусственного интеллекта получили владельц...

Украина готова продлить транзит российского газа в Европу при инициативе из Евросоюза Главным условием является то, чтобы украинские власти официально не фигурировали контрактных отношениях с Россией.

Характеристики Intel Core i9-14900KS окончательно слили в сеть Сегодня в сети появилась детальная информация о процессоре Intel Core i9-14900KS — ритейлер представил страницу продукта и указал все характеристики нового чипа, который в ближайшее время должен поступить в продажу. Чип Intel Core i9-14900KS будет первым в мире процессором, ...

Индия старается перетянуть на себя часть именитых производителей ноутбуков и компьютерного железа Страна объявила об одобрении заявок 27 производителей ПК в рамках новой схемы стимулирования производства

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Новым доменным зонам исполнилось десять лет. Анализируем путь самых первых новых gTLD Ровно десять лет назад - в октябре 2013 года - были делегированы первые четыре доменные зоны, зарегистрированные в рамках первого раунда регистрации New gTLD, который был запущен в 2012 году.

А ведь совсем недавно у iPhone были самые широкие рамки. Рамки iPhone 16 будут ещё уже благодаря технологии Border Reduction Structure Флагманские iPhone 15 Pro и 15 Pro Max уже сейчас выделяются весьма узкой рамкой вокруг экрана. У новых iPhone она может стать ещё уже.  фото: Apple Это может стать возможным благодаря технологии Border Reduction Structure (BRS). Она нацелена в первую очередь на у...

GS Group модернизирует производство в Калининградской области GS Group до конца марта инвестирует 182 млн рублей в модернизацию производства завода «ЦТС» – ведущей площадки контрактного производства в России.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

iPhone 16 Pro получит корпус с глянцевым покрытием В прошлом году компания Apple выпустила смартфоны iPhone 15 Pro и 15 Pro Max с титановыми рамками с матовой отделкой, что понравилось многим покупателям, потому что смартфон удобно держать в руке. Согласно новому слуху, смартфоны серии iPhone 16 Pro сохранят титановый корпус...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

У iPhone появился аналог Chromecast для трансляции контента на телевизор. iOS 17.3 позволяет подключаться к телевизорам Компания Apple опубликовала перечень изменений прошивки iOS 17.3, предрелизная версия которой (Release Candidate) стала доступна всем желающим только вчера. В описании говорится, что iOS 17.3 позволяет iPhone подключаться к некоторым телевизорам в гостиничных номерах че...

Постфиксный калькулятор на Haskell Можно ли внедрить в Haskell постфиксный калькулятор?begin push 1 push 2 add endbegin push 1 push 2 push 3 add mul endНа первый взгляд такой код на Haskell не может работать. Функция begin должна иметь произвольное количество аргументов, а Haskell является языком со статическ...

Это Google Pixel 8a. Первые живые фото Новый смартфон Google Pixel 8a, который мы до этого видели только на рендерах, показали вживую: фотографии опубликовал TechDroider. Самым большим изменением является задняя панель, где производитель отказался от глянцевого покрытия Pixel 7a в пользу матового. Рамк...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

НАТО планирует финансировать стартапы для развития технологий энергетической безопасности НАТО, в рамках укрепления энергетической безопасности, намерен финансировать разработчиков технологии наблюдения за морскими ветряными электростанциями и производителями микрогенераторов.

Производители стремятся внедрить искусственный интеллект в самую странную бытовую технику Может ли «умный дом» стать слишком умным — настолько, что ему перестанет хватать ресурсов для интеллектуальной деятельности и он «поглупеет»? Ответ от Forbes – это непременно случится, потому что крупные производители бытовой техники уже движутся по такому пути развития собы...

Бытовая техника из Китая, Турции и Белоруссии стала очень популярна в России В 2023 году производители крупной бытовой техники из Китая, Турции и Белоруссии оказались на первом месте российского рынка, забирая более 40% доли. Это относится к холодильникам, стиральным машинам и плитам от крупнейших производителей из этих стран, пишет «Известия».

Apple начала, но Samsung её быстро опередит? Все модели линейки Galaxy S24 могут получить титановые рамки Возможно, Samsung обойдёт Apple по использованию титана в своих смартфонах. Согласно свежим данным, титановую рамку могут получить все модели грядущей линейки Galaxy S24.  Об этом говорит инсайдер Revegnus. Он отмечает, что Samsung сама будет производить рамку для...

Серия Samsung Galaxy S24 бьет рекорды предзаказов Профильное издание ETNews сообщает, что серия смартфонов Samsung Galaxy S24 бьет рекорды предзаказов на родном для производителя южнокорейском рынке. За первую неделю с 19 по 25 января производитель получил 1,2 млн предварительных заказов. Год назад на предыдущее поколение G...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

«Самая совершенная передняя панель в истории флагманов Meizu». Meizu сравнила свой флагман Meizu 21 с iPhone 15 Pro и Xiaomi 14 Meizu сегодня опубликовала первое официальное изображение нового флагмана Meizu 21. Компания акцентировала внимание на тонкой рамке экрана, а также сообщила, что диагональ дисплея составит 6,55 дюйма. Судя по картинке, дисплей в этой модели будет плоским. Также компани...

iPad Pro получит более тонкие рамки и чип М3 Несколько часов назад появился новый слух от достаточно надёжных источников о том, что не только серия смартфонов нового поколения iPhone 16 будет иметь более тонкие рамки — теперь поставщики секретной информации уверены, что производитель решил использовать аналогичные преи...

С первого раза сделать, как у Apple, не получилось. Забудьте о спутниковой связи Snapdragon Satellite на смартфонах, потому что Qualcomm расторгла партнёрство с Iridium Похоже, какого массового появления смартфонов с поддержкой спутниковой связи на Android в ближайшее время ждать не стоит. Qualcomm расторгла соглашение с Iridium.  Напомним, в начале этого года Qualcomm представила Snapdragon Satellite — первое в мире готово...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Volvo объявила о прекращения финансирования Polestar Шведский автопроизводитель Volvo объявил об отмене финансирования своего подразделения Polestar, специализирующегося на электромобилях. Несмотря на то, что Volvo была одним из первых автопроизводителей, внедривших электрические автомобили, компания приняла решение сосредоточ...

«Это смартфон с самой толстой рамкой в ??истории». Известный инсайдер о рамках Samsung Galaxy S24 Ultra Инсайдер Ice Universe, имеющий свои источники в Samsung, продолжает раскрывать подробности о будущем флагмане Galaxy S24 Ultra. На этот раз он конкретизировал данные о двух рамках устройства – экранной и боковой. «Я назову S24 Ultra смартфоном с самой тонко...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Первые российские смартфоны и планшеты с «Аврора» появятся в ноябре. Без запрета Android- и iOS-устройств они не смогут занять более 1% рынка, по мнению экспертов Первые мобильные устройства российских производителей Fplus, «Байтэрг» и «Аквариус», которые работают под управлением операционной системы «Аврора», появятся в розничной продаже в ноябре, о чем пишет «Коммерсантъ». Уже изв...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Xiaomi выпускает свой первый электромобиль Xiaomi SU7 станет первым электромобилем компании. Xiaomi хочет войти в пятерку крупнейших производителей автомобилей.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Первые в России: стартовало производство принтеров и МФУ «Катюша» на фирменных отечественных печатных платах Российская компания «Катюша», разработчик и производитель офисной техники, сообщила о запуске серийного выпуска принтеров и МФУ на собственных российских системных печатных платах. Иллюстрация: «Катюша» Как отметили в пресс-службе, «Катюша...

Об особенностях электротехнических (и не только) сообществ: опасная сделка 3 Это завершающая статья цикла, посвящённого юридическим аспектам контрактной разработки электроники. В ней, помимо двух взглядов на одно, дошедшее до суда дело, и комментариев юриста, будет некоторый выход за пределы юридической тематики. Провести судебную экспертизу

Владелица Lada Niva Legend вернула АвтоВАЗу автомобиль из-за ржавчины и отсудила почти 2 млн рублей Жительница Пензы, которая в 2019 году приобрела автомобиль Lada Niva Legend у официального дилера АвтоВАЗа, обратилась к производителю с требованием устранить выявленные недостатки. В ходе эксплуатации были выявлены очаги коррозии, вздутия, трещины и отслоения лакокрасо...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Власти США помешали AMD продать в Китай ИИ-ускорители Производитель микросхем Advanced Micro Devices Inc. (AMD) столкнулся с трудностями со стороны американского правительства при попытке продажи чипа искусственного интеллекта, специально адаптированного для китайского рынка. Это произошло в рамках ужесточений в отношении экспо...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

HMD показала свой первый смартфон, созданный после отказа от бренда Nokia HMD Global, более известная в последние годы как производитель смартфонов Nokia, готовится к выпуску своего первого смартфона под новым брендом.

Это Huawei P70 с перископной камерой. Опубликовано качественное изображение Изображения нового флагманского телефона Huawei P70 опубликовал проверенный инсайдер Digital Chat Station. Инсайдер подтвердил, что смартфон получит новую основную камеру с переменной диафрагмой и перископический телеобъектив. Третий модуль, вероятно, предназначен для с...

Nothing Phone (2a) выдержал падения на асфальт Смартфон Nothing Phone (2a) является первым среднебюджетным устройством компании, из-за чего производителю пришлось слегка изменить дизайн гаджета и внести некоторые корректировки, чтобы устройство вписывалось в ценовой сегмент производителя. Оригинальные смартфоны под назва...

Таким будет Xiaomi 14 Pro. Опубликованы первые изображения смартфона В Сети впервые опубликованы изображения Xiaomi 14 Pro – устройство позирует «завернутым» в чехлы, из чего становится понятно, что картинки слил производитель аксессуаров. Xiaomi 14 Pro демонстрирует эволюцию дизайна нынешнего Xiaomi 13 Pro: выступ кам...

Intel превратит 6,2-гигагерцовый Core i9-14900KS в овощ. Ради стабильности работы силу тока ограничат на уровне 249A, но уже при 307A частота процессора снижается до 5,1 ГГц В проблеме нестабильной работы топовых процессоров Core 13 и 14 поколений Intel обвинила производителей материнских плат: системы BIOS разрешали выходить CPU за пределы параметров, установленных самой Intel. Теперь компания потребует внедрить в BIOS новый профиль Intel ...

Подтверждена совместимость платформы компьютерного зрения VisionLabs и Astra Linux Компания VisionLabs и «Группа Астра» успешно завершили комплексное тестирование продуктов в рамках программы технологического партнерства с разработчиками ПО и производителями оборудования Ready For Astra. В результате проведенных испытаний подтверждена совместимость актуаль...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Первый в мире планшет с Ryzen 7 8840U. Minisforum V3 представлен полноценно Компания Minisforum наконец-то полноценно анонсировала свой планшет V3. Это первый планшет компании, а также первый, который был анонсирован с новыми APU Ryzen 8000.  Сердцем новинки служит Ryzen 7 8840U, то есть на планшете, кроме прочего, можно будет и поиграть ...

Lucid стал первым производителем электромобилей, открывшим завод в Саудовской Аравии Генеральный директор Lucid Питер Роулинсон заявил что они рады войти в историю Саудовской Аравии, открыв первый в стране завод по производству электромобилей.

Ультратонкие рамки iPhone 16 Pro могут стать головной болью на производстве Инсайдеры сообщили, что у Apple могут возникнуть серьёзные трудности с будущими iPhone 16 Pro и 16 Pro Max. Дело в ультратонких нижних рамках дисплея, чего нужно достичь за счёт применения технологии Border Reduction Structure (BRS), которая и сделает нижнюю рамку сверхтонко...

Об особенностях электротехнических (и не только) сообществ: опасная сделка 2 Данная статья продолжает цикл о юридических особенностях контрактной разработки электроники, при которой обеими сторонами являются физические лица. Как и в предыдущей статье, будет взгляд со стороны заказчика, со стороны исполнителя, а также комментарии юриста. Подать исков...

Samsung улучшит Bixby за счёт ИИ Сегодня появилась информация, указывающая на то, что компания Samsung не готова отказываться от своего голосового помощника Bixby. Компания планирует внедрить функции генеративного искусственного интеллекта в свой инструмент и в отличие от других слухов, которые сейчас доста...

Автомобили Toyota переходят на Windows Azure Microsoft и Toyota объявили о стратегическом партнёрстве в области создания телематических сервисов нового поколения на базе платформы Windows Azure (пресс-релиз Microsoft). Сначала «облачную телематику» внедрят в электрических и гибридных автомобилях Toyota (RAV4, Prius), ...

Tesla отчиталась о падении прибыли на 55% в первом квартале 2024 года Американский производитель электромобилей Tesla в последнем финансовом отчёте сообщил о падении чистой прибыли и выручки, а также о меньшем количестве отгруженных электрокаров. Это итоги первого квартала 2024 года.

Fortis и GAGAR>N займутся проектами на базе серверного оборудования с открытой архитектурой Российский производитель серверного оборудования полного цикла GAGAR>N и дистрибутор отечественных решений в сфере информационной безопасности (ИБ) Fortis заключили стратегическое партнерство, в рамках которого на рынке появятся новые ИБ-решения, выпущенные с использованием ...

Первое большое изменение в раскладке клавиатуры ПК с Windows почти за 30 лет. Microsoft представила кнопку Copilot Компания Microsoft решила внести изменения в привычную клавиатуру. Точнее, во все клавиатуры для ПК с Windows. Сегодня Microsoft анонсировала появление выделенной кнопки для запуска чат-бота Copilot.  Кнопка разместится возле правого Alt и, в зависимости от раскла...

SSD и накопители для смартфонов скоро ощутимо подорожают Согласно аналитической компании TrendForce, в скором времени прогнозируется сильный рост стоимости SSD и накопителей для смартфонов. Как сообщается, во втором квартале текущего года контрактные цены на флэш-память NAND вырастут на 13-18%, при этом наиболее значительный рост…

Cognizant внедрила технологию Just Walk Out от Amazon в Canberra Institute of Technology Student Association Cognizant, одна из ведущих в мире компаний по предоставлению профессиональных услуг, объявила о своем избрании Canberra Institute of Technology Student Association (CITSA) на роль провайдера услуг в области системной интеграции и платежной системы для внедрения технологии ко...

4К, 120 Гц, 75 дюймов, крошечная рамка — недорого. Новые телевизоры Xiaomi TV A Pro поступили в продажу в Китае Представленная неделю неделю назад линейка недорогих телевизоров Xiaomi TV A Pro поступила в продажу в Китае. Предзаказы принимали до 31 декабря. Модели диагональю 55, 65 и 75 дюймов предлагаются за 320, 390 и 545 долларов соответственно. Телевизоры получили разрешение...

Российский ИИ научился оценивать стоимость акций Экономисты из Петербургского филиала Высшей школы экономики (НИУ ВШЭ) внедрили инновационный сервис, использующий искусственный интеллект для оценки справедливой стоимости акций. По словам руководителя проекта, Юрия Ичкитидзе, это первая система своего рода, которая автомати...

У первого электромобиля Xiaomi лучше технологии, чем Tesla Первый в истории электромобиль от китайского производителя смартфонов.

Первый смартфон Xiaomi с поддержкой спутниковой связи, но и не только. Важные подробности о Xiaomi 14 Ultra Инсайдер Digital Chat Station раскрыл подробности о Xiaomi 14 Ultra. Это будет первая модель производителя с поддержкой спутниковой связи, причем сразу двусторонней. Так выглядит Xiaomi 13 Ultra. А изображений Xiaomi 14 Ultra пока нет Но это не все особенности смартфон...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Специальная версия Xiaomi Civi 4 Pro поступает в продажу в Китае Сегодня в продажу поступает ограниченная цветная версия Xiaomi Civi 4 Pro, которая оснащена 16 ГБ оперативной и 512 ГБ флеш-памяти, а цена составляет около 500 долларов. Ограниченная версия Xiaomi Civi 4 Pro доступна трех цветовых сочетаниях: черном и белом, розовом и ч...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

iPhone 16 получит больше оперативной памяти для ИИ До сих пор компания Apple увеличивала объём оперативной памяти на своих iPhone максимум до 8 ГБ — в том числе это касается и более дорогих моделей iPhone 15 Pro и iPhone 15 Pro Max. Однако с повышением борьбы в области искусственного интеллекта и усилиями крупных технологиче...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

TCL CSOT анонсировала 31″ куполообразный OLED-дисплей и другие новинки Дочерняя структура китайской компании TCL, разработчик и производитель дисплеев CSOT, представила несколько новинок в рамках проходящей в эти дни в китайском Ухане выставки DTC 2023.

Первый смартфон Xiaomi с двумя телеобъективами — Xiaomi 14 Ultra — выйдет вместе с большим планшетом на Snapdragon 8 Gen 2 Смартфон Xiaomi 14 Ultra уже находится на финальной стадии тестирования, а его презентация состоится в самое ближайшее время, о чем сообщил инсайдер Smart Pikachu. Стоит отметить, что Xiaomi 13 Ultra был представлен 18 апреля 2023 года. Кроме того, Smart Pikachu также с...

Запущен первый в стране облачный сервис РосОблако на основе отечественных технологий В рамках усилий по развитию цифровой экономики России, ведущая отечественная ИТ-компания объявила о запуске "РосОблака" – первого полностью российского облачного сервиса.

Intel выиграла в суде и не будет платить 2 млрд долларов Компания Intel, ведущий производитель процессоров в мире, добилась значительной победы в суде — сегодня суд апелляционной инстанции отменил штраф в размере 2,18 миллиарда долларов, наложенный на неё в 2021 году. Этот штраф был связан с основными компонентами систем управлени...

Это iQOO 12 Track Edition и iQOO 12 Pro Legend Edition. Живые фото новых смартфонов В данной заметке представлены фотографии специальных изданий iQOO 12 Track Edition и iQOO 12 Pro Legend Edition. Специальные версии смартфонов получат прозрачный чехол, скрепку для SIM-карты, 120-ваттную зарядку и кабель. В новой линейке представл...

Первые автомобили с CarPlay нового поколения будут представлены до конца года Компания Apple рассказала о новой версии систем CarPlay ещё в 2022 году. На сегодня новое поколение этой платформы пока не появилось ни в одном авто, однако Apple обещает, что это всё же произойдёт до конца текущего года.  Прямо на сайте компании, где есть в том ч...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Это жидкостная система охлаждения для SSD. Teamgroup T-Force Siren GD120S AIO пригодится для горячих накопителей с PCIe 5.0 Не секрет, что SSD с интерфейсом PCIe 5.0 требуют охлаждения. Зачастую можно ограничиться пассивными СО, но многие производители делают активные кулеры. И если первое время это удивляло, то жидкостная система охлаждения для SSD кажется и вовсе чем-то из ряда вон, а имен...

Представлены Xiaomi 14 и Xiaomi 14 Pro: первые со Snapdragon 8 Gen 3. Без титана не обошлось У базового Xiaomi 14 экран ярче и рамка тоньше, чем у iPhone 15 Pro.

Первое крупное расширение для Diablo IV называется Vessel of Hatred Есть уже отдельный тизер, представленный в рамках BlizzCon 2023.

Запущен первый в мире завод гигаваттных твердотельных литий-керамических батарей Производитель аккумуляторов ProLogium Technology Co открыл первый в мире завод гигаваттных твердотельных литий-керамических батарей в Тайване. Завод, расположенный в Taoyuan High-Tech Industrial Park, будет специализироваться на производстве батарей для электромобилей. Перва...

Skylight представила умный семейный календарь Cal Max с экраном 27 дюймов Производитель умных рамок Skylight представил умный семейный календарь Cal Max с большим 27-дюймовым экраном

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

В мире Android так и не поняли, зачем нужны 24 ГБ ОЗУ, да и цены растут. В новых флагманах устанавливают по 8-16 ГБ Из-за роста цен на материалы и окончания всплеска популярности большого объёма памяти сегодня сложно найти смартфоны с 24 ГБ ОЗУ и 1 ТБ флеш-памяти. Наиболее распространенными конфигурациями становятся 16/512 ГБ и 16 ГБ/1 ТБ. Ранее сообщалось, что крупные производители ...

Переходная рамка УАЗ ПАТРИОТ 2006 под Андроид магнитолу 9 дюймов Всем привет уважаемые пользователи. Долго думал над данной разработкой, делать самому или попробовать найти модель для печати, в итоге ничего путного на просторах интернета не нашел. Есть переходные панели на Увазик, но под панель нового образца. Не понимаю почему панель 200...

Росатом передал свой первый 3D-принтер университету Томска На форуме «Атомэкспо» Росатом передал Томскому политехническому университету первый серийный принтер для трехмерной печати. В университете создадут центр аддитивных технологий общего доступа. Это позволит внедрить аддитивные технологии в производственные процессы предприятий...

В Казахстане проведена первая транзакция с использованием цифрового тенге Церемония запуска прошла в рамках ХI Конгресса финансистов Казахстана, посвящённого 30-летию национальной валюты. Первую транзакцию с помощью цифрового тенге провёл председатель Национальной платёжной корпорации Бинур Жаленов, он купил себе кофе.

Samsung зарегистрировала торговую марку AI Phone Вчера от западных журналистов появилась информация о том, что компания Samsung подала патент не только на название Samsung Glasses для нового шлема виртуальной реальности, но и на названия AI Phone и AI Smartphone. Вероятно, дело в том, что корейский гигант планирует внедрит...

Всего полгода до Snapdragon 8 Gen 4? Первые смартфоны на основе этой платформы ожидаются уже в октябре Первый смартфон на основе SoC Snapdragon 8 Gen 4 может выйти уже в октябре.   Qualcomm не первый год сдвигает анонс новых топовых SoC, сокращая разрыв между поколениями, и в этом году мы можем получить первые модели очень рано. Инсайдер Digital Chat Station говори...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Intel впервые обратилась к пользователям в связи с проблемой с её процессорами Core i9, но ничего не объяснила и снова обвинила партнёров Компания Intel выступила с заявлением для потребителей относительно ситуации с её топовыми процессорами Core i9 и их нестабильной работой.   фото: Geekawhat В своём заявлении Intel ни словом не обмолвилась о причинах этой ситуации, зато снова обвинила производител...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Apple внедрит стандарт RCS вместо SMS в 2024 году Google уже готова сотрудничать.

Выручка Samsung выросла на 993% благодаря ИИ На волне развития технологий в области искусственного интеллекта многие компании, которые производят аппаратное обеспечение, получили безумный прирост прибыли. Например, у компании Samsung, по данным специалистов, в первом квартале 2024 года выручка выросла на 993%. Да, это ...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Российская компания начнёт производить базовые станции 2G/4G в апреле Российский производитель телекоммуникационного оборудования «Булат» (дочерняя компания Ростелекома) планирует с апреля текущего года начать среднее и мелкое производство отечественных базовых станций стандартов 2G/4G. Об этом сообщил гендиректор компании Але...

Samsung Galaxy S24 Ultra обзаведется широкими, но гармонично симметричными рамками У Galaxy S24 Ultra нижняя рамка будет такой же ширины, как и боковые, что однозначно порадует любителей симметрии

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

YouTube никогда не станет прежним. Google внедрила в него функции генеративного ИИ Интересненько.

В WhatsApp приходит суперполезная фича, которую Дуров никак не внедрит в Telegram Ждем.

WhatsApp внедрил опцию, которую давно пора добавить в Telegram Ждем!

HMD Global исправила изображения телефонов Pulse и Pulse+ на своем веб-сайте Они продемонстрировали тонкие рамки по всем четырем сторонам, но на самом деле рамка снизу отличается.

Первый флагман Redmi с изогнутым экраном и IP68. Redmi Note 13 Pro+ AAPE показали со всех сторон Вместе с Redmi Note 13 Pro+ был представлен смартфон Redmi Note 13 Pro+ AAPE, который показали на живых фотографиях с комплектом поставки. Redmi Note 13 Pro+ AAPE выполнен в зеленом камуфляже, средняя рамка/кнопки полностью зеленые, а задняя панель имеет трехмерный тис...

Аккумулятор CATL способен проработать 5 лет без ухудшения своих качеств Компания CATL, крупнейший производитель аккумуляторов, вывела на рынок новый продукт под назаванием TENER. Он описывается как первый в мире накопитель энергии с нулевой деградацией в течение первых пяти лет работы. Этим он разительно отличается от литий-ионных аккумуляторов,...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Производитель электрокаров Polestar выпустил свой первый смартфон. Но есть нюанс… Polestar, конкурент Tesla на рынке электромобилей, анонсировал свой первый смартфон.

Первый в мире мотоцикл с двигателем на природном газе будет выпущен этим летом Индийский производитель постарался.

HYTE объявила о своем первом сотрудничестве с агентством VTuber NIJISANJI EN HYTE, производитель компонентов и периферийных устройств для ПК, объявила о своем первом сотрудничестве с агентством VTuber NIJISANJI EN

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Apple внедрит нереально крутую функцию Safari в iOS 18 Ждём WWDC.

Концерн «Телематика» укрепляет технологический суверенитет России На предприятии наладят выпуск всей линейки оборудования компании, которая насчитывает более 20 различных электронных устройств, а также контрактную сборку радиоэлектроники и аппаратуры, применяемых в проектах в области интеллектуальных транспортных систем. Новая площадка поз...

Чертеж турецкой шнековой пары. Всем привет. В декабре пришел запрос на разработку чертежа шнековой пары. Завод из Уфы занимается производством труб из полипропилена.Шнековая пара была в плачевном состоянии. На самом шнеке были видны швы сварки. Сама шнековая пара турецкая. Трудилась на заводе примерно с 2...

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Epic Games Store подарит четыре крупные игры в рамках масштабной распродажи — первой станет Dragon Age: Inquisition Причём, «Инквизицию» можно будет забрать в GOTY-издании.

Стали известны полные характеристики внешней видеокарты OneXGPU с M.2 слотом для накопителя И цена ы рамках первых дней краудфандинга.

Первый гибрид Kia Seltos представят в 2025 году Очередной производитель переходит от чистых электромобилей к гибридным моделям

Samsung Galaxy Watch получили одобрение FDA на обнаружение апноэ во сне Samsung стала первым производителем умных часов, которому было предоставлено такое разрешение от FDA.

Появилось первое реальное изображение смартфона OnePlus 12 Производитель OnePlus 12 обещает самый яркий экран

«Госуслуги» внедрят технологии ChatGPT для консультации граждан «Роботу Максу» придётся подвинуться.

Apple удивит всех возможностями iOS 18 и iPhone 16 Apple собирается внедрить технологии искусственного интеллекта.

В WhatsApp намерены внедрить нейросеть Meta AI Meta AI - это искусственный интеллект, разработанный Марком Цукербергом.

До конца 2027 года Intel освоит выпуск чипов по технологии Intel 10A И внедрит на предприятиях роботов.

Социально-этичный маркетинг: в чём суть и как внедрить концепцию? Разбираются эксперты из Calltouch.

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

MediaTek Dimensity 9400 появится в десятках новых смартфонов Совсем скоро в релиз должен отправиться новый мобильный процессор Dimensity 9400 от компании MediaTek. И если не считать компании Google и Apple, MediaTek уже заключила контракты со всеми крупными производителями смартфонов о поставках нового флагманского процессора, но сего...

JA Solar признана на 100 % инвестиционно привлекательной по данным исследования BNEF 2023  В рамках исследования инвестиционной привлекательности производителей фотоэлектрических модулей и инверторов 2023 года компания Bloomberg New Energy Finance (BNEF) оценила отраслевых лидеров по ключевым показателям эффективности, финансов, продуктов и репутации. Компания JA ...

Только у Samsung Galaxy S24 Ultra будет титановая рамка, у остальных моделей линейки боковые рамки будут из алюминия Инсайдер Ice Universe опроверг слух о том, что все смартфоны Samsung серии Galaxy S24 получат боковые рамки из титанового сплава — такие данные появились ранее. «Я снова спросил источник на заводе. Только [рамка] S24 Ultra изготовлена из титана, а [рам...

Nikon купила производителя видеокамер RED Nikon официально объявила, что производитель видеокамер RED теперь стала ее дочерней компанией, находящейся в полной собственности.

Первый электромобиль Xiaomi будет работать на базе процессора NVIDIA Не так давно Xiaomi представила свой первый электромобиль — Xiaomi SU7. В рамках СES 2024 появились свежие подробности о новинке.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

Apple опустился на вторую строчку в списке крупнейших производителей смартфонов Поставки смартфонов Apple упали примерно на 10% в первом квартале 2024 года, сообщила исследовательская компания IDC. Компания вновь опустилась на второе место в рейтинге крупнейших производителей, уступив первую строчку Samsung. Американская корпорация обогнала южнокорейску...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Intel увеличила выручку благодаря восстановлению спроса на чипы для ПК Американский производитель микропроцессоров подвел итоги первого квартала 2024 года.

Первые партии FPV-дронов «Упырь» с тепловизорами были отправлены в зону спецоперации Об этом сообщил производитель данных беспилотников.

Производитель электрокаров Polestar показал будущий смартфон Polestar Phone Судя по всему, предназначен он в первую очередь для поклонников бренда

Китай получит свой первый 28-нм литографический сканер в конце 2023 года Производителем выступит китайская компания Shanghai Micro Electronics Equipment (SMEE)

Первый российский грузовой электромобиль начал тестирование в аэропорту Пулково На площадке аэропорта Пулково началось тестирование первого отечественного грузового электромобиля от EVM PRO. В рамках месячных испытаний автомобиль будет использоваться для перевозки наземного оборудования на аэродроме. Представители аэропорта подчеркнули, что Пулково акти...

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

Tata Group станет производителем iPhone в Индии после приобретения 100% доли в Wistron Этот шаг ставит Tata Group в число элитной группы мировых производителей iPhone.

Turtle Beach приобрела производителя контроллеров и гарнитур PDP за $118 миллионов В прошлом, компания Turtle Beach была известна как производитель отличных звуковых карт.

Redmi K70 выйдет на уровень премиум-флагманов. Он получит экран 2К, Snapdragon 8 Gen 3, металлическую боковую рамку и стеклянную заднюю панель Инсайдер Digital Chat Station рассказал не только о будущем One Plus Ace 3, но и о Redmi K70, премьера которого ожидается уже в текущем году. Судя по словам информатора, эта модель превратится во флагмана премиум-класса – как с точки зрения характеристик, так и ма...

Samsung раскрыла характеристики Exynos 1480 Совсем недавно компания Samsung выпустила смартфон Galaxy A55 — это произошло буквально в начале этого месяца. И, что самое важное, данный смартфон поставляется с процессором Exynos 1480, вот только производитель никаких деталей о данном процессоре не сообщил — просто в хара...

Apple просто обязана внедрить эту возможность в iOS 17.4. Иначе ей не поздоровится Ждем и надеемся!

Apple внедрит ИИ во все свои устройства и многие сервисы Нейросеть появится в ОС, Siri, Apple Musiс, Pages и Keynote.

Apple собирается внедрить дисплеи Apple LTPO OLED во все модели iPhone 17 Поддержка ProMotion будет во всех аппаратах iPhone 2025 года

i3D планирует построить завод по производству 3D-принтеров в Татарстане Группа компаний i3D (НПО «3Д Интеграция») намеревается в течение восьми лет организовать производство аддитивных систем для 3D-печати металлами, керамикой и полимерами с годовыми объемами почти в триста 3D-принтеров. Помимо промышленного аддитивного оборудования планируется ...

Обзор CHiQ LMB27C701-R: геймерский доступный монитор с изогнутым экраном Еще недавно изогнутый экран считался прерогативой премиального класса мониторов для гейминга. Но времена меняются, и производители предлагают доступные устройства с изогнутым дисплеем — они удобны как для игр, так и для просмотра фильмов. Редакция ZOOM.CNews протестировала о...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Samsung выпустит первый в мире складной смартфон с титановой рамкой — Galaxy Z Fold 6 Свежие инсайды от информатора Anthony раскрывают интригующие детали о грядущем флагмане Samsung – Galaxy Z Fold 6.

Геймерам на заметку — все самое интересное с презентации State of Play 2024 В рамках мероприятия State of Play 2024 были показаны видео целой кучи суперхитов первой величины.

11 bit Studios: бета-версия Frostpunk 2 стартует в апреле В рамках этого события игроки смогут опробовать режим песочницы, который, предположительно, будет основан на тех же принципах, что и аналог из первой части.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Первая конференция BRST привлекла участников из 80 стран для расширения сотрудничества    Новостной репортаж с конференции iChongqing — первой Научно-технической конференции в рамках инициативы «Пояс и путь» (Belt and Road Conference on Science and Technology Exchange, BRST), которая открылась 6 ноября в муниципалитете Чунцин в юго-западной части Китая и п...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Представлен первый в мире ноутбук с 64-ядерным процессором AMD EPYC и настольной NVIDIA RTX 4080 Китайский производитель ноутбуков Xinjuneng анонсировал ноутбук в который встроен серверный чип EPYC.

На выставке MWC в Барселоне впервые показали электромобиль Xiaomi На выставке мобильной связи MWC в Барселоне привлек внимание электромобиль SU7 Max от Xiaomi. Это первый электрокар производителя смартфонов.

Российская компания «Бештау» создала первый в своей истории ноутбук LT1502 Новинка замечена на официальном сайте производителя электроники.

Гонка между Intel, Samsung и TSMC за выпуск первого 2-нм чипа Крупнейшие производители полупроводниковых чипов соревнуются в создании 2-нанометровых процессоров для будущих устройств, включая смартфоны и серверы.

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Apple рассчитывает внедрить ИИ-систему Google в свои смартфоны По лицензии, потеряв отчасти при этом свою репутацию.

Steam научился показывать, есть ли в играх поддержка геймпадов PlayStation 4 и 5 Steam внедрил нововведение, которое обрадует владельцев геймпадов Sony.

Apple три года подряд пыталась внедрить поддержку Watch для Android Но не смогла сделать этого и отказалась от этой идеи.

Air New Zealand планирует запустить электрический самолет к 2026 году Эта авиакомпания заявила, что стремится стать первой, которая внедрит электрический самолёт.

Пока Boeing испытывает проблемы с самолётами её новый космический корабль Starliner начал предполётную подготовку для первой пилотируемой миссии Компания Boeing, которая сейчас испытывает большие проблемы с самолётами, готовится наконец-то совершить первый пилотируемый полёт своего космического корабля Starliner.  фото: Boeing Starliner уже сейчас находится Kennedy Space Center в рамках предполётной п...

Redmi представила планшет Pad Pro Сегодня компания Redmi официально представила свой новейший планшет Pad Pro. И первый в серии Pro планшет от данного производителя имеет внушительный перечень преимуществ — например, он оснащён достаточно большим экраном диагональю 12,1 дюйма, производительным процессором Qu...

Micron показали модули оперативной памяти DDR5-8800 В рамках недавнего мероприятия NVIDIA GPU Technology Conference, известный производитель полупроводников Micron Technology Inc. анонсировал запуск новой линейки оперативной памяти, которая обещает принести значительные улучшения в области серверных технологий. Новые модули п...

Производитель сигарет JTI решил продолжить бизнес в России Один из крупнейших в мире производителей сигарет японская компания Japan Tobacco International приняла решение продолжить свой бизнес в России.

Первые внедорожники Polestones с дизайном Pininfarina, запасом хода 1338, а также кроватью, беседкой и кухней в комплекте начали доставлять клиентам Внедорожник Polestones 01 EREV (с расширенным запасом хода) начал доставляться клиентам. Автомобиль, созданный при участии Pininfarina , имеет мощность 476 л.с., запас хода в смешанном режиме 1338 км и стартовую цену в 48 910 долларов. Производитель заявил, что он долже...

С камерой Leica, топовым объективом Summilux, плоским экраном и мизерной рамкой 15. Первые официальные изображения Xiaomi 14 Xiaomi сегодня не только показала примеры фото, сделанные при помощи камеры Xiaоmi 14, но и опубликовала первые официальные изображения нового флагмана. Из этих картинок следует, что белый цвет у Xiaomi 14 будет базовым. Аппарат в целом выполнен в духе предшественника,...

12 смартфонов Xiaomi получат глобальную версию HyperOS в рамках первой волны 2024 года Ожидать появления новой операционной системы стоит к концу 1 квартала 2024 года

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Компания Polestar представила свой первый телефон Polestar Phone Смартфон является практически полной копией Meizu 21 Pro от китайского производителя электромобилей Polestar

Немецкий производитель дронов Quantum-Systems приступил к поставке 100 дронов Trinity для ВСУ Первые экземпляры беспилотников Trinity производства Quantum-Systems уже находятся в руках украинской армии

Toshiba получила убыток в преддверии ухода с биржи Японский производитель электроники опубликовал отчетность за первую половину 2023 финансового года.

Испанская армия получила первые 6 инженерных бронемашин Castor Производитель назвал данные бронированные машины "саперной техникой будущего"

Индустрия смартфонов восстанавливается полным ходом В первом квартале 2024 года производители увеличили поставки на 7,8% в годовом исчислении, доведя их до 289,4 млн устройств, подсчитали в IDC.

Китайские производители литографического оборудования резко увеличили выручку в первом полугодии На 39% по сравнению с аналогичным периодом прошлого года.

Производитель электроавтомобилей BYD набирает обороты и становится прямым конкурентом Теслы В первом квартале 2024 года BYD может поставить больше электромобилей, чем Tesla

Завершились первые этапы испытаний грузового корабля «Прогресс МС-28» Госкорпорация Роскосмос опубликовала новость на своем официальном сайте о том, что на контрольно-испытательной станции РКК «Энергия» успешно завершился первый этап испытаний транспортного грузового корабля «Прогресс МС-28». Сегодня корабль отправлен на космодром Байконур для...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Индийская армия получила первую партию 24 ПЗРК "Игла-С" и 100 ракет российского производства Первая партия из 24 ПЗРК "Игла-С" и 100 ракет российского производства была передана индийской армии в рамках более крупной сделки, в соответствии с которой, остальные комплексы будут производиться в Индии. Эта система приобретается для усиления возможностей противовоздушной...

Honda и Nissan планируют сократить свои производственные мощности в Китае Китайские производители автомобилей продолжают захватывать рынок, и иностранным производителям всё тяжелее с ними конкурировать

Apollo Hospitals впервые в Южной Азии представила ZAP-X, меняя лечение опухоли мозга Apollo Hospitals стала первой больницей, внедрившей платформу гироскопической радиохирургии ZAP-X, став первой в Южной Азии, внедрившей эту новаторскую технологию. ZAP-X открывает новую эру в лечении опухолей головного мозга, предлагая пациентам неинвазивную, безболезненную ...

Siri на iPhone и iPad заговорил на русском. На каких устройствах это работает? В последнем обновлении iOS 17, Apple внедрила неожиданную функцию для российских пользователей iPhone и iPad.

В iOS 18 Apple внедрит функции искусственного интеллекта на устройствах Ожидается, что Apple представит функции искусственного интеллекта на устройствах в iOS 18, как сообщает Марк Гурман из Bloomberg.

«Ростелеком» собирается внедрить собственного «цифрового сотрудника» к 2030 году Сокращать рабочие места провайдер пока не собирается. Пока.

Google запустила ChromeOS на Android-смартфонах Google внедрила возможность запуска операционной системы ChromeOS на Android-смартфонах, используя Android Virtualization Framework (AVF).

Первый легендарный мобильный GPU: каким был PowerVR MBX Lite? Пишем игру-демку про «жигули» с нуля Пожалуй, многие из вас помнят, какими были мобильные игры до и после выхода первого iPhone. В начале 2000-х годов, ещё до появления яблочного смартфона, игры для телефонов в основном были весьма интересными, но тем не менее, достаточно простенькими с точки зрения графики и...

Самая передовая камера Leica с дюймовым датчиком, 5300 мАч, экран OLED 2K, алюминий и керамика, IP68. Представлен флагманский камерофон Xiaomi 14 Ultra Сегодня Xiaomi официально представила свой новый флагманский смартфон Xiaomi 14 Ultra. Он призван заменить Xiaomi 13 Ultra и составить конкуренцию Samsung Galaxy S24 Ultra, Oppo Find X7 Ultra и другим флагманским камерофонам. Как и подобает флагману, Xiaomi 14 Ultra ...

Впервые в мире успешно пересажено глазное яблоко человека Аарон Джеймс перенес операцию по первой в мире трансплантации всего глаза в рамках частичной трансплантации лица.

Tele2 продолжит улучшать связь в жилых комплексах столицы в рамках сотрудничества с MR Group Теперь компании заключили договор о продолжении сотрудничества и обозначили первые цели на 2024 год.

Белые рамки одинаковые со всех сторон: в сеть слили живое фото Meizu 21 Компания Meizu представила первое официальное изображение своего нового флагманского смартфона — Meizu 21.

Объединяя поколения: первый совместный день донора LG и Crocus Group LG Electronics (LG) совместно с партнером Crocus Group провели свой первый совместный День Донора под девизом «Инновации на Службе Добра и Здоровья» в рамках глобальной ESG программы #LGLifeIsGood

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Крупные производители микрочипов приостановили закупки оборудования у ASML Holding NV Продажи компании, специализирующейся на выпуске передового оборудования, резко упали в первом квартале

День 596: производитель розеток Legrand продал заводы в России, производители алкоголя просят поднять цены на водку Собираем новости, события и мнения о рынках, банках и реакциях компаний.

Скальпированные Core i9-14900KS официально доступны у некоторых производителей готовых ПК Похоже, что Intel официально разрешила определенным производителям ПК заменять термопасту под теплораспределительной крышкой своих процессоров без потери гарантии

Galaxy S24 Ultra станет первым смартфоном Samsung с титановой рамкой Samsung Galaxy S24 Ultra с титановой рамкой: эксклюзивное инновационное решение в мире смартфонов

Xiaomi Civi 4 с камерой Leica и металлической рамкой выйдет уже в мае Текущая версия Xiaomi Civi 4 использует флагманскую платформу серии Snapdragon 8, систему камер Leica Imaging, слегка изогнутый экран разрешением 1,5K с двумя отверстиями и металлическую рамку корпуса, о чем сообщает инсайдер Digital Chat Station. В мае прошлого года бы...

Марк Гурман: iOS 18 станет одним из крупнейших обновлений в истории Apple Apple внедрит в iOS 18 возможности генеративного искусственного интеллекта.

Apple внедрит искусственный интеллект в приложения «Диктофон» и «Заметки» По слухам, компания серьёзно прокачает встроенные приложения с помощью ИИ в обновлении iOS 18.

Яндекс внедрил генеративные нейросети в Почту Решение, которое меняет привычные сценарии работы с Почтой.

Xiaomi тестирует ультразвуковой сенсор отпечатков ... Xiaomi решила не отставать от конкурентов и внедрить ультразвуковой сенсор отпечатков пальцев вместо оптического. Возможно, это случится уже в Xiaomi 14 Ultra.

Серверный процессор Loongson LS3C6000 близок к завершению разработки Loongson, как сообщается, внедрила свою технологию межсоединений Dragon Chain в поколение 3C6000

В России появится нейросеть для создания 3D-карт местности МТС уже внедрил новую технологию в свои сервисы.

5 ежедневников, которые помогут внедрить полезные привычки и качественно изменить жизнь Начните новый год с чистого листа.

ПромоСтраницы внедрили новые функции для повышения привлекательности контента Рассказываем о каждом инструменте подробнее

Politico: США хотят внедрить на Украине протоколы НАТО и приватизировать банки Раскрыты подтвержденные цели США на Украине

X запустит систему одноранговых платежей Социальная сеть X, ранее известная как Twitter, планирует внедрить систему одноранговых платежей в 2024 году

AMD обновила тестовый драйвер с генерацией кадров AFMF, внедрив изменения из выпуска 23.12.1 Стабильность и плавность AFMF улучшили.

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

OnePlus 12 и OnePlus 11 получили новые функции генеративного ИИ Вслед за Galaxy S24 новые функции ИИ стали появляться и в других смартфонах. Так, например, OnePlus внедрила их в OnePlus 12 и OnePlus 11 c обновлением прошивки. Технологий три, называются они AI Summariser, AIGC Remover и Article Summaries. Первый генерирует сводки по...

Тоненькая рамка экрана, 200 Мп, Snapdragon 8 Gen 3, 4800 мА·ч, 80 Вт. Представлен первый смартфон Geely Сегодня в Китае состоялась официальная премьера электрического седана Geely Galaxy E8, и на мероприятии вместе с ним официально представили первый смартфон Geely – это… Meizu 21 Galaxy Custom Edition. Учитывая, что Meizu с недавних пор принадлежит Geely, в ...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Cipher Mining купит более 37 000 биткоин-майнеров у Bitmain Компания Cipher Mining договорилась с китайским производителем Bitmain о покупке крупной партии оборудования для добычи первой криптовалюты

Эксперт рассказал о модернизации российской техники в рамках СВО В связи с проводимой на Украине специальной военной операцией (СВО) российские военные проводят модернизацию различных видов техники. Военный эксперт, капитан первого ранга запаса Василий Дандыкин, рассказывая об этих изменениях Ленте.ру, отметил, что в первую очередь модерн...

МегаФон запустил первую на Урале цифровую газовую котельную Впервые обогревать жилые дома северян в Ханты-Мансийском округе будет цифровая газовая котельная. Проект первого на Урале автоматизированного объекта теплоснабжения реализован МегаФоном совместно с администрацией города Нягань. Реконструкция котельной проведена в рамках муни...

Камеры Sony больше не нужны? Китайские производители смартфонов переходят на OmniVision: топовый датчик превзойдёт Sony IMX989 Производитель датчиков изображения CMOS OmniVision выпустит в конце года новые продукты высокого класса, включая OV50K. Это датчик изображения дюймового формата, который будет намного превосходить по характеристикам Sony IMX989. Ранее анонсированный Xiaomi 14 испол...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

GMNG представила игровые кресла GG-CH110B и GG-CH210B Сегодня бренд GMNG официально вышел на рынок с совершенно новой линейкой мебели для геймеров, которая, вероятно, заинтересует многих заядлых игроков. Стоит напомнить, что первыми моделями производителя стали геймерские столы GMNG GG-TB301, которые выглядят очень привлекатель...

Официально представлен экран смартфона Meizu 21 с самыми тонкими симметричными рамками Рамки будут иметь толщину всего 1,74 мм, что станет самым узким в мире дисплеем с четырехугольной рамкой.

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

ALIOT признан лучшим решением в сфере импортозамещения по итогам конкурса «Импортонезависимость в телекоммуникациях» 23 апреля 2024 года в «Экспоцентре» состоялась церемония награждения конкурса «Импортонезависимость в телекоммуникациях», в которой принял участие генеральный директор CESCA Евгений Доможиров. Мероприятие прошло в рамках деловой программы выставки «Связь-2024» и организовано...

В США модернизуют M142 HIMARS, внедрив защиту от кибератак Это позволит обнаруживать цифровые аномалии, несанкционированный доступ к технике, вторжение в управляющую систему.

Выживет сильнейший! TSMC, Samsung и Intel: кто быстрее ... Появились слухи, что TSMC планирует внедрить 2-нм чипы в 2026 году, тогда как конкуренты в лице Samsung и Intel спешат сделать это гораздо быстрее в 2025 году.

Завод "Звёздочка" внедрил передовую технологию 3D-печати металлом Инженеры «Звёздочки» начали печатать металлом детали для российских судов

Adobe показала ИИ-функции для Premiere Pro Adobe внедрила ряд инновационных функций, основанных на искусственном интеллекте, которые обещают упростить и улучшить процесс редактирования видео.

AMD представила технологию FidelityFX Super Resolution 3.0 (FSR3) Кроме того AMD на уровне драйвера внедрила поддержку функции Frame Generation для повышения производительности видеокарт Radeon RX 7000

Пашинян сообщил о реформировании ВС Армении по швейцарской модели Один из механизмов, характерных швейцарской армии, уже внедрён в армянские вооружённые силы.

Дальнейшая поддержка видеокарт AMD Polaris и Vega ограничится критическими обновлениями Не исключено, что в драйверы внедрят новые возможности, если в AMD это сочтут необходимым.

Авито запустил видеообъявления и объявил конкурс для пользователей Авито внедрило возможность добавления к объявлениям коротких видеороликов.

Apple устраняет уязвимость Bluetooth, используемую Flipper Zero Apple, возможно, исправила уязвимость в Bluetooth, которая позволяла устройствам Flipper Zero проводить DoS-атаки на iPhone и iPad, внедрив обновления безопасности в iOS 17.2.

Технологии искусственного интеллекта внедрили в велосипеды Компания Raspberry Pi разработала устройство, информирующее велосипедиста о происходящем за его спиной

Huawei готовится к рекордным продажам Mate 70 Компания Huawei недавно вернулась на рынок смартфонов и показала приличные показатели продаж — бренд может похвастаться продажами, которых нет у крупных компаний топового уровня. И останавливаться на достигнутом компания не планирует — если верить инсайдерам, производитель з...

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)