Социальные сети Рунета
Среда, 22 мая 2024

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Digitimes: SMIC активно расширяет свои производственные мощности, несмотря на санкции США Компания SMIC активно расширяет свои производственные мощности для 7-нм и 5-нм технологических узлов

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

Компания Intel представила новый литейный узел Intel 14A, который будет выпускаться с 2026 года Компания также работает над узлом Intel 10A

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Intel представила ИИ-ускоритель Gaudi 3 – он быстрее NVIDIA H100 На конференции Intel Vision 2024 компания Intel представила ускоритель Intel Gaudi для обучения и вычислений генеративного искусственного интеллекта. ОсобенностиНовый Gaudi 3 построен на 5-нм производственном узле TSMC, оснащен 96 МБ скоростной кэш-памяти SRAM и 128 ГБ…

Импортозаместили: новые комплектующие для Lada начали изготавливать в России На производственном предприятии «Резинотехника» в городе Балаково, Саратовская область, успешно запущено производство новых комплектующих и запчастей для автомобилей отечественных и зарубежных марок. Особенное внимание уделяется импортозамещению, и часть про...

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Palantir Technologies получила контракт на разработку новой разведывательной системы для армии США Компания Palantir Technologies, специализирующаяся на анализе больших данных, была выбрана армией США для разработки узла доступа к тактической разведывательной системе наведения (TITAN) — наземной системы следующего поколения, ориентированной на программное обеспечение. Сис...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Больше не народный автомобиль? Россияне теряют интерес к «Ладам» АвтоВАЗ фиксирует снижение спроса на автомобили Lada — об этом сообщил глава компании Максим Соколов. Но при этом он отметил, что снижать объемы производства завод не будет. Фото: Autonews.ru «Мы видим снижение спроса, и эта цифра [продажа 37,5 тыс. ав...

Lada Niva Travel получила ABS вслед за Niva Legend – грядет очередное подорожание? АвтоВАЗ постепенно возвращает узлы и агрегаты, которые исчезли из машин Lada в связи санкциями и закрытием предприятий. Так, завод обещал вернуть в «Нивы» с ABS, и свое обещание держит: вчера собрали 50 Niva Legend с антиблокировочной системой тормозов, сего...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Число Биткоин-узлов превысило 17 000 впервые за 10 лет Количество доступных Биткоин-узлов, управляющих сетью, превысило 17 000 впервые за 10 лет

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Samsung объявляет о сотрудничестве с Arm для разработки ядер Cortex-X следующего поколения Компания Samsung объявила, что будущие ядра процессоров Arm Cortex-X будут использовать 2-нм узел GAAFET от Samsung Foundry.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

«Яндекс» и «Лаборатория Касперского» вошли в АНО «Умный МКД» В состав участников АНО «Умный МКД», занимающейся разработкой стандартов по цифровизации многоквартирных домов (МКД), вошли ООО «Лаборатория »Алисы»» (дочерняя компания «Яндекса» (MOEX: YNDX) по выпуску устройств с ассистентом »Алиса») и р...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Разработчик ПО для удалённого рабочего стола предупредил о взломе своих серверов Компания AnyDesk, популярный разработчик ПО для удалённого рабочего стола сообщила клиентам о взломе своих производственных серверов.

Lada Vesta получила 6-ступенчатую механическую коробку передач. Такие машины запустят в серию к концу года На днях АвтоВАЗ собрал пару машин Lada Vesta с 6-ступенчатой механической коробкой передач, причём машины сошли с главного конвейера. Об этом сообщил инсайдерский паблик Avtograd News. Это уже не первая небольшая партия «Вест» с 6-ступенчатой МКП — Ав...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Intel и UMC объявили о сотрудничестве в рамках разработки 12-нм чипа Intel и United Microelectronics Corporation (UMC) объявили о совместном сотрудничестве в разработке 12-нм техпроцесса. Это партнерство будет использовать крупномасштабные производственные мощности в США и опыт в производстве полупроводниковых пластин.

Будущее без Android. Запуск HarmonyOS Next состоится в сентябре, ОС будет поддерживать моноблоки, складные смартфоны, планшеты и умные часы О внутренних планах Huawei по сентябрьскому запуску HarmonyOS Next с совместимостью с «моноблоками-флагманами, складными флагманами, телефонами среднего класса, планшетами и умными часами», ожидаемым во второй половине 2024 года, рассказал инсайдер Digital C...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Впервые в России нейросеть помогла разработать антифриз Российская компания Sintec, крупный производитель моторных масел и смазочных материалов, и автопроизводитель «Соллерс» сообщили, что первыми среди отечественных предприятий применили искусственный интеллект при разработке охлаждающей жидкости. Впервые в прак...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Ларсен и Тубро займутся разработкой полупроводниковых чипов с инвестициями в 8.3 млрд. рупий Совет директоров Larsen and Toubro одобрил план входа в бизнес по разработке полупроводниковых чипов без собственных производственных мощностей.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

В России начали серийно выпускать встраиваемые в стену зарядные станции В пресс-службе торгово-производственного холдинга (ТПХ) «Русклимат» сообщили, что компания «Национальные зарядные системы» запустила массовое производство новой зарядной станции, встроенной в стену, предназначенной для электромобилей. Устройство, разработанное собственными с...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Машины Hyundai начали останавливаться из-за короткого замыкания Как убедились в Hyundai, даже такая мелочь, как немного флюса для припоя, попавшего не в то место, может привести к поломке всей машины. Автопроизводитель отзывает 13 511 автомобилей в США из-за проблемы с датчиком, расположенным глубоко в недрах автомобиля. Электронный...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Lada Iskra и Lada Vesta получат улучшенный усилитель рулевого управления Как сообщает «Российская газета», Lada Vesta в скором времени получит улучшенный электроусилитель рулевого управления (ЭУР), а в будущем этот же узел появится и в Lada Iskra. Новый ЭУР будет примерно на 2 килограмма легче нынешнего и получит датчика углового...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Samsung разработала технологию для улучшения производственных процессов Однако, специалисты опасаются, что новая разработка приведёт к сокращению рабочих мест

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

MIUI больше не будет: компания Xiaomi взялась за ... Digital Chat Station сообщил в своем сообщении на Weibo, что Xiaomi рассматривает MIUI 14 как "финальную основную версию" в серии MIUI. Вместо этого компания работает над разработкой совершенно новой ОС с нуля.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

[Перевод] Разработка под Android в 2024 году Эта статья отражает моё личное мнение и профессиональные взгляды, учитывающие различные точки зрения в сообществе Android-разработчиков. Кроме того, я регулярно просматриваю руководства от Google для Android.Важно подчеркнуть: некоторые хорошие инструменты, паттерны и архите...

Toyota готовит мини-Land Cruiser и соверешенно новый внедорожник 340D Toyota начала разработку нового внедорожника для индийского рынка, который будет выпущен в начале 2026 года и станет ключевым продуктом для нового завода, о чем сообщает Reuters со ссылкой на осведомленных информаторов. Новый внедорожник C-сегмента под кодовым названием...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

AMD планирует выпустить технологию масштабирования изображения на базе ИИ Технический директор компании Марк Пейпермастер сообщил о разработке новой версии AMD FSR с использованием искусственного интеллекта.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Toyota расширяется: компания построит третий завод мощностью 200 000 машин в Индии Toyota Motor планирует построить третий автомобильный завод в Индии, расширяя производственные мощности в стране впервые за более чем десятилетие благодаря партнерству с Suzuki Motor, о чем сообщили Reuter сразу два источника. Крупнейший в мире производитель автомобилей...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Компания Take-Two приобрела Gearbox и анонсировала новую Borderlands Шведская компания Embracer Group официально продала одну из своих студий по разработке игр Gearbox Entertainment американскому издателю Take-Two Interactive. Также в сделку на 460 миллионов долларов попали некоторые дочерние компании и франшизы Borderlands, Tiny Tina's Wonde...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Финская кофейная компания создала новый «идеальный» купаж с помощью ИИ Эксперты и сотрудники одной из крупнейших кофейных компаний Финляндии, Kaffa Roastery, сообщили о разработке с помощью ИИ полностью «технологической смеси» кофе. Ценители напитка, которым предложили попробовать получившийся купаж, заявили о том, что напиток не требует вмешат...

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Самарская область создаст центр для разработки крупных БПЛА В Самарской области появится научно-производственный центр (НПЦ) по разработке тяжелых беспилотных авиационных систем (БАС) полного цикла. Он будет специализироваться на создании авиационных систем весом более 500 кг.

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Apple отменила разработку Apple Watch Ultra с дисплеем MicroLED Согласно данным от инсайдеров, ссылающихся на одного из основных поставщиков, Apple пришла к выводу, что такой продукт не имеет смысла: новый тип экрана не принесет никаких преимуществ для часов, при этом производственные затраты будут слишком высокими.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Илон Маск: Рабочие Tesla будут жить на производственной площадке гигафабрики в Техасе Илон Маск объяснил, что такая близость позволит быстро решать производственные вопросы, связанные с новым поколением электромобилей.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Праздник для покупателей Lada Granta: новые цвета, 16-клапанный мотор и мультимедийная система EnjoY Pro Завод АвтоВАЗ согласовал производственный план на октябрь этого года, когда долгожданные изменения придут в линейку Lada Granta. Об этом сообщает паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте» "Обогащение" цветовой гаммы и вариантов ...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

В Самарской области создадут крупный центр по разработке беспилотных систем Губернатор Самарской области, Дмитрий Азаров, объявил о планах создания крупного регионального научно-производственного центра для разработки беспилотных авиационных систем. Это заявление было сделано на открытии Дня Самарской области на выставке-форуме "Россия" на ВДНХ, пиш...

Honda порадовала фанатов. Представлена новая Honda Prelude Honda произвела фурор на выставке Japan Mobility Show, вернув легендарную модель под названием Prelude. Интересно, что презентация не содержала никаких подробностей за исключением информации о том, что новый Prelude «предназначен для сочетания электрификации и удо...

Российские ученые создают покрытие для защиты авиационных двигателей от износа В пресс-службе Московского авиационного института (МАИ) сообщили, что ученые института активно работают над разработкой специального покрытия, призванного обеспечить защиту узлов трения новых российских авиационных двигателей от преждевременного износа, пишет ТАСС.

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

GameChange Solar объявила о расширении до 35 ГВт годовой производственной мощности в США Компания GameChange Solar (GCS), ведущий мировой поставщик передовых, экономически эффективных систем слежения за солнцем для наземных фотоэлектрических (PV) установок, объявила о расширении до 35 ГВт годовой производственной мощности по ключевым компонентам, выпускаемым в С...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Lada B-Cross вообще не напоминает Vesta. Появились подробности о новом кроссовере АвтоВАЗа Первый исполнительный вице-президент по стратегии и техническому развитию АвтоВАЗа Евгений Шмелёв рассказал о новых моделях компании, в частности, упомянув кроссовер на базе Vesta. Он заявил, что АвтоВАЗ ориентирован на разработку и производство автомобилей на платформе...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Samsung в 2024 году начнёт разрабатывать продукты на основе ИИ С 2024 года компания Samsung начнёт всё более полагаться на технологии ИИ для разработки своих продуктов. По инсайдерской информации, впервые об этом компания заявит на презентации смартфона Galaxy S24 17 января.

Началось производство нового Skoda Kodiaq: завод выпускает 410 машин в день Компания Skoda объявила о старте массового производства своего нового кроссовера Kodiaq второго поколения. Сборка автомобиля осуществляется на заводе бренда в чешских Квасинах, предыдущем месте производства седана Skoda Superb. Согласно информации от пресс-службы компан...

АвтоВАЗ хочет выпускать больше Lada Niva и Granta, но не может: линии сварки и окраски и так работают с максимальной загрузкой Telegram-канал со ссылкой на источники на АвтоВАЗе сообщил о расширении производственного плана по модели Lada Granta: завод хочет выпускать 500 машин в одну смену (соответственно, 1000 единиц за сутки), но пока достигнуть такого объема сборки не удалось. Узкое место &n...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Стартап Evolution Space открывает центр производства твердотопливных ракетных двигателей в NASA Stennis Space Center Стартап Evolution Space, занимающийся разработкой твердотопливных ракетных двигателей, подписал соглашение о создании производственно-испытательных операций на космическом центре NASA в Стеннисе. 10 октября компания объявила, что достигла соглашения о создании производс...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Прощайте, классические Jaguar. Компания снимет с производства седаны Jaguar XF и XE, а также купе F-Type уже летом Британский автопроизводитель Jaguar сегодня сообщил о сокращении модельного ряда вдвое: уже летом производственную гамму компании покинут классические седаны Jaguar XF и XE, а также купе F-Type. Все эти модели выпускаются на заводе в Бирмингеме, но после прекр...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Учимся создавать простых ботов или туториал по библиотеке TeleBot Начнем с того, что библиотек для разработки телегам-ботов на Python несколько, я упомяну основные три. В первой части статьи будет небольшой обзор этих библиотек (примеры кода тут будут для красоты, не пугайтесь, ниже будет пошаговый Гайд по одной конкретной библиотеке), по...

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

[Перевод] Добавляем подсказки в приложение с помощью TipKit Когда TipKit был впервые упомянут во время выступления на WWDC 2023, я поначалу предположил, что это какой-то новый способ отображения приложений в приложении Tips и, возможно, Spotlight. Вместо этого мы увидели встроенный компонент для добавления небольших обучающих предста...

Тысячи Hyundai Palisades 2024 отзывают: потенциальная дыра в двигателе может привести к ДТП или пожару Тысячи Hyundai Palisades 2024 модельного года подлежат новому отзыву из-за неисправных клапанных пружин. Хотя эта проблема затрагивает только один процент отозванных автомобилей, есть вероятность, что сломанная пружина может проделать дыру в двигателе. В США Palisade пр...

Бельгийский производитель Simera Sense получил $15 млн инвестиций на расширение производственных мощностей для кубсатов с улучшенным разрешением Бельгийский производитель фотоаппаратов Simera Sense привлек почти $15 000 000 на расширение мощностей по сборке систем за пределами Южной Африки и ближе к производителям компонентов в Европе с целью разработки продуктов для кубсатов с более высоким разрешением. Генерал...

В России прошла первая олимпиада для школьников по IT-разработке Впервые в России завершилась олимпиада PROD, посвященная промышленной разработке в области цифровых технологий. В мероприятии приняли участие около 4 тысяч школьников, из которых более 150 смогли разработать собственные IT-продукты.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Starlink компании SpaceX впервые передал интернет напрямую на смартфон Амбициозный план SpaceX по доставке интернета непосредственно на мобильные телефоны через спутники Starlink значительно продвинулся вперед. В недавнем письме в Федеральную комиссию по связи США (FCC) компания сообщила о положительных результатах испытаний, продемонстрировав ...

Это сердце нового флагмана Radeon, но карта будет среднебюджетной. GPU Navi 48 впервые засветился в Сети Компания AMD впервые засветила название нового графического процессора, на котором будут основаны видеокарты Radeon RX 8000.  Упоминание GPU Navi 48 появилось в ПО ROCm. Конечно, без всяких подробностей, но именно Navi 48 разные источники называли старшим графичес...

Ученые случайно создали самый маленький и тугой в мире узел из 54 атомов Благодаря случайности ученые создали самый маленький и тугой в мире узел, используя всего 54 атома. При попытке создать маленькие золотые цепочки с помощью химических реакций неожиданный результат привел к образованию узла «трилистник», состоящего из трех петель, сплетенных ...

Запуск новой производственной линии по выпуску беспилотников "Илун-2" В районе китайского города Цзыгун открылась новая производственная линия по выпуску беспилотных летательных аппаратов "Илун-2" с годовым производством в 200 штук. "Илун-2" - это следующее поколение китайских беспилотных летательных аппаратов, предназначенных для выполнения ш...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Появились новые подробности о смарт-кольце Samsung Galaxy Ring В рамках презентации, посвященной выпуску смартфонов серии Galaxy S24, компания Samsung упомянула о смарт-кольце Galaxy Ring.

Компания ИСЕРВ сообщила о выпуске мобильного контролера на Аврора 5.0 Компания «ИСЕРВ», национальный разработчик цифровых платформ, завершила разработку новой версии «Мобильного контролёра» под ОС «Аврора» 5.0. Разработчиками успешно проведены тестовые испытания.

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Кейс: использование 3D-принтера Volgobot А4 PRO в НПК «ГЕРМЕТИКА» Volgobot продолжает активно сотрудничать с различными организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов — НПК «ГЕРМЕТИКА».Давайте познакомимся с деятельностью предприятия ближе.НПК «ГЕРМЕТИКА» — машиностроительная научно-производстве...

Пора навёрстывать: Toyota возобновила производство автомобилей Компания Toyota смогла снова запустить все производственные линии на своих японских заводах, которые не работали после инцидента, случившегося 16 октября. Тогда на заводе, принадлежащем Chuo Spring, где производятся пружины подвески, произошёл взрыв. Компания Chuo Sprin...

Не будет никакого Huawei P70. Вместо него выйдет Huawei Pura 70 Все ждут новейший флагман Huawei P70, но его не будет: вместо него Huawei выпустит Pura 70. Впервые за долгое время компания переименовала линейку своих топовых смартфонов, о чем сообщил инсайдер Digital Chat Station. Одновременно в Китае опубликован первый тизер новой ...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Новый процессор Huawei с ядрами Taishan V120 показал приличную производительность Появились новые результаты тестов будущего серверного процессора Huawei, показывающие уровни производительности, которые конкурируют с ядрами AMD Zen 3. Процессор был замечен на платформе Geekbench, хотя его точное название пока держится в секрете. Тем не менее, его про...

FACCT и МВД сообщили о ликвидации вымогательской группы SugarLocker МВД России, при поддержке специалистов компании FAССT (бывшая Group-IB), вычислило и задержало участников хак-группы SugarLocker. Сообщается, что хакеры работали «под вывеской» легальной ИТ-компании Shtazi-IT, якобы предлагающей услуги по разработке лендингов, мобильных прил...

Volkswagen и Renault не смогли договориться и отказались от совместного проекта Volkswagen отказался от переговоров с Renault о совместной разработке доступной электрической версии автомобиля Twingo, о чем Reuters сообщили четыре источника, знакомых с ситуацией. Это означает, что Volkswagen придется в одиночку разрабатывать собственный доступный эл...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Производство «российских Rolls-Royce» полностью загружено. Все машины Aurus «расписаны пофамильно» Директора проекта «Единая модульная платформа» ФГУП «НАМИ» Александр Лебедев рассказал о кратном увеличении госзаказа на люксовые российские автомобили Aurus: если раньше госорганы заказывали по 1-2 автомобиля, то сейчас уже по 10-20. Соответстве...

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

Производитель Mercedes G-Class поможет Volkswagen создать новейшие внедорожники Scout для конкуренции Ford Bronco и Jeep Wrangler Volkswagen обратился к Magna Steyr, австрийскому автопроизводителю и инжиниринговой компании, за помощью в разработке прочных автомобилей для своего возрожденного американского бренда Scout. Местная газета Kleine Zeitung сообщает, что стоимость сделки составляет почти п...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Анонимная сеть Hidden Lake → анализ QB-сетей, функций шифрования и микросервисов на базе математических моделей Анонимная сеть Hidden Lake (HL) - это децентрализованная F2F (friend-to-friend) анонимная сеть с теоретической доказуемостью. В отличие от известных анонимных сетей, подобия Tor, I2P, Mixminion, Crowds и т.п., сеть HL способна противостоять атакам глобального наблюдателя. Се...

США заявили, что программа истребителя F-35 вышла на максимальную производственную мощность По данным профильных ресурсов, производственная программа самолёта пятого поколения F-35 выедена на максимальную мощность

Arena объявила о сотрудничестве с AMD Компания Arena, разработчик специализированных базовых моделей искусственного интеллекта, объявила о сотрудничестве с компанией AMD по масштабированию развертывания Arena Atlas — первого в мире ИИ-решения для тестирования и оптимизации функциональных узлов на основе новейшей...

«Аэродиск» разработал файловую репликацию в СХД Компания «Аэродиск», разработчик и производитель инновационных решений в сфере хранения данных и виртуализации, сообщила о разработке новой функциональности своих систем хранения данных (СХД) — механизма файловой репликации. Это ...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Безопасный Zookeeper: SASL with Digest-MD5 Привет! Столкнулся с тем, что быстро не нашел простой инструкции, как с использованием SSL и SASL настроить безопасный кластер из нескольких Linux узлов Zookeeper, и решил это исправить. В этой статье поговорим о том, как: - Настроить Zookeeper в кластере из трех узлов без ш...

Siemens ушла из России и впервые понесла убытки в 600 млн евро Немецкий концерн Siemens ушёл из России в мае 2022 года на фоне начала Россией СВО. На днях компания представила финансовый отчёт за 2022 год и впервые за несколько лет сообщила об убытках.

[recovery mode] ShIoTiny5 — новые веяния и маленькое устройство Несколько лет назад я писал о системе визуального программирования ShIoTiny для модулей на базе микроконтроллера ESP8266. Поэтому, чтобы не повторяться, для тех, кто "не в теме" - сразу отсылаю к моей первой статье на Хабре: ShIoTiny: малая автоматизация, интернет вещей или ...

Kubelet в Kubernetes Привет, Хабр!Kubelet — это агент, который работает на каждом узле в кластере Kubernetes. Он отвечает за то, чтобы контейнеры в Pod'ах были запущены и функционировали в соответствии с предоставленными спецификациями PodSpec. Kubelet непрерывно общается с сервером API Kubernet...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Создание «Ведьмака 4» начнется уже в 2024 году CD Projekt Red объявила о старте производственной фазы разработки игры «Ведьмак 4» уже в 2024 году.

Samsung наконец-то откажется от бренда Exynos? Компании приписывают намерение заменить его на Dream Chip Компания Samsung, возможно, откажется от бренда Exynos, заменив его другим.  Как сообщает инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заявления компании, бренд Exynos будет заменён на Dream Chip. Стоит также отмети...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Компания «Симпреал» организовала экскурсию на производство для студентов Орского техникума Студенты Орского технического техникума посетили производственную площадку ПАО «Симпреал» — ведущего российского производителя каолинитового керамического сырья. С лучшими учащимися компания заключит договоры целевого обучения. ПАО «Симпреал», представитель отечественн...

SMIC, как сообщается, наращивает производственную линию по выпуску 5-нанометровых микросхем в Шанхае Согласно свежему сообщению Reuters, крупнейший контрактный производитель чипов в Китае связан с разработкой SoC следующего поколения Huawei

Глава Роскосмоса: в России будут производить до восьми тяжёлых ракет «Ангара-А5» в год Производственное объединение «Полёт» (входит в Роскосмос) при работе на максимальной мощности должно быть способно выпускать до восьми тяжёлых ракет-носителей «Ангара-А5» в год. Об этом сообщил генеральный директор Роскосмоса Юрий Борисов во врем...

«Погуляли с Оптимусом», — Илон Маск впервые показал робота Tesla Optimus «раздетым» Илон Маск опубликовал новый видеоролик, в котором робот Optimus просто прогуливается по тестовому ангару. В отличие от предыдущих демонстрацией, в данном случае робот оказался «раздетым», без красивого костюма. Гладкая металлическая оболочка, которая была у...

Минобороны России впервые сообщило об уничтожении управляемых ракет MALD Минобороны впервые сообщило об уничтожении управляемых ракет MALD

Пермский авиатехникум открыл учебно-производственный комплекс В Пермском авиационном техникуме имени А. Д. Швецова завершена подготовка к вводу в эксплуатацию нового учебно-производственного комплекса. C марта начнет функционировать серийное производство деталей из металла, полимеров, пластмасс и выполнение работ по реверсивному инжини...

Бывший российский завод Hyundai переименовали в «Автомобильный завод АГР» Бывший российский автомобильный завод Hyundai Motor в Сестрорецке, который был выкуплен компанией «Арт-Финанс», получил новое название. Как сообщает инсайдерский телеграм-канал «Автопоток», предприятие переименовано в «Автомобильный завод А...

Toyota начинает производство машин после взрыва у ключевого поставщика комплектующих Toyota сообщила в понедельник, 23 октября, что работа на некоторых заводах в стране возобновилась после того, как авария на заводе поставщика запчастей неделей ранее привела к сбою в производстве. Крупнейший в мире автопроизводитель по объему перезапустил пять производс...

Популярный кроссовер «Москвич 3» начали собирать по технологии полного цикла, он получил усиленное антикоррозийное покрытие кузова  Раньше по полному циклу в Москве собирали автомобили Renault, а теперь собирают «Москвичи». О переходе предприятия на полный производственный цикл сообщили сегодня Сергей Собянин и глава КамАЗа (это технологический партнер «Москвича») Серге...

В России начали производить запчасти для Renault, Nissan и Mitsubishi Компания «Резинотехника» запустила на предприятии в Балакове опытное производство запасных частей для автомобилей иностранных брендов, включая Renault, Nissan и Mitsubishi. Об этом сообщила пресс-служба министерства промышленности и энергетики Саратовской об...

Intel открывает свои производственные мощности для аренды другим производителям чипов Intel объявил о новой стратегии в сфере контрактного производства, предлагая аренду своего оборудования и производственных мощностей другим производителям чипов.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Samsung Electronics Co. сообщила о запуске новой исследовательской лаборатории полупроводников Данное учреждение будет заниматься разработкой чипов для искусственного интеллекта

Завтра, 9 января, возобновится производство Lada Vesta, Niva и Granta Перед Новым годом АвтоВАЗ ушел на новогодние каникулы – производство автомобилей приостановили. А завтра в 7 утра оно возобновится, об этом сообщает паблик Avtograd News. «Завтра, 9 января 2024 года, вновь оживут сборочные конвейеры ВАЗа. Но уже сегодня мно...

В России получено новое ОТТС на Hyundai Solaris. Такое выдают перед началом продаж Опубликовано новое Одобрение типа транспортного средства (ОТТС) на автомобиль Hyundai Solaris, о чем сообщает инсайдерский телеграм-канал «Автопоток». Интересно, что заявителем и изготовителем автомобиля указано «Хендэ Мотор Мануфактуринг Рус&raqu...

Meizu представит свой последний смартфон 29 февраля. Раскрыты характеристики Meizu 21 Pro Meizu запланировала на 29 февраля в Китае мероприятие, в рамках которого компания представит смартфон Meizu 21 Pro. Разработка этой модели началась до того, как компания сообщила о сворачивании работ над новым смартфонами и концентрации усилий на разработке решений ИИ, ...

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

КамАЗ реанимирует проект 12-местного беспилотника КAMAZ-1221. Новая версия будет импортонезависимой КамАЗ нутвердил бюджет на Передовую инженерную школу (ПИШ) «КиберАвтоТех». В текущем году на нее выделят 1,9 млрд рублей. Эти деньги пойдут на открытие и оснащение новых лабораторий, а также на новые проекты. Что интересно, в числе этих проектов неожиданно у...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

Let Loose: анонс новых iPad Pro, iPad Air, Magic Keyboard и Apple Pencil займет 35 минут Во вторник, 7 мая, Apple проведет первую в этом году пресс-конференцию «Let Loose». Компания собирается представить новую линейку iPad Pro и iPad Air. Планшеты iPad Pro получат OLED-дисплеи, новый, более тонкий корпус и будут работать на чипе M4. iPad A...

Игра по Индиане Джонсу от Bethesda может появиться на PlayStation 5 Предстоящая игра по Индиане Джонсу от Bethesda, согласно источнику, также предположительно будет доступна на консоли PlayStation 5. Впервые «Indiana Jones and the Great Circle» была представлена во время мероприятия Xbox Developer Direct от Microsoft в прошлом месяце, где бы...

Apple в прошлом финансовом году собрала iPhone в Индии на $14 млрд Агентство Bloomberg, ссылаясь на свои источники, оставшиеся инкогнито, поскольку информация носит непубличный характер, сообщило о том, что Apple на начало 2024 календарного года вывела из КНР в Индию 14% производственных мощностей для производства iPhone.

ЕвроХим ввел в эксплуатацию современный комплекс по производству фосфатных удобрений в Бразилии ЕвроХим запустил в промышленную эксплуатацию новое предприятия по производству фосфорных удобрений в городе Серра-ду-Салитри, Бразилия. Новый комплекс – крупнейшая за последнее время инвестиция Группы в создание новых производственных мощностей за пределами Российской Федера...

Стратегия Apple принесли свои плоды: продажи iPhone в Китае выросли впервые за последние месяцы Поставки iPhone в Китае увеличились примерно на 12% в марте после официальной снижения цены кампанией Apple и сторонними магазинами. Эти данные резко контрастируют со снижением продаж iPhone на 37% за первые два месяца 2024 года, показывая, что стратегия Apple по сдержи...

Тайваньский полупроводниковый гигант TSMC наймет в ближайшие годы 23 000 новых сотрудников Компания активно расширяет производственные мощности

Ничего не производя, Nvidia умудрилась обойти Intel, Samsung и TSMC и по выручке, и по прибыли На днях компания Nvidia опубликовала свой очередной финансовый отчёт, который, конечно, чуть ли не полностью состоял из рекордных для компании показателей. Однако оказалось, что они рекордны не только для Nvidia. Именно Nvidia сейчас является лидером по выручке среди ко...

Nvidia уже работает над несколькими системами охлаждения для видеокарт GeForce RTX 50. СО рассчитаны на TDP от 250 до 600 Вт Компания Nvidia уже тестирует различные варианты кулеров для видеокарт GeForce RTX 50.   прототип топовой СО для RTX 40; фото: Gamer2live В работе находится несколько вариантов систем охлаждения, рассчитанных на TDP от 250 Вт до 600 Вт. При этом это не означа...

Tesla действительно будет отливать днище автомобилей единой деталью Tesla действительно работает над модернизацией своей технологии «гигакастинг» (gigacasting), позволяющей отливать под давлением все детали днища автомобиля как единое целое, о чем сообщило в среду, 27 сентября, издание Shanghai Securities News со ссылко...

На Ставрополье создадут центр по производству беспилотников Владимир Владимиров, губернатор Ставропольского края, сообщил о планах создания научно-производственного центра по производству беспилотных летательных аппаратов. Он подчеркнул, что такой шаг был предпринят в ответ на запросы с передовой и потребности региона.

РТК-Сервис перенес ядро сети и каналы передачи данных магистрального узла «Ростелеком» на площадку дата-центра М9 ИТ-компания РТК-Сервис совместно с компанией «Ростелеком» провела работы по переносу центрального магистрального узла связи оператора из ранее арендуемого помещения на площадку дата-центра «М-9».

У смартфонов появятся ядерные батареи: спасибо китайцам Китайская технологическая компания Betavolt Technology сообщила о разработке небольших ядерных батарей, сейчас пилотные версии проходят тестирование. Если все пойдет по плану, вашему смартфону, возможно, больше не потребуется зарядка.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

[Перевод] Все оценки сроков разработки ПО — ложь ▍ Разработка ПО — это исследование Требуют ли фармацевтические компании от исследователей сообщить им сроки создания лекарства от рака? Исследователи могут сообщить сроки выполнения конкретного исследования (и достаточно точные сроки, потому что планы исследований обычно им...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

В компании «Р7-Офис» наметили планы на следующий год Российская компания АО «Р7», которая занимается разработкой офисного ПО с расширенным функционалом для совместной работы и коммуникации, сообщила о предварительных результатах деятельности в Выручка компании возросла более чем на 100 за период январь-ноябрь, в сравнении с ан...

Ещё один тайваньский вендор откроет завод по производству чипов с ИИ Ещё один тайваньский чипмейкер, компания Powerchip Semiconductor Manufacturing Corp. (PSMC) построит новый завод по производству чипов и микросхем памяти для широкого спектра приложений, включая технологии ИИ. Но для этой компании строительство завода скорее не переход на но...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Продажи Honda в Китае снижаются Honda сегодня объявила о продажах автомобилей в Китае. С января по март 2024 года совокупные продажи Honda в Китае составили 206 907 единиц, что на 6,1% меньше, чем за тот же период годом ранее. Совокупный объем продаж автомобилей Guangqi Honda с января по март 2024 год...

Группа компаний «Элемент» не справляется с растущим спросом на микроконтроллер MIK32 «Амур» Компания работает в полную мощность на своих производственных площадках, но этого недостаточно, чтобы удовлетворить спрос. Генеральный директор Юрий Коновалов подтвердил информацию и добавил, что компания рассматривает возможность строительства нового завода для увеличения м...

Минпромторг и Росстандарт разработают новые стандарты для радиоэлектроники Министерство промышленности и торговли России, совместно с Росстандартом, приступило к разработке новых стандартов для радиоэлектроники. Как сообщил замминистра Василий Шпак, проект концепции будет представлен к 30 сентября.

Google готовится к экспансии Windows on Arm? Компания наконец-то выпустила Chrome для этой ОС Компания Google решила подготовиться к новому этапу развития ПК процессорами Arm и Windows, выпустив наконец-то браузер Chrome для такой ОС. ПО будет доступно на этой неделе на соответствующих ПК, которые уже есть на рынке. Правда, только на тех, которые основаны на So...

Virgin Galactic сообщила об инциденте во время последнего суборбитального полёта: штифт отсоединился от самолёта-носителя, но не представлял угрозы безопасности Космическая компания Virgin Galactic заявила 5 февраля, что 31 января уведомила Федеральное управление гражданской авиации (ФАУ) о произошедшем инциденте во время суборбитального полёта Galactic 06, который состоялся 26 января с космодрома Америка в Нью-Мексико. Компани...

Поставщикам комплектующих для АвтоВАЗа выделили из бюджета треть миллиарда рублей Трём крупным поставщикам АвтоВАЗа выделили суммарно 300 млн рублей, которые будут потрачены на расширение производства, о чем пишет quto.ru. Средства были выделены Минпромторгом РФ из бюджета, как сообщило ведомство в своем Telegram-канале. Деньги получили компании &laq...

Emirates раскритиковала Boeing за качество: авиакомпания впервые в истории направит своих инженеров контролировать сборку самолётов Глава авиакомпании Emirates, Тим Кларк, высказал критику в адрес американской компании Boeing, указав на снижение качества ее самолетов. Как пишут «Известия», в интервью Financial Times он вспомнил скандальную историю с Boeing 737 MAX, у которого во время по...

Toyota вложит $1,4 млрд в своё производство электромобилей в США Японская компания Toyota вложит $1,4 млрд в строительство новой инфраструктуры и запуск новых производственных мощностей на своём втором заводе в США.

Слух: Microsoft может выпустить Xbox Next в 2026 году В сентябре 2023 года в сеть утёк внутренний документ Microsoft, в котором описывалась новая модель игровой консоли Xbox Series X под кодовым названием «Brooklyn». От оригинальной модели она должна была отличаться отсутствием дисковода, поддержкой Wi-Fi 6E и SSD-накопителем ...

«Росэлектроника» показала работу «цифрового двойника» с искусственным интеллектом Холдинг «Росэлектроника» Госкорпорации Ростех впервые продемонстрировал работу программной платформы «Цифровое производство» с элементами искусственного интеллекта. Решение позволяет создавать цифровые двойники изделий, технологических процессов и всего предприятия, а планир...

Чудовищный GPU Nvidia Blackwell обошёлся компании в чудовищную сумму. Инвестировано 10 млрд долларов, а производство одного GPU обходится в 6000 долларов Похоже, на создание огромного GPU Blackwell компания Nvidia потратила очень много денег.  Сообщается, что Nvidia инвестировала в разработку нового решения колоссальные 10 млрд долларов. Учитывая ажиотажный спрос на ускорители для ИИ и позиции Nvidia на этом р...

Новый суперчип AMD для ИИ попал под запрет на экспорт в Китай Компания AMD упомянула ранее неизвестный чип MI388X для AI-вычислений в официальных документах для регуляторов. Эксперты предполагают, что это полукастомный продукт для особых заказчиков, и что ему запрещено продаваться в Китае из-за санкций США.

Nvidia представила программную платформу NIM для для оперативного развертывания AI-моделей На конференции GTC Nvidia анонсировала новую программную платформу Nvidia NIM, предназначенную для оптимизации развёртывания пользовательских и предварительно обученных моделей искусственного интеллекта в производственных средах. Источник: Nvidia Как правило, на р...

[Перевод] Футуристический план производства стали с помощью ядерного синтеза Крупнейшая американская сталелитейная компания делает ставку на то, что ядерный синтез поможет ей избавиться от выбросов углекислого газа и обеспечить энергией один из самых энергоёмких производственных процессов в мире.В рамках первого в своём роде партнёрства между крупной...

Nvidia впервые оказалась дороже, чем онлайн-гигант Amazon Reuters со ссылкой на данные фондовой биржи Nasdaq сообщила о росте акций Nvidia даже по отношению к такому гиганту, как Amazon.

Узел Intel 14A обеспечивает 15-процентное улучшение по сравнению с 18A, A14-E добавляет еще 5 % Intel перестраивает свое литейное производство, и компания намерена стать сильным соперником для таких конкурентов, как TSMC и Samsung

AMD "Zen 5c" производятся на более продвинутом 3 нм узле, чем "Zen 5" По сообщениям китайского издания UDN, компания AMD создает свои грядущие процессорные ядра "Zen 5" и "Zen 5c" на двух разных литографических узлах

Всё никак не договорятся: завод Hyundai в Санкт-Петербурге продлил режим простоя Южнокорейская компания Hyundai продлила период простоя своего завода в Санкт-Петербурге до 30 ноября 2023 года. Эту информацию предоставили в Центре занятости населения Петербурга в ответ на запрос ТАСС. «ООО "Хендэ мотор мануфактуринг рус" сообщило о ре...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

«Систэм Электрик» представляет новую линейку автоматических выключателей для защиты двигателя SystemePact GM2 Российская производственная компания «Систэм Электрик» (Systeme Electric), производитель комплексных решений в области распределения электроэнергии и автоматизации, представляет новую линейку автоматических выключателей SystemePact GM2.

Корпорация Intel представила новые литографические узлы Intel 14A (1,4 нм) и Intel 3T Intel Foundry также получила поддержку от партнеров по экосистеме, включая Synopsys, Cadence, Siemens и Ansys

[Перевод] Как можно случайно баллотироваться на пост президента Исландии? Чтобы баллотироваться на должность президента Исландии, нужно быть гражданином этой страны в возрасте от 35 лет и собрать от 1 500 до 3 000 подписей избирателей. Впервые в истории Исландии этот процесс сбора подписей стал цифровым. Теперь, избегая традиционной бумажной вол...

Наконец-то заметный скачок: Samsung Galaxy Watch 7 получат очень быструю платформу и смогут работать долго без подзарядки По данным аналитика The Galox, однокристальная система Exynos W940, которая будет установлена в Samsung Galaxy Watch 7, будет примерно на 50% эффективнее и на 30% быстрее, чем SoC в предыдущей модели. Помимо обновления чипсета, Galaxy Watch 7, как сообщается, будут имет...

Первая производственная база в Китае, достигшая углеродной нейтральности при вводе в эксплуатацию — запущен новейший завод Audi На прошлой неделе в Чанчуне, провинции Цзилинь, состоялась церемония запуска Audi FAW New Energy Vehicle Co., Ltd., первого завода по производству полностью электрических моделей Audi в Китае. Согласно официальным сообщениям, новая площадка планирует иметь годовую произ...

Рано радовались: Windows 12 не выйдет в 2024 году Слухи приписывали Microsoft выпуск в текущем году операционной системы Windows 12: предполагалось, что разработчики вновь перешли на цикл выпуска новой ОС каждые три года, и в таком случае появление Windows 12 в 2024 году было логичным. Но, как сообщил ресурс Windo...

Основатель TSMC считает, что компании необходимо продолжать расширение производственных мощностей Для удовлетворения растущего спроса на высокотехнологичные чипы, тайваньскому гиганту может понадобиться до 10 новых заводов

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Это революция, чип Neuralink впервые имплантировали человеку Изделие, которое находилось в разработке с 2016 года, до сих пор испытывали только на животных. Теперь чип готов для использования в людях. 

В России приблизились на шаг к отечественной широкополосной спутниковой связи Запуск на орбиту первых российских спутников связи стандарта 5G NTN означает два важных момента. Россия продолжает разработку своей системы широкополосной спутников связи с глобальным покрытием. Во-вторых, Россия в состоянии производить соответствующие космические аппараты, ...

Xiaomi объединилась с ARM для разработки собственного процессора Стало известно, что Xiaomi начала подготовку к разработке собственного процессора. Компания стала новым партнёром ARM после того, как с арены создания чипов ушла Oppo, так и не добившись результатов.

Arc Boat представила новую электрическую лодку Arc Sport за 24 млн рублей Компания Arc Boat представила свою новую модель — Arc Sport. Это спортивная лодка с двумя электромоторами, которая может развивать скорость до 70 узлов и преодолеть до 200 миль на одном заряде. Лодка имеет изящный дизайн, комфортный интерьер и современную электронику. Arc Sp...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Завод, выпускающий Solaris в России, попросил Hyundai возобновить местное производство стального проката и двигателей «Автомобильный завод АГР», который возобновил выпуск машин под новым брендом Solaris в Санкт-Петербурге, обратился к партнёрам Hyundai с целью начала сотрудничества по поставке стального проката и двигателей. Издание The Korean Economic Daily подтверждает ин...

Компания АО «Р7» опубликовала предварительные итоги 2023 года Российская компания АО «Р7», которая занимается разработкой офисного ПО с расширенным функционалом для совместной работы и коммуникации, сообщила о предварительных результатах деятельности в 2023 году. Выручка компании возросла более чем на 100 за период январь-ноябрь, в сра...

Samsung больше не хочет GPU AMD? Компания намерена создать собственное графическое ядро и отказаться от решений AMD Компания Samsung может прекратить сотрудничество с AMD в области GPU, то есть перестанет использовать соответствующие графические ядра в своих мобильных платформах.  Инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заяв...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Intel готовится выпустить новые GPU уже в этом году В производственных кругах обсуждается новое поколение графики Intel ARC Battlemage. Обсуждение проходит на конференции Embedded World 2024, где компания продвигает встраиваемые решения на основе графики ARC Alchemist, однако вне конференц-зала обсуждаются планы о выпуск...

Запас хода 29 200 км и 7000 машин на борту. Гигантское судно BYD впервые вышло в Атлантический океан Первое транспортное судно BYD Explorer No.1., предназначенное для перевозки автомобилей, впервые вышло в Атлантический океан через мыс Доброй Надежды и направляется в Европу. Напомним, компания BYD Auto сообщила, что 15 января 2024 года из международного логистического ...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Huawei Pura 70 полностью независим от иностранных технологий Компания Huawei совсем недавно представила серию смартфонов Pura 70 в Китае, предоставив пользователям смартфон, который практически полностью не зависит от иностранных компонентов. Согласно отчёту специалистов из данной области, новые смартфоны компании содержат больше комп...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

Bosch придётся сократить 1500 рабочих мест, чтобы подстроиться под автомобильный рынок Bosch необходимо сократить до 1500 рабочих мест на двух своих немецких предприятиях к 2025 году, чтобы «адаптировать уровень персонала к меняющемуся спросу и технологиям в автомобильном секторе», заявили в компании. О сокращении рабочей силы впервые сообщила...

Слух: Новое поколение приставок Xbox может стать портативным В Сети продолжает появляться всё больше информации о работе игрового подразделения Microsoft над улучшением их консоли Xbox. Так всплыли слухи о том, что многие эксклюзивные проекты потеряют этот статус и выйдут на консолях от Sony и Nintendo — подтверждение этой информации ...

Новый УАЗ «Патриот» будет сильно модернизирован Глубоко модернизированный внедорожник УАЗ «Патриот» будет выпущен в 2025 году, о чем сообщил инсайдерский Telegram-канал «Автопоток» со ссылкой на источник на предприятии. Работы над машиной выполняются совместными усилиями, расположенными в Улья...

Китайский инвестиционный фонд Big Fund II вкладывает $1 млрд в HLMC для развития микросхем Китай активно развивает свою электронную промышленность, инвестируя в компании, разработки и кадры. Планы страны по созданию независимой производственной базы в электронике продолжают реализовываться, позволяя стране уверенно двигаться вперед.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

«Укрзализныця» сообщила о запуске международного поезда между Львовом и Варшавой Украина впервые за 18 лет запустила поезд с маршрутом между Львовом и Варшавой

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Гиганты космической индустрии Blue Origin и SpaceX устремили свои усилия на создание грузовых версий лунных аппаратов Компании Blue Origin и SpaceX начали работу над грузовыми версиями своих пилотируемых лунных посадочных аппаратов. Обе компании также имеют контракты с NASA на разработку посадочных аппаратов для космических полётов с экипажем. NASA использовало опции государственных на...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

САПР-платформа nanoCAD на ОС Linux: что может и чего ждать. Впервые в открытом диалоге с разработчиком На форуме «СИЛА ПЛАТФОРМЫ» компания «Нанософт» анонсировала выход специальной версии Платформы nanoCAD для отечественной операционной системы Астра Линукс. Релиз нового продукта состоялся 24 октября 2023 года.Новость стала самой обсуждаемой среди участников форума и пользова...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Apple смогла разработать свои CPU и GPU, но не можем создать модем. Сообщается, что компания прекращает работу в этом направлении Компания Apple, возможно, прекратит попытки создать собственный модем 5G.   Напомним, компания работает над собственным модемом уже несколько лет, но постоянно сдвигает планы относительно выпуска такого продукта. В частности, соответствующий бизнес Intel был купле...

Вакуумные струйные насосы: устройства простые на вид, но таинственные по физике работы     Струйные насосы-эжекторы В статье про тепловые узлы домов уже рассматривался элеваторный узел как вариант использования водоструйного насоса с приводом от напора тепловых сетей.Элеватор вовсе не уникальное устройство, а лишь одна из версий применения ...

Создан компакт-диск, вмещающий 200 ТБ Научный журнал Nature сообщил о впечатляющей разработке китайских учёных.

Neomorph объявил о сотрудничестве с Novo Nordisk по разработке новых деградаторов белка Компания Neomorph, Inc. объявила о заключении соглашения о сотрудничестве и лицензировании с глобальной медицинской компанией Novo Nordisk с целью выявления, разработки и коммерциализации деградаторов белка (молекулярных клеев). Neomorph — биотехнологическая компания, занима...

Настоящий флагман Realme и первый смартфон компании с перископическим телеобъективом получит Snapdragon 8 Gen3 Известный инсайдер Digital Chat Station сообщает, что Realme собирается выпустить настоящий флагманский смартфон Realme GT5 Pro, оснащенный однокристальной системой Snapdragon 8 Gen3. По словам инсайдер, Realme GT5 Pro получит «большую круглую линзу по центру&raqu...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Запас хода 29 200 км и 7000 машин на борту. Первое судно BYD доставлено заказчику и готово к эксплуатации 9 января первый автомобильный перевозчик BYD, BYD Explorer №.1, был доставлен заказчику. Судно ро-ро (ролкер), построенное CIMC Raffles, дочерней компанией CIMC Group, было передано в эксплуатацию международной судоходной компании Zodiac Maritime и сдается в аренду искл...

«Москвич» попробует ещё раз. Завод установил на 2024 год такой же план, который провалили в 2023 Директор по производству Московского автозавода Олег Масляков заявил, что «Москвич» планирует наращивать производство параллельно с развитием продаж и расширением дилерской сети. «Производственный план автозавода „Москвич“ на 2024 год &mdas...

«За качество фото Galaxy S24 Ultra можно не беспокоиться». Известный инсайдер ознакомился с образцами фото, сделанными камерой будущего флагмана Samsung Известный инсайдер Ice Universe несколько дней назад сообщил о том, что у Galaxy S24 Ultra не будет телеобъектива с 10-кратным оптическим зумом, а сейчас он продолжил тему: по словам информатора, на качестве фото это особо не скажется. «Что касается образцов, кот...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

В Министерстве Обороны впервые официально сообщили о выполнении задач вертолётами Ка-52М Обновлённая версия Ка-52М имеет более крепкое бронирование и защиту экипажа и не теряет при этом в манёвренности

В Министерстве обороны впервые официально сообщили о выполнении задач вертолётами Ка-52М Обновлённая версия Ка-52М имеет более крепкое бронирование и защиту экипажа и не теряет при этом в манёвренности

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Для PCIe 5.0 и PCIe 6.0 будут использоваться кабели CopprLink PCI-SIG сообщила о начале разработки очередных версий стандарта PCIe.

Компания NVIDIA представила микросервисы для технологии NVIDIA Avatar Cloud Engine (ACE) Компания NVIDIA представила производственные микросервисы для своей технологии NVIDIA Avatar Cloud Engine (ACE)

«Камера OnePlus 12 достигла нового пика в визуализации, превзойдя Pro-версии других смартфонов», — в OnePlus заявили, что о новинки не будет конкурентов в своей категории Ли Цзе, президент OnePlus China, опубликовал статью, в которой говорится, что в этом году исполняется 10 лет OnePlus, а OnePlus 12 является флагманским достижением десятилетия. Ли Цзе сказал: «Я с уверенностью могу сообщить вам, что камера OnePlus 12 достигла ...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

«iPhone в мире искусственного интеллекта». Создатели iPhone и ChatGPT хотят привлечь 1 млрд долларов к созданию революционной новинки OpenAI ведет переговоры с бывшим дизайнером Apple Джони Айвом и японским технологическим гигантом SoftBank о финансировании проекта стоимостью 1 миллиард долларов по созданию «iPhone в мире искусственного интеллекта», о чем сообщило издание Financial Times в...

В Сириусе откроется производственная аптека для изготовления лекарств На базе Университета «Сириус» в ноябре 2024 года будет открыта производственная аптека, специализирующаяся на изготовлении препаратов от редких заболеваний. Об этом сообщили в пресс-службе федеральной территории. Аптека будет занимать примерно 3 тысячи квадратных метров...

Внедрение pet-проекта в реальный бизнес или как в одиночку написать ERP-систему для общепита Всем добра! Данную статью меня побудило написать сильное желание, во-первых, зафиксировать некоторые результаты своего профессионального развития и личного опыта помимо основной работы, а также впервые на столь широкой сцене как Хабр, рассказать вам о нюансах развития своего...

Представлена SoC MediaTek Dimensity 9300+ MediaTek анонсировала новый процессор Dimensity 9300+. Как следует из названия, это разогнанная версия Dimensity 9300, выпущенного в прошлом году. ОсобенностиMediaTek Dimensity 9300+ основан на 4-нм узле TSMC третьего поколения (вероятно, N4P) и, в значительной степени…

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Хирурги на Земле удаленно управляли роботом на МКС Хирурги с Земли впервые управляли небольшим роботом на борту Международной космической станции (МКС). Эксперимент, проведенный на резиновых лентах, считается «огромным успехом» и является новым шагом в разработке космической хирургии, которая может потребоваться для лечения ...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

В Agoravox провели анализ новой газовой геополитики ЕС Представители французских СМИ провели анализ новой газовой политики ЕС. Действия европейских властей свелись к тому, что поставки газа в Европу начнутся с юга через Турцию, которая станет новым транспортным узлом для транспортировки газа, заменив тем самым Германию.

В России при нефтедобыче применили разработку «Тополя» и «Ярса» В России впервые был применен отечественный комплекс гидроразрыва пласта для нефтедобычи, разработанный специалистами, занимавшимися созданием стратегических ракет.

«Пользователи смогут общаться с чат-ботом, будто это персональный тренер» Обозреватель Александр Леви рассказывает об использовании искусственного интеллекта Fitbit AI для персонализации коммуникации в чат-ботах. Google сообщила о разработке фитнес чат-бота на базе искусственного интеллекта Fitbit AI. Из названия нетрудно понять, что в проекте с ...

Uphold будет функционировать как поставщик ликвидности Ripple Саймон Маклафлин, генеральный директор Uphold, заявил, что роль его компании в недавно установленном партнерстве с Ripple заключается в том, чтобы функционировать в качестве узла в сети поставщиков ликвидности Ripple

Иностранные банки блокируют исходящие и входящие платежи АвтоВАЗа из-за санкций США. Так поступают даже дружественные страны Иностранные банки блокируют платежи АвтоВАЗ из-за включения компании в список санкций США (SDN List), о чем сообщил президент предприятия Максим Соколов. Подобные действия стали причиной новых отказов со стороны зарубежных поставщиков, субпоставщиков и финансо...

Мишустин сообщил о разработке российской криогенной силовой установки, в 10 раз мощнее существующих Её планируют использовать для самолётов.

Популярные клавиатуры и мышки Microsoft всё-таки останутся на рынке, но под другим брендом В апреле компания Microsoft объявила о том, что больше не будет выпускать периферию под своим брендом, а заменит его на бренд Surface. При этом линейка продуктов также изменится. Оказалось, что для поклонников старых моделей клавиатур и мышек именно от Microsoft не всё ...

Сотни тысяч новейших Honda Accord и HR-V 2023 и 2024 годов отзывают из-за ремней безопасности Honda отзывает более 300 000 автомобилей в США, поскольку в их ремнях безопасности может отсутствовать критически важный компонент. По данным Национальной администрации безопасности дорожного движения, Accord и HR-V моделей 2023 и 2024 годов могут быть оснащены преднатя...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Пошаговая инструкция создания нового вида контроля в «1С: Управление холдингом» Привет, Хабр! Меня зовут Донскова Елена, я работаю разработчиком на проектах внедрения 1C в IBS, занимаюсь разработкой нового функционала и модифицирую типовой функционал для удовлетворения потребностей бизнеса. Сегодня я расскажу о том, как создать новый вид контроля в сист...

Amazon вложит миллионы долларов в разработку своей модели ИИ «Олимп» Amazon подтвердил слухи о разработке новой «амбициозной» модели ИИ под названием Olympus. Компания уже вложила в разработку «миллионы долларов».

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Компания Star Plast наращивает производство филаментов для 3D-печати Предприятие базируется в Безенчукском районе Самарской области. Новые производственные линии позволят нарастить производство в десять раз, до семидесяти килограмм в час. Параллельно планируется увеличить штат сотрудников в два раза.

Samsung может отказаться от GPU AMD в пользу собственных разработок В последнее время появляются сообщения о возможных планах компании Samsung по разработке собственного уникального графического процессора, что может привести к изменению условий сотрудничества с компанией AMD.

Китай прорывает блокаду в битве за чипы? Страна планирует удвоить производство в течение пяти лет Масштабная конкуренция между производителями микросхем усиливается из-за весьма активного наращивания производственных мощностей Китаем. Поднебесная прикладывает серьезные усилия для достижения ведущей роли в индустрии разработки и производства электроники. Потребители от т...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Varta AG временно остановила производство из-за кибератаки на IT-системы компании Немецкая компания Varta AG, производитель батарей, отключила системы от интернета и остановила производственные линии

Новинка от UltiMaker: FDM 3D-принтер Factor 4 - новый стандарт промышленной 3D-печати Компания UltiMaker объявила о выпуске промышленного 3D-принтера UltiMaker Factor 4, призванного вывести производство на новый уровень эффективности и надежности. Factor 4 - это комплексное решение для 3D-печати в легкой промышленности.3D-принтер UltiMaker Factor 4 предназнач...

Node Pools в AKS Привет, Хабр!В Kubernetes кластер состоит из множества узлов (nodes), которые представляют собой виртуальные или физические машины, на которых запущены приложения. Node Pools — это группы узлов с одинаковой конфигурацией, управляемые как единое целое. С Node Pools можно имет...

МФТИ создал предприятие для разработки решений в области ИИ Холдинг «Т1» и Московский физико-технический институт (МФТИ) сообщили о запуске совместного предприятия «Квантовые и оптимизационные решения» (СП «КОР»), которое будет заниматься разработкой инновационных продуктов в области математики и искусственного интеллекта. Это сотруд...

Какого специалиста искать для озвучания игры? Звуковая команда: структура и обязанности Приветствую всех, кто интересуется разработкой игр!Начиная с момента, когда я впервые попал в мир геймдева в 2008 году, я увидел, как игровой саунд-дизайн совершенствуется и становится важной частью игр. Мой путь в области игрового аудио начинался с работы техническим с...

Авангард метавселенных решил акцентировать внимание на ИИ На ключевом мероприятии Connect компании Meta* генеральный директор Марк Цукерберг сместил акцент с термина «метавселенная» на новые возможности компании в области искусственного интеллекта. Хотя он и упомянул о метавселенной, большая часть его выступления была посвящена инс...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Производство композиционных материалов в Томске увеличилось в 1,2 раза Научно-производственная компания «Полимер-компаунд» в Томске увеличила производство композитных материалов благодаря поддержке Фонда развития промышленности. Новое отделение будет заниматься производством материалов для различных отраслей, таких как кабельная, электротехниче...

Первый на Xiaomi HyperOS. Объём запасов Xiaomi 14 будет очень большим Объем запасов серии флагманских смартфонов Xiaomi 14 будет очень большим, а внутренняя уверенность компании в новую линейку является максимальным. Об этом сообщил инсайдер Digital Chat Station. Xiaomi 14 станет первым смартфоном компании, который получит новую операцион...

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Россия готова выпускать Lada на новых мощностях в Казахстане По итогам переговоров между Россией и Казахстаном, президент РФ Владимир Путин заявил о готовности России создать новые производственные мощности для автомобилей Lada в Казахстане. Это могут быть дополнительные заводские сооружения, где начнется выпуск автомобилей от ко...

Революционные технологии Apple: Прорывы в мире гаджетов Apple Inc., одна из ведущих технологических компаний в мире, всегда славилась своими инновационными продуктами, которые переворачивают представления о гаджетах. Компания неоднократно привносила на рынок новаторские технологии, которые становились показателем для всей отрасли...

Джордж Мартин сообщил о разработке 8 спин-оффов «Игры престолов» Один из них про Джона Сноу и его судьбу после финала оригинального сериала.

Кому не хватает диагонали? Apple планирует выпустить 20-дюймовый MacBook По словам аналитика Apple Минг-Чи Куо, Apple планирует выпустить 20-дюймовый MacBook со складным экраном примерно через три года. «Единственный складной продукт Apple с четким графиком разработки — это 20,3-дюймовый MacBook, который, как ожидается, поступит ...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Смартфон Nokia XR21 Limited Edition выпущен ограниченной партией Как было объявлено ранее в феврале, компания HMD Global начала производство смартфонов на территории Европы, и Nokia XR21 Limited Edition — это первое устройство, выпущенное непосредственно с новой производственной линии.

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Boeing сообщила о резком падении выручки из-за сокращения поставок самолётов Американская авиастроительная корпорация Boeing, которая в последнее время частенько утопает в скандалах, отчиталась о падении квартальной выручки впервые за 7 последних отчётных кварталов.

Создатели Astra Linux планируют начать экспансию на международный рынок Российский разработчик IT-решений ГК «Астра» заявил о своём намерении начать экспансию на международный рынок в 2025 году. Об этом сообщил генеральный директор компании Илья Сивцев. «Уже в среднесрочной перспективе мы видим для себя возможность развити...

HUAWEI выпустила новые смартфоны серии P70 – 5-нм узел китайцы осилить пока не смогли Несмотря на это процессор Kirin 9010 демонстрирует отличные характеристики, а камера впечатлила экспертов и обычных пользователей

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

Не стоит бояться, что новые процессоры AMD обеспечат незначительный прирост производительности. CPU на Zen 5 уже тестируются клиентами AMD Чистая прибыль AMD выросла на 1000% и сравнялась с чистой прибылью Intel Компания AMD уже располагает образцами процессоров на архитектуре Zen 5, а некоторые клиенты AMD уже успели опробовать такие CPU и остались довольны.  Глава компании Лиза Су (Lisa Su) говорит...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Нобелевскую премию по химии впервые за 70 лет вручили ученому из России 10 декабря Нобелевскую премию по химии вручили «за разработку метода создания квантовых точек». Почти 70 лет ни один химик из СССР и России не получал Нобелевскую премию в этой дисциплине

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Стало известно, когда выйдет One UI 6.1.1 и что в ней будет нового One UI 6.1.1 выйдет в июле текущего года одновременно с новейшими смартфонами Samsung Galaxy Z Fold6 и Samsung Galaxy Z Flip6. Об этом сообщил инсайдер, известный под ником Ice Universe. По словам этого же информатора, «ключевым функциональным новшеством» O...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Японцы объединяются, чтобы противостоять США и Китаю: Toyota, Honda и Nissan буду вместе создавать ПО для машин Три крупнейших японских автопроизводителя - Toyota Motor, Honda Motor и Nissan Motor - объявили о планах начать совместную разработку программного обеспечения для автомобилей. Как сообщила газета Yomiuri Shimbun, компании намерены отказаться от индивидуального создания ...

Выручка Foxconn в апреле увеличилась на 19% В апреле текущего года компания Foxconn, известная как основной сборщик продукции Apple, достигла рекордного уровня выручки, увеличив ее на 19% до $15,8 млрд. Этот успех отражает стратегическую адаптацию компании к меняющимся рыночным условиям и расширение ее производственны...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Секретная разработка Apple засветилась в коде tvOS 17.4 Компания не стала скрывать разработку homeOS.

Payday 3 окупила инвестиции на разработку всего за 2 недели Несмотря на неудачный запуск и продолжающиеся проблемы, издатель сообщил о том, что Payday 3 вернула свои деньги за две недели.

Подразделение электромобилей Ford сообщило об убытках в $1,3 млрд в первом квартале 2024 года Сообщается, что в настоящий момент разработки и продажи электромобилей являются убыточными для автопроизводителя.

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Xiaomi впервые показала внешний вид нового флагмана Xiaomi 14 Компания Xiaomi подтвердила, что флагманская серия Xiaomi 14 появится уже в этом месяце.

Выпущена первая отечественная серийная газовая турбина мощностью 170 МВт Российская энергомашиностроительная компания «Силовые машины» завершила изготовление первой серийной газовой турбины большой мощности ГТЭ-170 в комплекте с генератором и котлом-утилизатором, она была заказана для Нижнекамской ТЭЦ. «Силовые машины»...

Проблематика RaaS или почему вымогатель BlackCat так быстро прогрессирует Приветствую, читатели. Не так давно в сети была обнаружена новая версия вымогателя BlackCat, и на этот раз злоумышленники начали использовать передовой инструмент под названием Munchkin. Он позволяет вредоносу развертываться из виртуальной среды на другие сетевые устройства....

ФПК «Гарант-Инвест» полностью погасила выпуск облигаций на сумму 1,5 млрд рублей АО «Коммерческая недвижимость ФПК «Гарант-Инвест» сообщила о полном погашении биржевых облигаций серии 002Р-03 на 1,5 млрд руб. и выплате квартального купона. АО «Коммерческая недвижимость ФПК «Гарант-Инвест» впервые начало привлекать финансовые средства на рынке облигаций в...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Honda просит сотрудников раньше выйти на пенсию, чтобы сократить штат в Китае Японский автопроизводитель Honda сообщил в среду, 15 мая, о сокращениях штата, при этом представитель компании заявил, что компания пытается договориться о добровольных уходах. Это решение Honda последовало за чередой неудач для старых японских автомобильных брендов в К...

Apple отменила разработку собственного электромобиля после 10 лет разработки Кажется, один из самых амбициозных проектов Apple провалился. После 10 лет работы, компания решила закрыть разработку своего электромобиля.

Помните нелепого человекоподобного робота Boston Dynamics Atlas? Его разработку официально отменили Во вторник компания Boston Dynamics, принадлежащая Hyundai, официально сняла с разработки своего человекоподобного робота Atlas.

IonQ открыла первый завод квантовых компьютеров в США Будущее вычислений получило ощутимый толчок к развитию благодаря открытию первого в США специализированного производственного комплекса для квантовых вычислений. Новый объект представляет собой в том числе значительную веху для компании IonQ.

В России выходит новый китайский кроссовер дешевле 3 млн рублей Компания Ixen Motors Rus официально сообщила о том, что она собирается выпустить в России новый китайский кроссовер бренда Forthing. На странице российского представительства в соцсети «ВКонтакте» опубликовано следующее сообщение: «12 марта 2024 года п...

Ретро-шутер Ion Fury от студии Voidpoint получил долгожданное продолжение Aftershock Voidpoint анонсировала Ion Fury: Aftershock еще несколько лет назад, в рамках Realms Deep 2020, но разработка расширения сильно затянулась. 2 октября 2023 года долгожданное DLC наконец-то вышло в релиз в Steam со скидкой в 10% на первое время (до 9 октября). Ion Fury: A...

Из Apple уйдёт главный дизайнер, разрабатывавший iPhone, iPad и другие устройства Издание Bloomberg сообщило об уходе из Apple исполнительного директора компании, который отвечал за разработку дизайна iPhone, iPad, Apple Watch и AirPods. Это, по сути, главный дизайнер. Имя менеджера Танг Тан.

Массовое производство Xiaomi 15 и Xiaomi 15 Pro стартует в сентябре, официальная премьера — в октябре Инсайдер Digital Chat Station рассказал о прогрессе в разработке новых флагманов Xiaomi — Xiaomi 15 и Xiaomi 15 Pro. По словам информатора, в апреле обе модели перейдут на новую стадию тестирования, к тому времени некоторые спецификации смартфонов будут окончател...

Китайская компания Phytium выпускает 64-ядерные серверные процессоры, несмотря на санкции США Компания Phytium впервые за два года анонсирует новые процессоры

Хакеры сами сообщили регуляторам о жертве, которую она взломали Печально известная группа разработчиков программ-вымогателей AlphV предприняла беспрецедентный шаг, чтобы оказать давление на свою жертву - компанию MeridianLink, сообщив о ней в Комиссию по ценным бумагам и биржам США (SEC).

Team Group выпустила промышленный твердотельный накопитель P745 Gen 4 Компания Team Group выпустила промышленный твердотельный накопитель P745, который объединяет в себе передовые технологии и производственные процессы

Для iOS появился Arc Search — браузер с фокусом на поиск с помощью ИИ Портал The Verge сообщил о выходе iOS-приложения под названием Arc Search — сочетания функционала браузера и поисковой машины с алгоритмами ИИ. Об этом сообщила компания-разработчик The Browser Company.

Во Владимирской области сформируют научно-производственную роту из срочников В июне в Владимирской области начнётся создание научно-производственной роты из призывников для усиления выполнения государственного оборонного заказа. Это нововведение направлено на повышение эффективности работы оборонных предприятий и вовлечение молодых специалистов с выс...

Сюрприз от Xiaomi: владельцы Xiaomi Mix 4 получили HyperOS Когда Xiaomi сообщила о прекращении разработки MIUI для Xiaomi Mix 4 в прошлом году, компания не предупредила владельцев, что они получат новейшую прошивку HyperOS. Но именно это и случилось, о чем сообщают пользователи, получившие обновление размером 5,4 ГБ. Судя по от...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

SpaceX и Blue Origin начали разработку грузовых модификаций для космических кораблей Компаниям SpaceX и Blue Origin было поручено приступить к разработке грузовых модификаций космических кораблей для доставки грузов на поверхность Луны.

CycloTech рассказала о разработке eVTOL CruiseUp, оснащенном катушками для взлета После 15 лет разработки и тестирования прототипов, компания CycloTech представила свой первый концептуальный летательный аппарат

Упаковка Google Pixel 8 подтвердила дизайн и характеристики смартфона На сайте Mydrivers опубликовали реальные фотографии упаковочной коробки смартфона Google Pixel 8. На верхней части коробки видно, что хотя расположение камер Pixel 8 такое же, как у Pixel 7, сами модули явно больше, чем у Pixel 7. Ожидается, что смартфон получит светочу...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Впервые за 30 лет зафиксировали случай заражения лошадиным энцефалитом Всемирная организация здравоохранения (ВОЗ) сообщила о первом с 1996 года случае заболевания западным конским энцефалитом (ЗКЭ) в Аргентине. Случай был подтвержден у 50-летнего мужчины, который живет и работает в сельской местности страны.

Nvidia представила новую платформу для ускорения запуска ИИ На конференции GTC компания Nvidia представила новую программную платформу Nvidia NIM, предназначенную для упрощения запуска пользовательских и предварительно обученных моделей искусственного интеллекта в производственных средах. NIM объединяет оптимизированные модели и движ...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

NASA разрабатывает реактивный двигатель для первого гибридно-электрического авиалайнера О начале разработке новой концепции реактивного двигателя для сверхэффективных и экологичных авиалайнеров будущего сообщило NASA. Одной из ключевых технологий будет Hybrid Thermally Efficient Core (HyTEC).

Rocket Lab готовит первую ступень своей ракеты Electron к повторному запуску 31 января ракета компании Electron успешно вывела на околоземную орбиту четыре частных спутника, затем первая ступень благополучно приводнилась в океане. После этого Rocket Lab выловила ускоритель и доставила его для проведения проверок и анализа. Rocket Lab уже не...

TourBox NEO – идеальный инструмент для работы и творчества Компания МакЦентр рада сообщить об открытии нового продуктового направления. В соответствии с соглашением, подписанным с компанией TourBox Tech Inc, МакЦентр стал официальным дистрибьютором продукции ...

Российские ученые создадут систему обезличивания данных Советник по стратегии АНО «Национальный технологический центр цифровой криптографии» Петр Ганелин во время 11-ого Южного форума информационной безопасности «Инфофорум-Сочи» сообщил о разработке в России системы обезличивания данных. Эту новую систему предполагается использов...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Это первое судно BYD: оно сможет перевозить 7000 машин BYD отчиталась о том, что ее судно ро-ро (ролкер) завершило свои первые семидневные ходовые испытания в Яньтае, Шаньдун. Guangzhou Shipbuilding International, дочерняя компания Китайской государственной судостроительной корпорации, в начале этого года начала строительст...

Apple отказалась от выпуска электрокара Вероятно, вы слышали, что компания Apple в тайне работала над автономным автомобилем, который должен был отправиться в релиз в обозримом будущем. К сожалению, сегодня появилось сообщение, что компания отменяет все разработки в данном направлении, и команды, работающие над эт...

Boston Dynamics показала совершенно нового электрического андроида Atlas Технологическая компания Boston Dynamics вышла на новый уровень развития с выходом электрической версии своего знаменитого робота-андроида Atlas. Сейчас планируется использовать новинку на производственных линиях автомобильного гиганта Hyundai. Электрический Atlas стал более...

Компания САТЕЛ построила pLTE-сеть для предприятия «Полиметалла» Внедрение частной LTE-сети (pLTE) на Амурском гидрометаллургическом комбинате (АГМК), одной из производственных площадок горнорудной компании «Полиметалл», стало важным шагом в реализации стратегии цифровизации предприятия.

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Xiaomi не собирается копировать популярные в России Li L7, Li L8 и Li L9, и не работает над гибридной платформой Xiaomi официально прокомментировала ранее опубликованные сведения о том, что компания работает над 7 новыми моделями. Ван Хуа, менеджер отдела по связям с общественностью Xiaomi, заявил, что «новости не соответствуют действительности», а представитель Xiaomi...

После запуска Apple Vision Pro один из руководителей компании, Дэн Риччио, собирается на пенсию По словам Марка Гурмана из Bloomberg, бывший вице-президент и руководитель отдела разработки аппаратного обеспечения Apple Дэн Риччио сообщил коллегам, что он «близок к выходу на пенсию» после более чем 25 лет работы в компании. Джон Тернус сменил Риччио на ...

В Подмосковье запустили производство материнских плат, SSD и модулей оперативной памяти В подмосковном Фрязино компания «Инферит» начала производство компонентов для компьютерного и серверного оборудования на новой линии поверхностного монтажа. Новая линия поверхностного монтажа на собственном заводе компании позволяет осуществлять сборку матер...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Российские учёные создают покрытие для проделния срока службы авиадвигателей В Московском авиационном институте (МАИ) разрабатывают покрытие для защиты новой линейки российских авиадвигателей и энергетических установок от преждевременного износа. Учёные отмечают, что срок службы авиационного двигателя во многом определяется износостойкостью трущ...

Как 3D принтеры используются в промышленных исследованиях и разработках? Руководство по покупке промышленного 3D принтера В этой статье вы узнаете, как 3D принтеры используются в разных отраслях и на что следует обратить внимание при выборе оборудования для каждой области промышленности.А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подроб...

«Росэлектроника» поставила новейшее телекоммуникационное оборудование для технического переоснащения МЧС России В течение двух лет министерству были переданы мобильные узлы связи и комплексы оповещения, а также более 2 000 радиостанций профессиональной радиосвязи стандарта DMR.

Ученые доказали невозможность разработки стабильного алгоритма ИИ Ученые из Копенгагенского университета впервые в мире математически доказали, что невозможно разработать стабильно работающие алгоритмы искусственного интеллекта (ИИ), если не учитывать различные фундаментальные проблемы

Iris Energy увеличила производительность майнинга Согласно данным GlobeNewsWire, майнинговая компания Iris Energy Limited, зарегистрированная на Nasdaq под тикером IREN, существенно повысит свои производственные показатели

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Раскол в отношениях Blue Origin и Sierra Space в рамках партнёрства по созданию космической станции Переброс команды с проекта Orbital Reef показывает непростое состояние планов космической индустрии по созданию замены МКС, работающей при участии нескольких государственных космических агентств, стоимость которой на сегодня превысила $100 миллиардов. Руководитель прогр...

В Подмосковье откроют завод по восстановлению двигателей Фирма «Механика» откроет в Подмосковье завод по поточному восстановлению двигателей внутреннего сгорания, о чем сообщает инсайдерский телеграм-канал «Автопоток» Инвестиции в проект составляют 400 млн рублей, старт работы предприятия запланирован ...

Экономия до 6 млрд евро: Mercedes отказалась от создания новой платформы роскошных машин из-за плохих продаж EQE и EQS Mercedes-Benz приостановила разработку платформы для роскошных электромобилей из-за плохих продаж EQE и EQS, о чем пишет IT Home со ссылкой на несколько источников. Первоначально платформу планировалось запустить в 2028 году, и она должна была использоваться для новых м...

Календарь Mail.ru поможет рассчитать отпускные В календаре Mail.ru появилась новая функциональность: теперь он умеет выполнять предварительный расчёт отпускных выплат. Производственный календарь позволит не только рассчитать сумму, но и подскажет, насколько это выгодно пользователю, указав потерю в доходе или его вы...

Колеса новых Honda Civic могут поворачиваться слишком сильно и это массовая проблема: отозвано более 175 000 машин Honda объявила об отзыве 176 410 автомобилей Civic 2022–2024 модельных годов. Эти автомобили оснащены рулевой рейкой, которая может привести к слишком сильному повороту передних колес и вызвать ДТП. Автопроизводителю впервые стало известно о проблеме в июле, после...

Российский оператор Tele2 сменит название и стиль Команда российского оператора Tele2 сообщила о планах провести ребрендинг до конца 2024 года. Как отметили в пресс-службе, компания уже разработала проект, сохраняющий преемственность с текущим брендом.  Иллюстрация: Tele2 Необходимость в ребрендинге Tele2 назрела...

Mitsubishi хочет назад? Компания зарегистрировала в России исключительные права на ASX, Outlander, Colt, Lancer и другие модели Mitsubishi Motors намекает на то, что в будущем она планирует возобновить официальные продажи своих актуальных моделей, о чем пишет инсайдерский телеграм-канал «Автопоток». Mitsubishi Motors (MMC) зарегистрировала в России исключительные права на торговые ма...

Впервые с 2020 года продажи смартфонов в РФ стали рекордными, по данным МТС В МТС сообщили, что продажи смартфонов в РФ стали рекордными впервые с 2020 года С января по ноябрь 2023 года в России было продано 27 млн устройств, рассказали в компании Российский рынок смартфонов показал рекордный результат продаж в штуках впервые с 2020 года, о чем...

Samsung разработала 12-стековую память в 36 ГБ для ускорения ИИ-алгоритмов Samsung сообщила о разработке чипа высокопропускной памяти (HBM) стандарта HBM3E. Объём памяти рекордный — 36 ГБ на стек.

Генштаб Израиля сообщил о высоком уровне боеготовности ЦАХАЛ на северной границе Ранее армия обороны Израиля сообщила о новом обстреле со стороны Ливана. ЦАХАЛ ведет ответный огонь.

TikTok заподозрили в тайной разработке своего ИИ на основе технологий OpenAI Обозреватель портала The Verge Alex Heath рассказал, что располагает доказательствами использования ByteDance, материнской компанией соцсети TikTok, API OpenAI для разработки собственной генеративной модели ИИ.

Система Directum RX поддерживает полный цикл работы с машиночитаемыми доверенностями Компания Directum выпустила интеграционный модуль к сервису «Контур.Доверенность» — узлу распределенной блокчейн-сети. Теперь пользователи Directum RX могут в едином информационном пространстве выпускать МЧД и управлять ...

АвтоВАЗ принял новое решение по LADA Vesta: производство панели приборов перенесут Большая задержка с перезапуском производства Lada Vesta была обусловлена переносом всего необходимого оборудования из Ижевска в Тольятти. Но, как оказалось, перенесли не всё: панели приборов по-прежнему производили в Ижевске, а в Тольятти осуществляли лишь досборку комп...

Китай лишился компании, которая занималась разработкой блоков для проектирования CPU, GPU и прочих чипов. Huaxia Chip подала заявку на банкротство Пока одни китайские компании по разработке чипов активно развиваются, другие неожиданно объявляют о банкротстве. Как сообщается, компания Huaxia Chip поступила именно так.  Компания Huaxia вошла в пятерку лучших китайских компаний, занимающихся разработкой инновац...

SK Hynix получил заказы на свои производственные мощности вплоть до конца 2025 года Компания является одним из ключевых игроков в сфере искусственного интеллекта

BMW X3, X4 и X5 отзывают из-за взрывающихся насосов Несколько сотен моделей BMW X3, X4 и X5 необходимо отремонтировать в Соединенных Штатах, поскольку они оснащены бракованными насосами для подушек безопасности Takata, которые могут разорваться. Компания сообщила, что 486 ее автомобилей были оснащены насосом Takata PSDI-...

Инсайдерам Windows 11 доступна новая версия приложения «Фотографии» на базе Windows App SDK Компания Microsoft начала тестирование новой версии приложения «Фотографии» для Windows 11, созданной с помощью Windows App SDK (WASDK). Новая версия получила номер 2024.11040.1002.0 и доступна инсайдерам на каналах Dev и Canary. Теперь приложение «Фотографии» использует ак...

Южная Корея начинает разработку корабельного ракетного комплекса Hyunmoo-IV-2 Южная Корея приступила к разработке нового корабельного баллистического ракетного комплекса Hyunmoo-IV-2, который планируется к внедрению к 2036 году. Разработка комплекса будет осуществляться на основе наиболее современных технологий и путём интеграции отечественных и заруб...

Мощность мотора – до 408 л.с., КПД – 92%. Раскрыты характеристики российской электромеханической трансмиссии для гибридов и электромобилей «Автопоток» опубликовал характеристики передовой (для России) электромеханической трансмиссии NAMI E-Transmission. Впервые о ней стало известно 10 назад: устройство демонстрировали главе Минпромторга Денису Мантурову. E-Transmission может использоваться как...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

10 декабря вручают Нобелевскую премию по химии — обладателем впервые за 70 лет стал ученый из России Нобелевскую премии по химии 10 декабря вручат «за разработку метода создания квантовых точек». Почти 70 лет ни один химик из СССР и России не получал Нобелевскую премию.

Microsoft прекратит разработку эмулятора Android для Windows 11 Подсистема Windows для Android, позволяющая запускать приложения для смартфона на ПК, неожиданно пропала из Microsoft Store. Компания подтвердила прекращение разработки некогда амбициозного проекта и рассказала, что это будет означать для пользователей.

Apple тайно начала скупать ИИ-компании для разработки своих языковых моделей Apple всерьёз взялась за разработку своих больших языковых моделей ИИ (LLM). Об этом говорят сделки по приобретению ИИ-стартапов и растущее количество вакансий для такой разработки.

Horizon Forbidden West выйдет на ПК в начале 2024 года Horizon Forbidden West: Complete Edition выйдет на PlayStation 5 в октябре 2023 года, а на ПК игра появится в начале 2024 года. Об этом сообщила студия Guerrilla Games в блоге PlayStation. Сообщается, что в комплект войдёт оригинальная игра, DLC Burning Shores и несколько ц...

Huawei Mate70 будет работать под управлением HarmonyOS NEXT: что это означает? Ожидается, что серия Huawei Mate70 будет работать под управлением операционной системы HarmonyOS NEXT и не будет поддерживать приложения Android. Об этом сообщил китайский инсайдер Fixed Focus Digital. Еще 18 января этого года на мероприятии Hongmeng Eco Thousand Sails ...

В российском каршеринге появились белорусские кроссоверы Belgee X50 Каршеринг «Делимобиль» сообщил о закупке кроссоверов Belgee X50 (то есть Geely Coolray первого поколения, локализуемых в Белорусии). Количество автомобилей не раскрыто, но в сервисе уточнили, что в парке представлено более 400 новых Belgee X50 и Geely C...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

В России будут выпускать флагманский кроссовер Chery Tiggo 9. Производство наладят на бывшем заводе Mercedes-Benz в Подмосковье Слухи о том, что автомобили Chery могут получить российскую прописку, ходят давно, причем в разных утечках фигурировали разные площадки. Но бывший российский завод Mercedes-Benz не упоминали — до сегодняшнего дня. О том, что на подмосковном заводе, который ранее ...

Intel планирует сделать свои передовые литографические узлы доступными для AMD, NVIDIA и Qualcomm Intel планирует сделать свои передовые литографические узлы доступными для компаний, таких как AMD, NVIDIA и Qualcomm

У смартфонов Huawei пропадёт поддержка приложений Android из-за новой HarmonyOS Компания Huawei объявила, что следующая версия фирменной ОС HarmonyOS уже готова к запуску. При этом HarmonyOS Next представляет собой кардинальное изменение по сравнению с прошлыми версиями.  Дело в том, что HarmonyOS Next представляет собой полностью собственную...

100 млрд долларов, и всё на искусственный интеллект. SoftBank анонсировала проект Izanagi, в рамках которого будет конкурировать с Nvidia Рынок искусственного интеллекта развивается взрывными темпами и, возможно, это лишь начало. Японский гигант SoftBank сообщил о том, что собирается запустить проект Izanagi с финансированием в 100 млрд долларов, чтобы изменить рынок ИИ.  создано DALL-E Многие детал...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Япония вкладывает миллионы долларов в разработку лунного модуля: японский стартап Ispace получил $80 млн на создание лунного посадочного аппарата нового поколения Основанная в Токио компания Ispace объявила 20 октября, что выиграла инновационный грант от Министерства экономики, торговли и промышленности на сумму $80 миллионов для работы над лунным посадочным аппаратом M3, который должен быть готов к запуску к 2027 году. Посадочны...

Проблема узлов решена: ученые научились прокладывать космические маршруты Исследователи из Университета Суррея разработали принципиально новый метод, использующий теорию узлов для оптимизации траекторий космических аппаратов и экономии драгоценного топлива.

Это новейший Tank 300 Hi4-T, и он может оказаться дешевле, чем ожидалось. Официальные фото и новые подробности Гу Юкунь (Gu Yukun), заместитель генерального директора бренда Tank, опубликовал в соцсети Weibo заметку, в которой коснулся ряда проблем, с которыми компании приходится сталкиваться при запуске в производство гибридного внедорожника Tank 300 Hi4-T. Свои слова он сопров...

МТС запустила Telecom Platform на замену 20-летней Foris МТС сообщила о запуске новой телеком платформы. Платформа заменит биллинговую систему Foris, которой компания пользовалась более 20 лет. Telecom Platform представляет собой комплексную систему, которая предоставляет компании возможности управления ресурсами и сервисами телек...

Apple отказалась от новых процессоров в iPhone В настоящее время компания Apple использует технологический процесс в 3 нм от компании TSMC для нескольких своих чипов, и компания вполне может использовать этот производственный процесс в течение нескольких последующих поколения смартфонов iPhone, так как особого смысла рва...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Французская компания Latitude привлекла $30 млн на разработку малой ракеты-носителя Французская компания Latitude, специализирующаяся на разработке небольших ракетных двигателей, привлекла $30 миллионов инвестиций для производства своих первых ракет. Это объявление было сделано 22 января, когда компания объявила об успешном раунде финансирования серии ...

Компания Framework сообщила о выпуске своего нового ноутбука Framework Laptop 16 Компания Framework сообщила о выпуске своего нового ноутбука Framework Laptop 16 и радуется первым отзывам

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

В России создали лазерный станок для обработки деталей газотурбинных двигателей По сообщению руководителя Департамента инвестиционной и промышленной политики Москвы Владислава Овчинского, столичный разработчик лазерных систем и оборудования — компания «Лассард» — по индивидуальному заказу Объединённой двигателестроительной к...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Автомобили BMW будут собирать человекоподобные роботы Робототехнический стартап Figure сообщил о подписании партнерства с BMW Manufacturing. В рамках сотрудничества двух компаний BMW начнет использовать человекоподобных роботов Figure на своем североамериканском заводе в Спартанбурге (штат Южная Каролина). Это первая комме...

VIS готовится потратить $2 млрд на строительство нового предприятия в Сингапуре Оно впервые в истории компании будет работать с кремниевыми пластинами типоразмера 300 мм.

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Землетрясение на Тайване нанесло ущерб TSMC в 62 миллиона долларов Однако повреждения не сильно сказались на производственной деятельности, и в работе находится до 80 процентов мощностей компании

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

МТС запустит технологию XGS-PON для сверхскоростного домашнего интернета Испытание оборудования на узле связи в Москве подтвердило техническую готовность МТС подключать в 2024 году к сверхбыстрому интернету квартиры в новых жилых комплексах при наличии договоренности с застройщиками.

[Перевод] Создание сквозного конвейера MLOps с помощью Open-source инструментов MLOps с открытым исходным кодом: TL;DR Эта статья служит целенаправленным руководством для специалистов по исследованию данных и инженеров ML, которые хотят перейти от экспериментального машинного обучения к готовым к производству конвейерам MLOps. Мы выявим ограничения трад...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Список FCC намекает на обновление Steam Deck, но с возможными проблемами безопасности На Twitter X Брэд "SadlyIsBradley" Линч сообщил, что ранее упомянутая загадочная модель Valve 1030 будет представлять собой обновленную модель Steam Deck "с новой картой Wi-Fi, поддерживающей диапазон 6E (6 ГГц)".

В России разработали мини-камеры для видеомониторинга Холдинг «Росэлектроника» госкорпорации Ростех начал испытания миниатюрных камер для технического зрения, которые призваны заменить зарубежные аналоги в роботизированных комплексах, системах видеомониторинга, сканирования и контроля производственных процессов...

Apple купит канадский ИИ-стартап для наблюдения за производством По информации издания Bloomberg, компания Apple добавила в свой список покупок еще одну стартап-компанию в области искусственного интеллекта — канадскую DarwinAI, специализирующуюся на технологиях компьютерного зрения для наблюдения за производственными процессами с целью по...

Intel сдаст в аренду свои производственные линии в Орегоне и Ирландии Вслед за тремя линиями в Аризоне, которые будут использоваться компанией UMC.

Стартап Blaize привлёк $106 млн для своих ИИ-чипов Blaize Inc., перспективная компания в области разработки чипов искусственного интеллекта, совершила значительный прорыв, привлекая $106 000 000 финансирования. Это событие произошло менее чем через полгода после объявления Blaize о планах выхода на биржу через слияние с...

Компания GameChange Solar открыла в Бразилии фабрику по производству солнечных трекеров GameChange Solar (GCS), ведущий глобальный поставщик передовых экономичных решений солнечных трекеров для устанавливаемых на грунт фотоэнергетических установок, объявил об открытии новой фабрики, центра обучения, обслуживания и технической поддержки исключительно своих солне...

От 0 до 80% всего за 5 минут: Toshiba представила новый аккумулятор Компания Toshiba объявила о разработке нового типа литий-ионного аккумулятора, который не содержит металлического кобальта.

Ferrari представит сразу три новинки в 2024 году. Что это за модели? В 2024 году компания Ferrari планирует представить три новых автомобиля, согласно опубликованному финансовому отчёту за 2023 год и объявленным планам на 2024 год. Итальянский производитель суперкаров достиг рекордных продаж в 13 663 автомобиля (рост на 3,3% по сравнению...

Toshiba стала непубличной компанией Впервые за 74 года акции компании больше не торгуются на бирже.

Роскосмос в 2026 году начнет разработку системы «Млечный путь» Роскосмос планирует начать работы по созданию системы мониторинга космического пространства "Млечный путь" в 2026 году, как сообщили в пресс-службе госкорпорации, пишет ТАСС.

Норникель вложит $ 100 млн в «зеленые» технологии на основе палладия В Норникеле сообщили о планах инвестировать около $ 100 млн до конца 2030 года в разработку инновационных способов применения палладия для защиты окружающей среды.

Серийные машины с конвейера бывшего завода Hyundai в Петербурге начнут сходить уже во втором квартале Глава Минпромторга РФ Денис Мантуров заявил, что серийный выпуск автомобилей на бывшем заводе Hyundai в Санкт-Петербурге начнется не позднее 30 июня 2024 года. «Уже производить серийные автомобили начнут со второго квартала текущего года. Поэтому мы рассчитываем, ...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Фото защитных стёкол говорит о том, что Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra поучат плоские экраны с минимальной рамкой Появилось новое подтверждение того, что экраны у будущих флагманов Samsung линейки Galaxy S24 будут плоскими. На это указывает фото защитных стёкол для всех трех моделей. Изображение опубликовал известный инсайдер Ice Universe. Плоские экраны для Galaxy S24 и Galaxy S2...

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

NCsoft и ArenaNet официально подтвердили разработку MMORPG Guild Wars 3 Южнокорейская компания NCsoft подтвердила разработку третьей части MMORPG Guild Wars в ходе ежегодного собрания акционеров. Слухи о Guild Wars 3 ходят уже несколько лет из-за вакансий на сайте разработчика и финансовых отчётов, но до этого момента официальной информации не б...

Калифорнийский стартап AnySignal выходит космический рынок с уникальной космической радио-технологией. И $5 млн инвестиций Как сообщил операционный директор и сооснователь компании Джеффри Осборн, продукт AnySignal работает на нескольких диапазонах частот и включает в себя наземное оборудование для тестирования аппаратного обеспечения, модемы, которые могут быть обновлены для различных волн...

Как спланировать разработку без хаоса? Привет, Хабр! Меня зовут Александр, я уже около 15 лет в IT. Долгие годы занимался разработкой, но в последнее время перешел в менеджмент.Сейчас я работаю в крупной компании, которая занимается юридическими услугами. За несколько лет компания выросла, и сейчас IT-отдел ...

Softbank ускоряет разработку ИИ-процессоров через свою дочернюю компанию Arm Arm начинает разработку нового поколения ИИ-процессоров для центров данных Softbank, осуществляя планы Масаеси Сона на превращение компании в инновационный ИИ-конгломерат со вложениями в 64 миллиарда долларов.

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

Lada Granta и Niva будут сходить с конвейера даже в субботу АвтоВАЗ объявил субботу, 18 мая, рабочим днём для линий сборки автомобилей Lada Granta и Niva. Об этом рассказал председатель первичной профсоюзной организации автозавода Сергей Зайцев. По его словам, 18 мая линии сборки Lada Granta и Niva будут работать в 12-часовую и ...

Разработчик процессоров «Эльбрус» учредил новую компанию Разработчик российских процессоров «Эльбрус» АО МЦСТ учредил новую структуру — ООО «Эльбрус», основной вид деятельности которого разработка программного обеспечения». Об этом сообщает издание «Коммерсантъ». Новая компания ...

"Автоваз" превратит Ниву и Весту в электромобили Глава «Автоваза» Максим Соколов сообщил о планах по разработке электрических версий популярных моделей Niva и Vesta. Также, он рассказал, что прототипы этих автомобилей уже находятся на стадии испытаний на полигоне в Тольятти, пишет ТАСС.

Компания-разработчик Ланцета представила новый дрон с четырьмя двигателями, неуязвимый для РЭБ По словам специалистов, новая разработка отличается целым рядом преимуществ.

Chery Tiggo 7 Pro российской сборки скоро у дилеров? Выдано ОТТС на кроссовер Xcite, который собирают на бывшем заводе Nissan в Санкт-Петербурге Как сообщил ресурс «Китайские автомобили», «Автозавод Санкт-Петербург» (бывшая производственная площадка Nissan в России) получил Одобрение типа транспортного средства (ОТТС) на кроссовер Xcite. Получение ОТТС, фактически, является разрешением на...

История создания моей первой игры на Unity Доброго времени суток, дорогие читатели, меня зовут Илья и я начинающий инди разработчик. Я впервые пишу статью и тем более впервые на тему разработки игр. В ней я хочу поделиться своим опытом создания простенького, но душевного раннера наподобие Динозаврика из Хрома. Читать...

Представлен новейший робот-гуманоид Tesla Optimus Gen 2 Tesla представила Optimus Gen 2, новое поколение своего робота-гуманоида, который сможет брать на себя различные задачи, помогая людям. Tesla хочет создать робота-гуманоида, который мог бы быть достаточно универсальным, чтобы заменить человеческий труд, но многие сомнев...

Стартап Kurs Orbital привлёк $4 млн для разработки новой технологии обслуживания спутников 7 марта стартап Kurs Orbital, занимающийся разработкой космических технологий для обслуживания спутников, объявил о получении начального финансирования в размере $4 000 000.  Основанная в 2021 году бывшим директором Украинского космического агентства Владимиром Усо...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

iPhone 16 может оказаться дороже iPhone 15 из-за роста производственных затрат Камера новой модели iPhone 16 стоит на 380% дороже чем у iPhone 15

Неправильно затянутый болт может стать причиной возгорания новых Mercedes-Benz GLE Рабочий завода Mercedes спровоцировал отзыв 164 автомобилей Mercedes-Benz GLE из-за неправильной регулировки болта, соединяющего заземляющий провод с 12-вольтовыми аккумуляторами. Теперь Mercedes-Benz отзывает некоторые модели GLE 350 и 450 2021–2022 модельного го...

Mazda работает над первым автомобилем с шасси из углеродного волокна Mazda изучает новые материалы для снижения массы новых машин. В патенте, выданном автопроизводителю всего несколько дней назад, подробно описываются методы и процессы, которые он будет использовать для создания такого автомобиля. Другие патенты, опубликованные недавно а...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

В США испытали новый тяжелый БПЛА General Atomics XQ-67A Компания General Atomics Aeronautical Systems сообщила о первом полете нового беспилотного летательного аппарата XQ-67A, разработанного в рамках программы OBSS. Дрон обладает стелс-технологиями, сверхзвуковой скоростью и большой грузоподъемностью.

Кампания по «зеленому» развитию приносит свои плоды в городских кластерах Пекин-Тяньцзинь-Хэбэй Чистый и похожий на сад цех с интеллектуальной производственной линией вряд ли напомнит посетителям стереотипную сталелитейную компанию

Наконец-то, Solaris. Открылись первые фирменные салоны, в которых продают замаскированные Kia и Hyundai AGR Automotive Group (ООО «АГР»), которая еще месяц назад сообщила о формировании дилерской сети Solaris и приведении ее к стандартам бренда, отчиталась об открытии первых фирменных салонов. Первые дилерские центры Solaris, оформленные в соответствии с новым...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra запущены в производство Инсайдер, известный в Weibo и Twitter под ником Ice Universe, сообщил о том, что Samsung запустила в производство новую линейку флагманов – Galaxy S24. По словам информатора, премьера состоится в январе 2024 года – или в середине месяца, или ближе к концу. ...

Индия в 16 раз нарастила поставки смартфонов в Россию: везут iPhone, Xiaomi, Samsung и Google В течение прошлого года поставки смартфонов из Индии в Россию резко возросли. По данным индийского министерства торговли и промышленности, они выросли в 16 раз. Если в 2022 году объем поставок смартфонов из Индии в Россию составлял $6,1 млн, то в 2023 году этот показате...

Их делают на заводе в Калининграде: Kaiyi увеличил срок гарантии на свои авто в России до 5 лет Автомобильный бренд Kaiyi, за которым стоит китайская компания Chery, объявил о значительном увеличении гарантийного срока для российского рынка.  Как сообщила пресс-служба, в декабре 2023 года Kaiyi в России изменил гарантийные обязательства на все модели бренда:...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Huawei выпустит первый смартфон с тремя экранами уже в текущем квартале Государственное ведомство интеллектуальной собственности Китая объявило о новом патенте Huawei под названием «Устройство складного экрана». Как пишет mydrivers, компания Huawei ведет разработку нового «мобильного телефона с тройным экраном». Ожид...

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Нет, это не iPhone, хотя очень похоже. Опубликованы живые фото специальной версии Xiaomi Civi 4 Pro Живые фотографии специальной версии Xiaomi Civi 4 Pro опубликовал осведомлённый инсайдер под ником Ice Universe. Экран имеет овальный вырез под систему фронтальных камер, как у новых iPhone. Это черно-белая версия Xiaomi Civi 4 Pro, выпущенная ограниченным тиражом. Кор...

Конкурент Haval Dargo с мотором мощностью 409 л.с., способный проехать 1000 км на баке бензина. В Китае стартовало производство внедорожника BAIC BJ30 BAIC Motor сообщила о запуске в производство новейшего внедорожника BAIC BJ30. В Китае эту модель относят к компактным, по европейским меркам автомобиль с длиной 4730 мм и колесной базой 2820 мм является среднеразмерным. BAIC опубликовала фото с производственной площад...

Ученые создали «умную» лабораторию для разработки материалов за сутки Корейские ученые разработали роботизированную лабораторию, управляемую искусственным интеллектом, которая позволяет создавать новые материалы в 500 раз быстрее, чем традиционные методы. Разработка новых материалов обычно занимает много времени и средств. Новая лаборатория, п...

Обнаружен Intel Xeon W9-3595X с 60 ядрами, 112 МБ кэш-памяти L3 и частотой 4,60 ГГц Xeon W9-3595X основан на передовой архитектуре Intel Sapphire Rapids и построен с использованием технологического узла Intel 7

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Xiaomi 14 получит топовый объектива Leica Summilux и новейший датчик. Теперь официально Новая серия Xiaomi 14 будет впервые оснащена объективами Leica Summilux, о чём только что сообщил Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi. Оптика движущегося изображения достигла критического «момента прыжка». Xiaomi и Leica со...

Инсайдеры предположили разработку Hogwarts Legacy 2 из-за найденной вакансии Обозреватели портала Wccftech рассказали, что обнаружили вакансию игровой компании Avalanche Software, разработчика нашумевшей игры Hogwarts Legacy, которая косвенно подтверждает начало разработки следующей части — Hogwarts Legacy 2.

В Перми построят новый железнодорожный мост через Каму длиной 2860 м Пресс-служба РЖД: это позволит организовать движение поездов в объезд плотины Камской ГЭС, оптимизировать перевозочный процесс для пропуска перспективных грузов промышленных предприятий Березниковско-Соликамского узла на Транссибе.

Tesla уволила руководство и большую часть подразделения зарядных станций Илон Маск в соцсети Х и через другие каналы сообщил об увольнении значительной части команды зарядных проектов, занимающейся разработкой и поддержкой зарядных станций Supercharger. Это стало неожиданностью как для инвесторов Tesla, так и для клиентов.

NVIDIA Blackwell будет продаваться за 40 тысяч долларов Совсем недавно компания NVIDIA представила свои новые графические ускорители поколения Blackwell на выставке GTC 2024, которые обойдутся потенциальным покупателям довольно дорого, поскольку производитель, по оценкам, вложила в проект несколько миллиардов долларов. Дебют ново...

Starbreeze создаст игру по Dungeons and Dragons к выходу в 2026 году Компания Starbreeze объявила о разработке новой игры.

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

«Многие даже не верят», — уровень роботизации чуть меньше, чем у лучших заводов Японии. АвтоВАЗ выпустит 200 тысяч Lada Granta в 2023 Президент АвтоВАЗа Максим Соколов заявил, что завод планирует произвести на заводе в Тольятти порядка 200 тысяч автомобилей Lada Granta по итогам 2023 года. «Сегодня конвейеры АвтоВАЗа работают с высочайшей производительностью. Многие даже не верят, но уровен...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)