Социальные сети Рунета
Среда, 15 мая 2024

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Samsung раскрыла характеристики Exynos 1480 Совсем недавно компания Samsung выпустила смартфон Galaxy A55 — это произошло буквально в начале этого месяца. И, что самое важное, данный смартфон поставляется с процессором Exynos 1480, вот только производитель никаких деталей о данном процессоре не сообщил — просто в хара...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

AMD совершит очередной «квантовый скачок»? Производительность ядра Zen 5 может быть более чем на 40% выше, чем у Zen 4 Процессоры AMD на архитектуре Zen 5, возможно, принесут не просто большой, а огромный прирост производительности относительно предшественников.  Известный инсайдер Kepler утверждает, что ядро Zen 5 более чем на 40% производительнее, чем Zen 4. Правда, тут не очень...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Intel Core i9-14900KS будут продавать за 750 долларов Если верить информации из сети, процессор Intel Core i9-14900KS должен отправиться в релиз в самое ближайшее время, буквально на следующей неделе. К сожалению, никто так и не смог слить в сеть информацию о том, сколько будет стоить данный процессор, но сегодня магазин MicroC...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Процессор Apple M4 сделал iPad Pro 2024 мощнее новых макбуков. Насколько он круче других чипов от Apple? 7 мая компания Apple представила iPad Pro 2024, неожиданным компонентом которого стал новый процессор Apple M4. Внезапным это появление стало по двум причинам. Во-первых, предшествующий Apple M3 вышел меньше года назад. Во-вторых, процессоры M-серии, будучи самыми производи...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Intel 10A представят в 2028 году Сегодня компания Intel официально анонсировала передовой технологический процесс под названием 10A (на деле это 1 нм) — производитель планирует его внедрение примерно в 2028 году, но пока что это только предположение. Кроме того, стоит понимать, что значит, что компания Inte...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

На радость владельцам процессоров Intel. Функция APO, повышающая производительность в играх, теперь поддерживает 12 новых игр Компания Intel расширила поддержку своей функции Application Optimization (APO), добавив в неё несколько новых игр.  создано DALL-E Функция, напомним, появилась ещё осенью, но всё это время поддерживала лишь две игры: Metro Exodus и Rainbow 6 Siege. Теперь же к сп...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Теперь Intel обещает 20-40% прироста и даже больше, но хватит ли этого, чтобы догнать монстров AMD? В Сеть попал документ о CPU Xeon поколения Emerald Radips В первой половине следующего года Intel выпустит серверные процессоры Xeon поколения Emerald Radips, которые заменят Sapphire Rapids и будут самыми производительными CPU компании. Сегодня в Сети появились документы Intel, посвящённые этим процессорам.  Характерист...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Очередной показатель того, что для современных CPU Intel очень важен планировщик потоков. Компания выпустила патч для Linux, повышающий производительность Гетерогенные процессоры Intel сильно зависят от эффективности планировщиков потоков. Отличный тому пример — повышение производительности CPU Intel в Linux на 14% после выхода нового патча.  Фото: Intel Intel выпустила патч, который улучшает работу планировщи...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Та самая давно обещанная Илоном Маском революция. Стало известно, когда Tesla представит своё роботакси Компания Tesla представит своё роботакси уже в августе.  создано DALL-E Илон Маск заявил, что такое авто Tesla покажет 8 августа. Никаких других подробностей пока нет, но ранее Маск уже отмечал, что делает большие ставки на этот проект. В частности, он говорил, чт...

Минимум до 160 ядер. В Сеть попали параметры процессоров AMD Epyc поколения Turin В Сеть попали параметры процессоров AMD Epyc нового поколения Turin, которые ожидаются в конце текущего года.  Новинки будут опираться на ядра Zen 5 и Zen 5C в зависимости от линейки. Перед нами, видимо, параметры и моделей Prometheus с ядрами Zen 5c, и моделей Ni...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Samsung не в курсе, что война мегапикселей закончена? Компания готовит 432-мегапиксельный сенсор для смартфонов, и он может превзойти датчики Sony Инсайдер, известный в Twitter (X) под ником Revegnus, раскрыл подробности о будущих датчиках изображения Samsung. Оказывается, компания готовит сразу два сенсора с разрешением 432 Мп! Вроде бы многочисленные тесты уже давно показали, что качество фото не находится в пр...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

MediaTek выпускает первый в мире мобильный 3-нм процессор В деталях графического процессора Dimensity 9400 также упоминается 20% прирост производительности и эффективности, а больший размер матрицы приведет к увеличению стоимости.

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Ядро Cortex-X5 сможет наконец-то догнать ядра в SoC Apple? Прирост производительности у Cortex-X5 будет самым большим за пять лет Следующее процессорное суперъядро Arm Cortex-X может оказаться настолько производительным, что догонит специальные реализации на основе архитектуры Arm.  создано DALL-E Отчёт исследовательской компании Moor Insights and Strategy утверждает, что прирост производите...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

MediaTek завоевала рынок смартфонов Сегодня компания Canalys опубликовал отчёт о рынке смартфонов за четвёртый квартал 2023 года, разделив производителей процессоров на отдельные категории. Данный анализ показал, что MediaTek выпустила больше мобильных процессоров для смартфонов, чем любой другой производитель...

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

«Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона». Появились первые фотографии, сделанные на новые смартфоны Эффектные фотографии, которые сделаны на камеры Vivo X100 и X100 Pro, опубликовал китайский инсайдер Ice Universe. «Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона», — так прокомментировал снимки Ice Universe. Стоит отметит...

Apple окончательно попрощается с 12-мегапиксельной эрой. Новый iPhone SE 4 будет оснащен 48-мегапиксельной камерой В первой половине следующего года будет представлен iPhone SE 4, который будет существенно улучшен по сравнению с предшественником. Об этом пишет Mydrivers со ссылкой на Phone Arena, который не называет своих источников. Сообщается, что iPhone SE 4 будет оснащен 48-мега...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Qualcomm Snapdragon X Elite заметно превосходит Apple M3 В октябре этого года Qualcomm выпустила платформу Snapdragon X Elite, которая стала большим шагом по сравнению с предыдущими SoC для ПК. Во время анонса компания заявила, что производительность чипа сопоставима с процессором Apple M2 Max. Теперь производитель утверждает, что...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

Samsung представит смартфон с собственным генеративным ИИ На днях Samsung Electronics огласила результаты третьего квартала 2023 финансового года. А теперь стало известно, что на конференции, посвящённой квартальному отчёту, компания раскрыла свои планы относительно использования генеративного искусственного интеллекта в смарт...

Бывший астронавт NASA раскрыл подробности процесса дефекации в космосе Миссия «Артемида» должна стать первым космическим проектом, в котором будет использоваться удобный туалет. Такой, который не требует многих часов тренировок перед использованием. Это крайне важный шаг, так как стремление частных компаний к освоению ближнего космоса ставит оч...

Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra получили большие испарительные камеры для лучшего охлаждения. А у Galaxy S24 Ultra сверхпрочное стекло Gorilla Glass Armor Не самое заметное улучшение новых смартфонов Samsung линейки Galaxy S24, но важное: компания снабдила устройства серии испарительными камерами большего размера. А, как известно, чем лучше охлаждение, тем меньше вероятность тротлинга CPU. В сравнении с Galaxy S23 испари...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

Новый процессор Apple M3 — реальный апгрейд или маркетинговый трюк? Сравнение с M2 и M1 Apple наконец провела свое очередное мероприятие, для которого было выбрано довольно непривычное время суток. Проснуться посреди ночи, живя в России, действительно стоило: купертиновцы показали не только компьютеры, но и линейку процессоров M3, состоящую из трех новых чипсе...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Huawei раскрыла характеристики процессора Kirin 9010 Изначально компания Huawei предоставила крайне мало информации о мобильном процессоре Kirin 9010, но когда состоялся релиз серии смартфонов Pura 70, все детали попали в сеть благодаря бенчмаркам. На текущий момент можно уверенно заявить, что по сравнению с Kirin 9000S, котор...

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Intel расщедрилась. Функция APO, которая значительно повышает производительность в играх, станет доступна и на процессорах Intel снова выпускает почти то же, что и год назад. Представлена оставшаяся часть линейки процессоров Raptor Lake Refresh Компания Intel всё-таки решила расширить поддержку функции Application Optimization (APO) на более старые процессоры.  Изначально компания зая...

Apple создаст с LG безрамочный iPhone Компания Apple, по словам западных инсайдеров, начала поиск возможности создать iPhone с дисплеем, который будет занимать всю фронтальную поверхность устройства без каких-либо вырезов, чёлок и так далее. Стоит напомнить, что первый раз вырез в формате чёлки появился в смартф...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Клаксон Lada Vesta NG стал тише. Опубликовано сравнение На сайте «Лада.Онлайн» разместили отзыв еще одного владельца новой Lada Vesta NG, который утверждает, что АвтоВАЗ уже экономит и на клаксоне. Для сравнения он выложил два видеоролика. В первом демонстрируется звук сигнала Lada Vesta NG 2022 года выпуска, а ...

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Пока Intel отказывается от Hyper-Threading, AMD создаёт мобильных монстров. Компания подтвердила основные параметры новых APU Ryzen Strix Point Компания AMD подтвердила основные характеристики своих грядущих мобильных гибридных процессоров Strix Point.   Они выйдут в этом году и будут полностью новой разработкой. Процессорная часть будет представлена ядрами Zen 5, графическая — архитектурой RDNA 3+ ...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Apple скоро представит новые ноутбуки на процессорах М3 В прошлом месяце достаточно известные поставщики секретной информации заявили, что компания Apple уже работает над созданием ноутбуков нового поколения на процессоре M3. Тогда никакой детальной информации у инсайдеров не было, но сегодня она появилась, так как западные журна...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Чтобы Китаю вообще ничего не досталось. США собираются запретить поставки в Поднебесную ускорителей Nvidia, созданных специально для Китая В своё время США ограничили поставки новейших ускорителей Nvidia в Китай, из-за чего Nvidia создала специальные урезанные версии: A800 и H800. И вот теперь США взялись и за эти модели.  Министерство торговли США объявило, что планирует ограничить продажу Китаю и э...

Архитектура BlackHawk, новейшее ядро X5 и скорость выше, чем у Apple A17 Pro. MediaTek Dimensity 9400 станет самым производительным чипом для смартфонов в этом году Предстоящий флагманский чип MediaTek Dimensity 9400 будет использовать новейшую архитектуру процессора Arm под кодовым названием BlackHawk и будет оснащен сверхбольшим ядром X5, о чем сообщил Digital Chat Station. Сообщается, что количество инструкций на такт (IPC, inst...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

Новый iMac очень трудно ремонтировать Недавно Apple обновила свой 24-дюймовый iMac, оснастив его первым 3-нм процессором M3. Вскоре после этого специалисты по ремонту техники из компании iFixit взялись за этот компьютер, чтобы узнать насколько он пригоден к разбору и дальнейшему ремонту. В ходе разборки стало яс...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Intel показала, чем будет завоёвывать рынок искусственного интеллекта. Компания продемонстрировала ускоритель Gaudi3 Компания Intel не только заявляет, что «вся индустрия заинтересована в ликвидации рынка Nvidia CUDA», но и продолжает разрабатывать собственные ускорители для ИИ. Сегодня Intel продемонстрировала модель Gaudi3, которая должна выйти в следующем году.  ф...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Процессоры Intel Core 12-го, 13-го и 14-го поколения практически не отличаются, если приравнять их по основным параметрам Компания Intel недавно выпустила процессоры Core 14-го поколения в виде линейки Raptor Lake Refersh, которая от обычных Raptor Lake технологически ничем не отличается. В свою очередь, Raptor Lake являются лишь слегка улучшенными моделями Alder Lake с увеличенным количес...

Как правильно выбрать смартфон на процессоре Snapdragon и не прогадать После прочтения текста о главном обмане процессоров MediaTek у многих людей могло возникнуть впечатление, будто в чипах MTK сложно разобраться, ведь тайваньская фирма то и дело перевыпускает старое железо. Однако путаница возникает и при беглом взгляде на процессоры Snapdra...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Intel вообще будет чем ответить на такое? Ryzen 9 9950X приписывают производительность на 40-45% выше, чем у Ryzen 9 7950X В Сети появились свежие слухи о процессорах AMD Ryzen 9000 для настольного сегмента. Впрочем, в целом их можно проецировать и на другие CPU компании на основе архитектуры Zen 5.  создано DALL-E Автор канала RedGamingTech получил данные о производительности старших...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Очередной бастион Apple падёт из-за давления Евросоюза. Компания внедрит стандарт RCS в iOS, хотя не хотела этого делать Компании Apple приходится менять множество своих привычных продуктов из-за новых законов Евросоюза. Мы уже получили USB-C в iPhone, в следующем году Apple даст возможность устанавливать ПО не только из App Store, а теперь сообщается, что компания заодно примет стандарт ...

Интегрированный GPU в процессорах Intel Core Ultra стал быстрее в играх вплоть до 155%. Компания выпустила свежий драйвер в оптимизацией Компания Intel в очередной раз обычным обновлением драйвера серьёзно повысила производительность своих видеокарт в ряде игр. На сей раз это коснулось iGPU в процессорах Meteor Lake.  ПО Intel Graphics Driver 31.0.101.5333 повышает производительность таких интегрир...

MediaTek Dimensity 9400 появится в десятках новых смартфонов Совсем скоро в релиз должен отправиться новый мобильный процессор Dimensity 9400 от компании MediaTek. И если не считать компании Google и Apple, MediaTek уже заключила контракты со всеми крупными производителями смартфонов о поставках нового флагманского процессора, но сего...

MediaTek показала Dimensity 8300. Дешевый чип с флагманскими возможностями ИИ Компании Qualcomm и MediaTek уже анонсировали флагманские процессоры для смартфонов с поддержкой функций генеративного искусственного интеллекта. Как обычно, технологии заходят в наш мир с верхних сегментов, но рано или поздно опускаются в средний и бюджетный. В этот раз эт...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Nintendo Switch 2 получит производительность уровня PlayStation 4 Pro Сегодня появилась информация о том, что игровая приставка Nintendo Switch 2 в режиме подключения к док-станции, скорее всего, будет иметь производительность, сравнимую с производительностью PlayStation 4 Pro. По крайней мере, об этом уверенно говорит достаточно крупный канал...

Nintendo Switch 2 получит новый процессор На прошлой неделе в сети появилось множество новостей о том, что компания Nintendo готовится к релизу консоли Switch 2 — нового поколения портативного решения, которое будет обладать приличной производительностью для запуска современных видеоигр. Проблема в том, что на самом...

К черту кварталы – работаем от праздника до праздника Около года назад мы в команде всерьез задумались о пересмотре сроков среднесрочного планирования. И всему виной наш любимый производственный календарь РФ. Но начнем издалека.Традиционно мы привыкли встречаться раз в три месяца, квартал, ставить цели, возвращаться через кварт...

Да, Ryzen 7 8840U не предлагает вообще ничего нового. Первый тест этого APU показывает, что разница с Ryzen 7 7840U не превышает 2% Компания GPD одной из первых анонсировала портативную игровую консоль на основе APU Ryzen 8000. И сегодня производитель поделился результатами производительности.  В данном случае речь об APU Ryzen 7 8840U — флагмане новой линейки AMD. Результат есть пока в ...

Что нового появится в MacBook Air M4 и когда он выйдет В марте Apple выпустила следующее поколение одних из самых популярных ноутбуков компании MacBook Air. В новой версии лэптопы сохранили прежний дизайн, диагональ экрана и получили процессор Apple M3, выполненный по 3-нм техпроцессу и гарантирующий компьютерам высокую произво...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Apple выпустит iPad Air на М3 уже совсем скоро Компания Apple планирует полностью обновить свою линейку планшетов iPad в следующем году — во всяком случае, об этом говорят инсайдеры. Кроме того, хотя базовые модели iPad и iPad mini 7 должны увидеть свет во второй половине следующего года, компания планирует запустить OLE...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

В сети появились рендеры Samsung Galaxy Z Flip6 Буквально вчера в сети появились первые рендеры смартфона Galaxy Z Fold6, которые показали, что производитель не планирует существенно менять дизайн своего гаджета, а теперь пришло время раскрыть дизайн Galaxy Z Flip6. Здесь стоит напомнить, что в прошлом году у Samsung прои...

Nvidia создала GPU с TDP 1,2 кВт и ускоритель для ИИ с TDP 2,7 кВт. Компания раскрыла новые подробности о Blackwell Компания Nvidia уже представила архитектуру Blackwell и ускорители на её основе. При этом во время анонса нам раскрыли далеко не все особенности и характеристики. Теперь же некоторые пробелы заполнили старший вице-президент Nvidia и архитектор графических процессоров Дж...

Процессор Intel "Lunar Lake-U" мощностью 17 Вт почти на 50% быстрее прошлого поколения Процессор Intel "Lunar Lake-U" мощностью 17 Вт обеспечивает почти 50-процентный прирост производительности в многопоточном режиме по сравнению с "Meteor Lake" мощностью 15 Вт, несмотря на отсутствие HTT

Наконец-то беспроводные наушники будут поддерживать Lossless. Представлены SoC Qualcomm S7 и S7 Pro Gen 1 с поддержкой Micro-power Wi-Fi Компания Qualcomm представила однокристальные системы Qualcomm S7 и S7 Pro Gen 1, которые должны существенно изменить рынок беспроводных наушников.  Новинки представляют собой звуковые платформы, главной особенностью которых является поддержка технологии, которую ...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

«Каждая деталь будет несравненной». Bugatti прощается с легендарным W16 и обещает новейший V16 уже в июне Французский автомобильный бренд Bugatti недавно объявил, что его культовый двигатель W16 будет официально снят с производства после прекращения производства модели Chiron. Он будет заменен новым двигателем V16, что станет важным шагом для Bugatti в эпоху электрификации....

Ноутбук с экраном OLED и новейшим Core Ultra всего за 1000 евро. Acer Swift 14 с процессорами Intel Meteor Lake засветился в Сети Ноутбуки на основе процессоров Intel Core Ultra действительно будут в том числе относительно доступными. Ранее мы видели первые утечки цен, теперь же в магазинах засветились модели Acer.  В частности, версия Swift 14 с Core Ultra 5 125H оценена в 1000 евро. Это не...

LPDDR6 уже в этом году, но только на смартфонах с Android? Поддержку новой памяти может получить Snapdragon 8 Gen 4 Память LPDDR6 действительно может появиться в серийных устройствах уже в этом году. Сообщается, что Qualcomm может добавить поддержку новой памяти своей топовой SoC Snapdragon 8 Gen 4.  Официальных данных на этот счёт нет, но у компании вполне неплохой запас време...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Qualcomm Snapdragon X Elite протестировали в играх Достаточно ожидаемая система на кристалле Snapdragon X Elite, которую компания Qualcomm анонсировала некоторое время назад, была протестирована в новой видеоигре Baldur's Gate 3 — ноутбук на базе этого чипа работал со скоростью 30 FPS, что достаточно неплохо для мобильного ч...

Карточный рогалик Balatro перенесут на iOS Игры для ПК и консолей стало популярно переносить на устройства из экосистемы Apple, в которые также входят iPhone и iPad. В основном мобильным геймерам на iOS везёт тем, что при портировании игры на Mac её относительно легко перенести и на портативные устройства. Но если р...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

16 устройств Apple, которые выйдут в 2024 году В этом году Apple готовится выпустить сразу 16 новых мобильных устройств. В этот перечень входят не только смартфоны, но и планшеты iPad. Узнать эту информацию получилось из утечки, в которой сообщается, какие процессоры компания из Купертино планирует использовать в каждом...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Google разработала новый специализированный процессор Axion на архитектуре Arm Первый ARM-чип от Google для центров обработки данных обещает прирост производительности на 30% по сравнению с ARM-чипами от Amazon и Microsoft.

Nintendo Switch 2 получит трассировку лучей от NVIDIA Вокруг игровой приставки Nintendo Switch 2 и её потенциальных возможностей ходят весьма интересные слухи, но есть большая вероятность, что это преувеличения. Например, есть мнение, что новая консоль Nintendo будет опережать по производительности PlayStation 5 и Xbox Series X...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Samsung тоже выпустит свой процессор для ИИ, но конкурировать с Nvidia пока не собирается. Mach-1 выйдет в следующем году Компания Samsung выпускает очень много полупроводниковой продукции, но в первую очередь это чипы памяти и собственные SoC Exynos. Теперь вот, как сообщается, Samsung собирается выйти на разгоняющийся рынок процессоров для ИИ.  создано DALL-E Решение корейского гиг...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

В iPhone 16 может появиться больше ОЗУ из-за искусственного интеллекта По информации инсайдера Revegnus (@Tech_Reve), компания Apple рассматривает возможность увеличения объема оперативной памяти в смартфонах iPhone 16 для обеспечения работы встроенной системы на базе искусственного интеллекта. Согласно источнику, для полноценного функцион...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

AMD, а покупателям вообще нужен этот упор на ИИ? Компания собирается продвигать новые процессоры Ryzen 8040U/H/HS именно таким образом А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Вчера AMD представила мобильные процессоры Ryzen 8040U/H/HS, которые технически практически ничем не отличаются от аналогичных моделей Ryzen 7040. ...

Всё готово, Ryzen 9000 можно выпускать. Некоторые системные платы на чипсетах 600-й серии уже поддерживают грядущие процессоры Похоже, запуск процессоров AMD Ryzen нового поколения действительно уже не за горами. Как минимум оказалось, что выпущенные раннее в этом месяце версии BIOS для ряда системных плат уже поддерживают грядущие CPU.  Это касается как минимум плат Asus и MSI на чипсета...

У Qualcomm с первого раза получится одолеть даже SoC Apple M2? Платформа Snapdragon X Hamoa без труда кладёт на лопатки решение Apple Как мы сегодня уже сообщали, компания Qualcomm готовит линейку однокристальных систем Snapdragon X Series для ПК с Windows. Согласно свежим данным, SoC Hamoa, которая должна быть старшей в линейке, по производительности легко превосходит Apple M2 и приближается к M2 Pro...

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Какие устройства Surface стоит ожидать этой весной? В ближайшие несколько недель Microsoft анонсирует несколько новых устройств Surface как для потребительского рынка, так и для бизнес-сегмента. Первая презентация состоится уже 21 марта 2024 года, но, похоже, на ней представят далеко не все готовящиеся новинки. В частности, ...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Консоль PlayStation 5 Pro получит заметный прирост мощности Например, недавно выяснилось, PS5 Pro будет значительно более мощной благодаря использованию 30 процессоров рабочей группы (WGP) вместо 18 WGP, как в оригинальной PS5. Также ожидается увеличение кэша L1 с 128 до 256 КБ и кэша L0 с 16 до 32 КБ для поддержки большего числа выч...

К сожалению, это память не для игровых видеокарт. Samsung обойдёт Hynix и представит HBM4 раньше Память HBM становится всё более важной для рынка, так как именно её используют ускорители для ИИ. Компании Samsung и Hynix рассказали, когда стоит ожидать новую память HBM4.   Первой должна быть Samsung. Она готова выпустить HBM4 уже в следующем году. Это будут 16...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Основное оружие AMD против Intel в 2024 году. Процессоры на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании Похоже, процессоры AMD на основе архитектуры Zen 5 уже не просто выпущены, а уже покинули стены лаборатории компании. Как минимум некоторые из них были замечены в товарных декларациях.  В данном случае речь о процессорах Strix Point и Fire Range. Особых подробност...

Старичок Ryzen 7 5800X3D против Ryzen 7000, Ryzen 7000X3D и даже несуществующего Ryzen 5 7600X3D. Появилось большое сравнение CPU в играх Авторы канала Hardware Unboxed провели свежее тестирование игровых процессоров AMD, сравнив их с обычными. Заодно они добавили в список несуществующий пока Ryzen 5 7600X3D, который вполне может выйти позже. Его показатели в тестах предположительные.  Задача обзора...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Nvidia наращивает поставки GPU для RTX 4090 в Китай в преддверии запрета поставок Компания Nvidia наращивает поставки графических процессоров для GeForce RTX 4090 в Китай в преддверии запрета, который вступает в силу 17 ноября.  Как сообщает MyDrivers, cразу несколько производителей видеокарт подтвердили, что серия RTX 4090 будет полностью запр...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Очередная уязвимость CPU Intel, и очередное снижение производительности из-за заплатки. RFDS затрагивает малые ядра современных процессоров В процессорах Intel обнаружилась очередная уязвимость. Она называется Register File Data Sampling (RFDS), но информацию о ней Intel раскрыла уже после того, как выпустила исправление микрокода CPU. Тесты Phoronix показали, что на сей раз, к счастью, обошлось без существ...

Intel прощается с брендом Core i. Текущие процессоры Core 14-го поколения будут последними с такими именами Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel представила свои новые процессоры Core 14-го поколения, и теперь компания подтвер...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Сегодня Илон Маск запускает своего конкурента ChatGPT, который «в некоторых важных отношениях будет лучшим, что существует на данный момент» Илон Маск вчера сообщил, что его молодая компания xAI запустит свою систему искусственного интеллекта уже сегодня.  Завтра xAI выпустит свой первый ИИ для избранной группы. В некоторых важных отношениях это лучшее, что существует на данный момент  Jaap Arrien...

Характеристики Intel Core i9-14900KS окончательно слили в сеть Сегодня в сети появилась детальная информация о процессоре Intel Core i9-14900KS — ритейлер представил страницу продукта и указал все характеристики нового чипа, который в ближайшее время должен поступить в продажу. Чип Intel Core i9-14900KS будет первым в мире процессором, ...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Очень необычные для Intel процессоры Lunar Lake всего с четырьмя большими ядрами в конце текущего года выйдут в очень ограниченных объёмах Похоже, процессоры Intel Lunar Lake ждёт судьба актуальных ныне Meteor Lake. В том смысле, что первые тоже выйдут в самом конце года.  Согласно данным инсайдера Golden Pig Upgrade, Intel в случае с Lunar Lake будет придерживаться той же программы EEP (Early Enable...

Ни у кого сейчас нет ПК, соответствующего этим требованиям. Для локального запуска Copilot компьютеры класса AI PC будут должны иметь NPU мощностью 40 TOPS Мало того, что компании уже сравнивают свои процессоры по производительности блоков NPU, так вскоре этот показатель может стать ещё и ограничивающим фактором в Windows. Intel на мероприятии Intel AI Summit рассказала, что у ИИ Windows Copilot будет требование к производ...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

Первый робот на HarmonyOS. Представлен человекоподобный робот Aelos, основанный на SoC Rockchip Компании Shenzhen Kaihong Technology и Leju Robot представили первого в мире человекоподобного робота, работающего под управлением HarmonyOS (она же Hongmeng).  Робот называется Aelos. Как сообщается, то универсальная система обучения, предназначенная для улучшени...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Курс биткоина опустился до $61 000 – ФРС может еще больше поднять процентные ставки 20 марта первая криптовалюта обвалилась до уровня $61 000, за сутки просев на 5,5%. Forbes ожидает решения ФРС по процентной ставке.

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Каждая половинка нового монструозного GPU Nvidia Blackwell на самом деле не особо превосходит GH100 по количеству вычислительных блоков Компания Nvidia вчера представила чудовищный GPU поколения Blackwell для ускорителей для ИИ, но не раскрыла ряда параметров. Сегодня кое-что в Сети появилось.  К примеру, ресурс WCCF Tech утверждает, что новый графический процессор содержит 40 960 ядер CUDA, то ес...

Huawei готовится к рекордным продажам Mate 70 Компания Huawei недавно вернулась на рынок смартфонов и показала приличные показатели продаж — бренд может похвастаться продажами, которых нет у крупных компаний топового уровня. И останавливаться на достигнутом компания не планирует — если верить инсайдерам, производитель з...

Зарегистрирована рекордная DDoS-атака в 2023 году – продолжительностью почти три дня Специализирующаяся на обеспечении доступности интернет-ресурсов и нейтрализации DDoS-атак Qrator Labs поделилась интересной статистикой по итогам третьего квартала 2023 года.  Сгенерировано нейросетью Midjourney Как и в предыдущем периоде, больше всего от DDoS-ата...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Представлены процессоры Intel Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF. В сравнении с прошлым поколением цены не выросли Компания Intel представила процессоры Core 14-го поколения (Raptor Lake Refresh). Как и ожидалось, сегодня анонсировали только старшие модели с разблокированным множителем.  Утечек об этих CPU было множество, так что ничего нового, кроме цены, Intel не раскрыла. Н...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Intel снова может всех запутать. Компания анонсировала процессоры Xeon 6, которые на самом деле не Xeon шестого поколения Xeon Scalable шестого поколения Компания Intel в конце прошлого года изменила принцип именования своих потребительских процессоров. Теперь же ребрендинг коснулся и серверных CPU.  Вчера Intel рассказала немного о новых процессорах Xeon, которые получили имя Xeon 6. К этой линейке относятся не вс...

Qualcomm официально представила Snapdragon 7+ Gen 3 Компания Qualcomm сегодня анонсировала свой новый процессор под названием Snapdragon 7+ Gen 3, который относится к чипам среднего класса и обладает достаточно неплохим набором возможностей. Платформа является эволюцией Snapdragon 7 Gen 3 и предоставляет производительное ядро...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Новые, но лишь формально, процессоры Intel Core 14-го поколения будут представлены 8 января Компания Intel представит оставшуюся часть процессоров Core 14-го поколения уже 8 января.  Напомним, пока что представлены были только Core 14xxxK с разблокированным множителем, а большая часть линейки пока недоступна. Нас ждёт множество моделей Core i3, Core i5 и...

Битва iGPU Intel и AMD вышла на новый уровень. Core Ultra 7 155H и Ryzen 7 7840HS сравнили в восьми играх и двух режимах Мы уже видели тесты новых процессоров Intel Meteor Lake и примерно представляем себе, что вышло у компании, хотя первые тесты были весьма поверхностными. Но теперь в Сети появился обзор, где авторы уделили намного больше внимания iGPU.  Процессоры были протестиров...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Это совершенно новая Toyota Camry. Опубликовано первое качественное фото Toyota представит Camry следующего поколения 14 ноября. Автопроизводитель также опубликовал тизер седана, раскрывающий некоторые особенности дизайна задней части автомобиля. Мы видим значки полного привода и гибрида (HEV), а также новый дизайн задних фонарей. Расширение...

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

Когда будет следующая презентация Apple и что на ней покажут Все презентации Apple, намеченные на 2023 год, уже благополучно прошли. За это время нам показали MacBook Air 15, Apple Vision Pro, целую россыпь MacBook Pro на процессорах M3, линейку iPhone 15, две модели Apple Watch и, конечно же, новые версии операционных систем, которы...

Суперкомпьютер Aurora на компонентах Intel ворвался в Top500, заняв сразу второе место, но лидером остаётся система Frontier на CPU и GPU AMD Как мы сегодня уже говорили, суперкомпьютер Aurora на компонентах Intel стал самым производительным в мире в задачах ИИ. При этом при вычислениях с двойной точностью (FP64) он первым не стал. Сегодня также был опубликован свежий рейтинг самых производительных суперкомпь...

Анонс Windows 11 Insider Preview Build 22635.2850 (канал Beta) Добрый вечер, друзья! Прошлой ночью Microsoft выпустила новые предварительные сборки Windows 11 (версия 23H2) под номером 22635.2850 (KB5033453) для участников программы Windows Insider на канале Beta. Полный номер сборки: 10.0.22635.2850.ni_release_svc_betaflt_prod1.231128...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Android 15 для разработчиков выйдет в ближайшие дни. Когда ждать версию для всех С момента своего зарождения Android стал символом инноваций в мире мобильных технологий, прокладывая путь к бесконечным возможностям для миллиардов пользователей по всему миру. С каждым обновлением этой операционной системы Google удивляет нас новыми функциями, улучшениями ...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Аппаратные блоки для ИИ в процессорах наконец-то станут полезными. В DirectML добавили поддержку процессоров Intel Core Ultra На данный момент аппаратные блоки ускорения для ИИ в процессорах AMD и Intel практически бесполезны для обычных пользователей ввиду почти полного отсутствия соответствующего ПО. Однако постепенно ситуация будет меняться. К примеру, в предварительной версии DirectML Dire...

Nvidia и Amazon создадут суперкомпьютер Ceiba с производительностью 65 ExaFLOPS. Но это производительность не FP32 или FP64 Компании Nvidia и Amazon Web Services (AWS) объявили о расширении своего стратегического сотрудничества, в рамках которого в том числе будет построен самый быстрый суперкомпьютер искусственного интеллекта Ceiba.  Ceiba получит 16 384 системы GH200 Superchips и буд...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Илон Маск: огромная ракета с кораблём SpaceX Starship должна быть готова к третьему полёту до Нового года Совершив второй запуск самой большой и мощной ракеты в мире компания SpaceX не собирается останавливаться на достигнутом. Как сообщил основатель и генеральный директор SpaceX Илон Маск, «железо» ракеты с кораблём Starship должно быть готовы третьему полёту у...

Apple выпустила MacBook Air M3 с 24 ГБ ОЗУ и 2 ТБ памяти. Чем он отличается от MacBook Air M2 Сегодня, 4 марта, Apple показала новые MacBook Air на процессоре M3. Причем компания из Купертино обновила сразу обе модели своих самых легких ноутбуков — на 13 и 15 дюймов. При том что последняя вышла лишь летом 2023 и не пробыла на рынке даже года, купертиновцы смел...

Lada Granta получит такой же вариатор, как Lada Vesta NG, уже в 2024 году, но двигатели будут разными Lada Granta вслед за Lada Vesta NG получит вариатор китайской компании WLY уже в 2024 году, о чем пишет Авто Mail.ru со ссылкой на свои источники на АвтоВАЗе.  Автоматическая трансмиссия, которая будет использоваться в Granta, является копией агрегата, который уста...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Такого ещё не было: поставки Xiaomi 14 и Xiaomi 14 Pro начнутся уже сегодня, 26 октября, сразу после презентации. Названы бонусы за предзаказ Xiaomi подтвердила, что презентация Xiaomi 14 состоится сегодня в 14:00 по московскому времени. Первый флагман на Snapdragon 8 Gen3 представляют вскоре после анонса новой мобильной платформы. Xiaomi уже начала принимать предварительные заказы на Xiaomi 14 и Xiaomi 14 Pr...

AMD концентрируется на серверных и мобильных процессорах? Компания пообещала в первую очередь именно их во второй половине года Комментируя результаты прошедшего финансового квартала, глава AMD Лиза Су (Lisa Su) рассказала о планах компании на текущий год.  В частности, нас точно ждут новые процессоры на архитектуре Zen 5, причём сразу в нескольких сегментах. Во втором полугодии AMD выпуст...

OnePlus 12 получит два ключевых преимущества Сегодня компания OnePlus раскрыла две ключевые детали о предстоящем флагмане OnePlus 12, которые могут заинтересовать многих пользователей из-за того, что у конкурентов на рынке этих преимуществ чаще всего нет. Дело в том, что OnePlus 12 получил полноценную степень защиты от...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Вот бы так умели Intel или Nvidia. Новая SoC Apple M3 Max с 40-ядерным GPU умудряется опережать M1 Ultra с 64-ядерным Мы уже успели убедиться в том, что новые платформы Apple линейки M3 получились весьма производительными, если говорить о процессорной части. Теперь же первые тесты GPU показывают, что и тут прирост очень внушителен.  SoC M3 Max, имеющая GPU с 40 ядрами в тесте Gee...

Google готовится к экспансии Windows on Arm? Компания наконец-то выпустила Chrome для этой ОС Компания Google решила подготовиться к новому этапу развития ПК процессорами Arm и Windows, выпустив наконец-то браузер Chrome для такой ОС. ПО будет доступно на этой неделе на соответствующих ПК, которые уже есть на рынке. Правда, только на тех, которые основаны на So...

Новое поколение процессоров AMD вынудит пользователей перейти на Windows 11 Компания делает большой упор на технологии ИИ, и чтобы использовать весь функционал по максимуму, понадобится 11 версия Windows

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

Странные Ryzen GT, Ryzen 8000G, которые должны были бы быть Ryzen 7000G, и Ryzen 7 5700X3D. Появились данные о множестве разных новых CPU AMD В Сеть попали подробности о настольных процессорах Ryzen 8000G. Что интересно, попали они туда от иранского ресурса Sakhtafzarmag.  Как можно видеть, в списке источника шесть моделей и ещё шесть версий Pro, которые технически от обычных не отличаются.  Напомн...

Никому неизвестный стартап Groq представил, видимо, лучший процессор для вывода нейросетевых моделей На рынке чипов для ИИ появился новый игрок. Судя по всему, с большим потенциалом. Компания Groq представила свой процессор, который, похоже, ощутимо превосходит конкурентов.  Начать стоит с того, что Groq (процессор называется так же) — это не CPU и не GPU. ...

Samsung представила память LPCAMM, которая «изменит рынок». Модуль LPCAMM очень компактный Модули оперативной памяти концептуально не менялись много лет, но в прошлом году на рынок пришёл формат CAMM, а теперь Samsung представила первые в отрасли модули LPCAMM.  Как говорит компания в своём пресс-релизе, LPCAMM на базе LPDDR станет лидером на рынке моду...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Первые тесты GeForce RTX 4070 Ti Super показывают, что она всего на 5% медленнее, чем RTX 4080 С возможностями GeForce RTX 4070 Super мы уже ознакомились, теперь пришёл черёд RTX 4070 Ti Super. В Сеть как раз попали первые результаты её тестов.  Пока это лишь Geekbench, но для сравнения с другими моделями GeForce для начала вполне хватит.  Итак, новинк...

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

Очередной смартфон-хит от OnePlus? OnePlus Ace 3 получит батарею 5500 мА·ч с поддержкой 100-ваттной зарядки, Snapdragon 8 Gen 2 и улучшенную камеру Недавно представленный в Китае смартфон OnePlus Ace 2 Pro моментально стал хитом на домашнем рынке, но компания уже готовит улучшенный вариант под названием OnePlus Ace 3. Характеристики новинки раскрыл известный инсайдер Digital Chat Station. В основе по-прежнему буде...

Intel, тут ведь даже и 5% прироста нет. Опубликованы тесты Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF Вчера компания Intel представила процессоры Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF поколения Raptor Lake Refresh. Сегодня в Сети появились их обзоры. Напомним, только модели Core i7 получили больше ядер, чем их предшественники, тогда как все остальные...

На китайские процессоры огромный спрос: за первые три месяца 2024 года отгружено столько же CPU Loongson 3A5000 и 3A6000, сколько их отгрузили за весь 2023 год Китайская Loongson, производитель одноименных процессоров, рассказала о значительном росте поставок CPU на домашнем рынке: за первый квартал отгружено столько же CPU Loongson 3A5000 и 3A6000, сколько отгрузили их за весь прошлый год. Фото: Loongson Компания сообщи...

Чем Google Tensor 3 отличается от предыдущего и что еще надо о нем знать Флагманские смартфоны Google серии Pixel 8 имеют в своей основе новый полукастомный процессор под названием Tensor G3. Как следует из названия, это третье поколение чипа, и все, кто планирует купить этот смартфон, имеют самые высокие ожидания. Однако компания не стала уделя...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Состоялся релиз Warframe Mobile на iOS. Есть информация по Android-версии Первый крупный релиз на смартфонах в 2024 году пришёлся на Warframe Mobile. Данная мобильная игра доступна в App Store многих стран, за исключением России. Её не собираются добавлять в РФ, так что для проекта требуется перейти в другой регион. Что касается iOS-версии Warfra...

Great Wall показала свой восьмицилиндровый оппозитный мотор для аналога Honda Gold Wing Great Wall добавила в свою копилку новый бренд, на этот раз мотоциклетный — Souo. Именно под этим брендом выйдут первые мотоциклы Great Wall, ну а первой моделью станет большая туристическая модель формата Honda God Wing: это не скрывает уже ни сама Great Wall, об...

Новейший матовый экран 2,5К, 144 Гц, два порта USB-C и Snapdragon 8+ Gen 1. Представлен Lenovo Legion Y700 Super Control Edition Lenovo Legion Y700 второго поколения был выпущено в июле 2023 года, а теперь компания анонсировала улучшенную версию Legion Y700 Super Control Edition. Единственной разницей станет экран с матовым стеклом, который снизит блики и сделать использование планшеты более комф...

Тайваньский полупроводниковый гигант TSMC вернулся в топ-10 самых дорогих компаний мира Хотя по значимости для полупроводниковой индустрии он может занимать даже первое или как минимум второе место

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

В этом месяце Microsoft представит Surface Pro 10 OLED и Surface Laptop 6 ARM Уже в этом месяце Microsoft представит новые Surface Pro и Surface Laptop, которые должны навязать конкуренцию iPad Pro и MacBook Pro с точки зрения производительности и энергоэффективности. Об этом сообщает редактор Windows Central Зак Боуден со ссылкой на собственные исто...

Компания AMD раскрыла особенности своих новых процессоров Strix Point Новые продукты обеспечат в три раза более высокую производительность искусственного интеллекта по сравнению с процессорами Ryzen серии 7040

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Samsung создаёт память UFS 4.0 для работы с ИИ на смартфоне Западные информационные издания сообщают, что компания Samsung довольно усердно работает над новой версией памяти UFS 4.0, оптимизированной для операций в области искусственного интеллекта, хотя подробности пока что никто не может раскрыть по вполне понятным причинам. Корейс...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Alice & Bob выпустила новый 16-кубитный квантовый процессор "Гелий-1" Компания Alice & Bob представила ленту нового чипа, который, как ожидается, будет улучшать уровень ошибок с каждым добавленным кубитом, что делает его прототипом первого логического кубита с коррекцией ошибок.

Nvidia теперь уступает только Apple. Компания стала вторым по величине клиентом TSMC Компания Nvidia так разрослась благодаря буму на искусственный интеллект, что в итоге стала вторым по величине клиентом TSMC.  Согласно свежим данным, в 2023 году на долю Nvidia пришлось 11% всей выручки TSMC — крупнейшего в мире производителя полупроводнико...

Представлен новый Ford Bronco Sport — теперь ещё дешевле Ford Bronco Sport 2024 года стал немного дешевле. Миниатюрная версия мощного внедорожного внедорожника Ford теперь стоит от 31 390 долларов в США, что на 1 435 долларов меньше, чем раньше. Ford снизил цену на все комплектации, за исключением Badlands высшего уровня. Ком...

Samsung представит новую память GDDR7 Если верить инсайдерам, компания Samsung планирует представить свои самые быстрые модули памяти GDDR7 следующего поколения уже в следующем месяце — они будут поставляться с пиковой скоростью до 37 Гбит/сек для графических процессоров следующего поколения. На текущий момент S...

Почему новый процессор Dimensity 9300 круче Snapdragon 8 Gen 3, и вам нужен смартфон на MediaTek В конце прошлого месяца компания Qualcomm показала процессор Snapdragon 8 Gen 3, который будет использоваться в большинстве флагманских смартфонов 2024 года. Но ее конкурент в лице MediaTek не дремлет и уже сегодня подготовил свой ответ. 6 ноября вышел новый процессор Dimen...

Смартфон Xiaomi 15 Pro получит перископический объектив и изогнутый экран с разрешением 2K Надёжный инсайдер Digital Chat Station раскрыл некоторые подробности о характеристиках грядущего флагманского смартфона Xiaomi 15 Pro. Источник заявил, что ему удалось изучить ранний прототип устройства. Сообщается, что аппарат получит экран с небольшим изгибом и разреш...

Генерация кадров теперь даже на портативных игровых приставках с APU Ryzen. AMD расширила поддержку AFMF на iGPU Radeon 700M Компания AMD расширила поддержку технологии Fluid Motion Frames на интегрированные GPU Radeon 700M.   Напомним, речь идёт о генераторе кадров, который является частью FSR 3, но в виде реализации через драйвер. В этом случае не требуется поддержка FSR любой из верс...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

А ведь глава Nvidia заявлял, что даже бесплатно — это было бы дорого. Ускоритель Intel Gaudi 2 лучше Nvidia H100 в пересчёте производительности на доллар Компания Intel пытается закрепиться на рынке ускорителей для ИИ посредством своих адаптеров семейства Gaudi. И уже второе поколение вышло вполне удачным. В частности, по показателю производительности на доллар в новых тестах MLPerf v4.0, которые демонстрируют возможност...

Хочешь разрабатывать ПО для Vision Pro — покупай Mac на Apple Silicon. Компания подтвердила, что это важное условие для разработчиков Компания Apple подтвердила, что разработчикам, которые собираются создавать приложения для гарнитуры Vision Pro, нужно иметь Mac на основе процессоров Apple Silicon.  Первоначально такое требование значилось ещё в первой бета-версии VisionOS, но тогда было неясно,...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Diablo IV теперь доступна в Game Pass Вчера компания Microsoft официально объявила, что Diablo IV станет первой игрой Activision Blizzard, попавшей в Game Pass. Да, данная игра будет доступна через подписочный сервис для ПК и консолей (у сервиса Game Pass, по словам Microsoft, уже 34 миллиона подписчиков) с 28 м...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Мощность Nvidia RTX 5090 может стать выше на 70-80% в сравнении с RTX 4090 Nvidia собирается «выпустить на волю зверя». GeForce RTX 5090, который должен появиться на рынке в 4 квартале 2024 года, согласно источникам, обещает потрясающий прирост производительности на 70−80% по сравнению с RTX 4090.

Где смотреть презентацию Apple 7 мая на русском языке Готовы к презентации Apple? Изображение: 9to5mac.com Сегодня, 7 мая, Apple проведет первую полноценную презентацию своих устройств в 2024 году. До этого компания показала MacBook Air с процессором M3 без отдельного мероприятия, ограничившись лишь пресс-релизом на сайте. А во...

АвтоВАЗ заплатит часть кредитов за покупателей Lada Vesta NG, которым также дарят комплект зимней резины за 1 рубль АвтоВАЗ запустил программу компенсации процентной ставки по кредитам на покупку автомобилей Lada Vesta NG, о чем сообщает РИА Новости со ссылкой на заявление главы предприятия Максима Соколова. Завод будет частично компенсировать процентную ставку по таким кредитам. На ...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Первый смартфон Xiaomi с поддержкой спутниковой связи, но и не только. Важные подробности о Xiaomi 14 Ultra Инсайдер Digital Chat Station раскрыл подробности о Xiaomi 14 Ultra. Это будет первая модель производителя с поддержкой спутниковой связи, причем сразу двусторонней. Так выглядит Xiaomi 13 Ultra. А изображений Xiaomi 14 Ultra пока нет Но это не все особенности смартфон...

Первый ноутбук на SoC Snapdragon X и с Windows. Asus номинально анонсировала модели Vivobook Компания Asus первой анонсировала ноутбук на основе SoC Snapdragon X. Модель линейки Vivobook будет полноценно представлена 20 мая.  Asus пока не указала никаких технических подробностей, но в пресс-релизе назвала новинку ноутбуком премиум-класса. А это значит, чт...

Ryzen 7 8840U без труда кладёт на лопатки Core Ultra 7 155H, и речь не о CPU или GPU. AMD поделилась тестами блоков NPU Компания AMD снова хвастается производительностью блока NPU в своих мобильных процессорах Ryzen 8000, сравнивая их с новейшими Core Ultra.  AMD сравнила Ryzen 7 8840U с Core Ultra 7 155H в тестах, связанных с большими языковыми моделями. В чате Llama v2 APU Ryzen ...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Совершенно новый Haval H6 стал ещё больше. Появились первые живые фото Когда-то Haval H6 был самым продаваемым кроссовером в Китае и хотя сейчас его продажи снизились, он по-прежнему остается очень важным автомобилем для Great Wall Motor и самой продаваемой моделью бренда Haval. Благодаря инсайдерам из Министерства промышленности и информа...

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Добавив AMD Radeon RX 5600 XT видеопамяти, можно получить прирост производительности до 29% AMD Radeon RX 5600 XT относится к видеокартам среднего ценового сегмента. Изначально производитель не комплектует её 16 Гб видеопамяти, предлагая покупателям лишь 6 Гб. Кстати, модель была запущена в продажу в 2018 году. Читать полную статью

Ноутбук Honor MagicBook Pro 16 получил 165-Гц экран Компания Honor представила ноутбук MagicBook Pro 16 2024, который позиционируется производителем первой моделью на рынке с искусственным интеллектом и поддержкой пространственного звучания (spatial audio). Новинку оснастили новейшими процессорами Intel Core Ultra 7, гра...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Теперь процессоры с малыми ядрами есть и у AMD. Компания представила первые потребительские APU с ядрами Zen 4c Компания AMD представила первые потребительские процессоры с малыми ядрами Zen 4c.   Новинки формально относятся к той же линейке Ryzen 7040U, но неформально их называют Phoenix2. Так как кристалл Phoenix2 содержит меньше ядер, чем кристалл Phonenix, то среди новы...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Google представил Bard 2.0: чатбот на базе Gemini готов свергнуть ChatGPT Google Bard получил серьезное обновление. Бывший аутсайдером чат-бот выходит на первый план с новой моделью Gemini. Теперь он претендует на то, чтобы сравняться с технологиями OpenAI и даже превзойти их.

Первый тест процессора AMD с большими и малыми ядрами. Ryzen 3 7440U в однопоточном режиме быстрее настольного Core i3-13100F В Сети появились первые результаты тестирования процессора Ryzen 3 7440U. В данном случае это интересно по той причине, что Ryzen 3 7440U относится к линейке Phoenix 2, в отличие от других Ryzen 7040U.   Этот APU был представлен вместе со старшими моделями ещё в м...

20 ГБ ОЗУ в смартфоне станет не излишеством, а необходимостью. Генеративные ИИ будут требовать от аппаратов очень много оперативной памяти Смартфоны с Android постепенно получают всё больше и больше оперативной памяти. 8 ГБ давно стали нормой, и 16 ГБ теперь уже никого не удивить, ведь есть модели с 24 ГБ ОЗУ. Однако в ближайшее время такой гигантский объём может стать не излишеством и маркетингом, а необх...

Xiaomi представила мини-ПК по цене смартфона Мини-ПК Xiaomi возвращается с обновлением к 2023 году. Новое устройство теперь комплектуется процессором Core i5-1340P, который, по заявлению Xiaomi, обеспечивает 10% прирост одноядерной производительности и 11% прирост многоядерной производительности по сравнению с предшест...

Vivaldi 6.4 — Расширение возможностей Есть такое интересное развлечение - попытка запустить какую-нибудь программу на различных устройствах. Такие эксперименты не только удовлетворяют любопытство, но и позволяют расширить свои познания о способах реализации различных решений другими разработчиками. Мы тоже любим...

ФАС возбудила дело в отношении Сбербанка Федеральная антимонопольная служба (ФАС) России возбудила дело в отношении Сбербанка и СберСтрахования. Служба выявила в действиях организаций признаки заключения антиконкурентного соглашения. Об этом рассказала пресс-служба ФАС.  Фото: Михаил Воскресенский / РИА ...

Первый в мире «турбированный» SSD. Patriot Viper PV553 оснащён системой охлаждения с центробежным вентилятором Производители SSD с PCIe 5.0 уже не стесняются оснащать свои накопители довольно габаритными и порой вычурными охладителями. Компания Patriot, к примеру, первой решила установить на SSD «турбину».  Центробежный вентилятор ранее активно использовался в ...

США лишат китайского производителя чипов CXMT доступа к своим технологиям Планируемые ограничительные меры США могут подорвать развитие полупроводниковой отрасли Китая. Компания CXMT - лишь первая мишень.

Что важнее, 200-ваттная зарядка или аккумулятор емкостью 6000 мАч? Vivo сделала свой выбор Vivo, как и многие другие производители смартфонов из Китая, активно участвует в гонке мощностей зарядных устройств для обеспечения сверхбыстрой зарядки. Но сейчас, на пороге внедрения 200-ваттной технологии в готовые устройства, Vivo остановилась. Об этом рассказал ин...

Intel, а это действительно должно интересовать потребителей? Компания рассказала о процессорах Lunar Lake, но говорила только о производительности в задачах ИИ На прошедшем сегодня мероприятии Vision 2024 компания Intel не только представила настольные процессоры Core Ultra поколения Meteor Lake, но и раскрыла подробности о Core Ultra 200V поколения Lunar Lake, которые выйдут в конце текущего года.  К сожалению, сегодня ...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Графические процессоры Moore Threads S80 и S70 получили 100% прирост производительности Графические процессоры Moore Threads S80 и S70 получили 100-процентный прирост производительности благодаря обновлению драйверов

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Чтобы геймеры могли лучше оценивать задержки. AMD представила метрику System Lag Компания AMD добавила в свой свежий драйвер новую метрику под названием System Lag.  System Lag призвана отображать задержку между генерацией кадров движком той или иной игры и их дальнейшим рендерингом видеокартой.  Пока что метрика работает только с адаптер...

Apple готовит складной iPad в 2026 году Есть информация от надёжного источника о том, что компания Apple впервые перейдёт от технологии miniLED к OLED для линейки планшетов iPad Pro в 2024 году, после чего эти панели в конечном итоге появятся и в премиальной линейке ноутбуков MacBook. Кроме того, поставщики секрет...

«Без перископа — не флагман». Realme готовится представить ещё один смартфон с таким «телевиком» Компания Realme недавно представила флагманский смартфон GT5 Pro, который впервые с 2020 года для аппаратов компании получил перископный «телевик». Теперь Realme готовит ещё один такой смартфон.  Производитель опубликовал рекламное изображение, сопрово...

Nvidia снова наступает на те же грабли? Представлена технология G-Sync Pulsar, но для её реализации мониторы должны иметь специальный чип Nvidia Компания Nvidia представила на CES 2024 новый этап развития технологии G-Sync — G-Sync Pulsar.   Похоже, что под новым именем в целом скрыты «старые» технологии: собственно, сама G-Sync, то есть переменная адаптивная кадровая частота монитора, и U...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Названы характеристики камеры самого быстрого в мире Android-cмартфона Основная камера нового флагманского смартфона Vivo X100 Pro оснащена 1-дюймовым датчиком изображения Sony IMX989 разрешением 50 Мп, а также объективом с диафрагмой F/1,75. Есть поддержка оптической стабилизации изображения OIS. Кроме того, vivo X100 Pro оснащен перископ...

Samsung Galaxy S24 Plus рвет продажи. Чем он так хорош и почему надо покупать именно его Флагманская линейка Galaxy S от Samsung демонстрирует впечатляющий ежегодный рост продаж в течение последних двух лет. Но в этом году, согласно отраслевому отчету, во многом благодаря модели Galaxy S24 Plus. Серия, включающая модели S24, S24 Plus и S24 Ultra, за первые неде...

Графический процессор Apple M4 не дает прироста по сравнению с M3 Хотя разница между процессорами может быть значительна, утверждается, что производительность графического процессора M4 и M3 одинакова.

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Это замена смартфонам Nokia. HMD Global впервые показала смартфоны под собственным брендом Компания HMD Global показала первые официальные изображения смартфонов, которые выйдут под собственным брендом производителя позже в этом году.  Пока это тизерные изображения, на которых самих аппаратов почти не видно. Зато мы точно знаем, что нас ждёт как миниму...

Слух: Новое поколение приставок Xbox может стать портативным В Сети продолжает появляться всё больше информации о работе игрового подразделения Microsoft над улучшением их консоли Xbox. Так всплыли слухи о том, что многие эксклюзивные проекты потеряют этот статус и выйдут на консолях от Sony и Nintendo — подтверждение этой информации ...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

5 отличий MacBook Pro M3 Pro и M3 Max от M2 Pro и M2 Max На днях Apple второй раз за 2023 год обновила свои самые дорогие MacBook Pro с экранами 14 и 16 дюймов. Модель с базовым процессором M3 пришла на замену MacBook Pro 13, и отличий между ними действительно уйма. А вот что нового в ноутбуках с чипсетами M3 Pro и M3 Max, кроме ...

Core Ultra 7 155H и Ryzen 9 8845HS сошлись в битве нового поколения, где сравнивается производительность ИИ. Тесты показали, что Ryzen быстрее В последнее время производители всё активнее сравнивают свои процессоры по производительности блоков NPU. И хотя это пока практически бесполезная для обычного пользователя вещь, авторы ресурса Computerbase решили сравнить современные CPU Intel и AMD, воспользовавшись бе...

Россияне любят Chery Tiggo 7 Pro Max. Продажи взлетели на порядок за последний год В январе текущего года в России был продан 8801 автомобиль марки Chery, что на 59,5% больше по сравнению с аналогичным периодом 2023 года. Эксперты агентства «Автостат», опираясь на данные АО «ППК», сообщили, что эти данные помогли Chery занять ч...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

TSMC за январь 2024 года заработала 7 млрд долларов Если верить официальному отчёту, выручка компании TSMC только за январь 2024 года составляет 215 миллиардов новых тайваньских долларов (это примерно 6,9 миллиарда долларов США), что является новым рекордом для для производителя за данный период времени. В компании заявили, ч...

Нидерландская Yandex N.V. продаёт бизнес Яндекса за 475 млрд рублей группе частных инвесторов Яндекс выпустил официальный пресс-релиз, посвящённый смене владельца. Ранее об этом стало известно из Единого государственного реестра юридических лиц (ЕГРЮЛ), а теперь компания подтвердила сведения о смене юрлица и раскрыла некоторые подробности. Сообщается, что нидерл...

Первый в мире мини-ПК на процессоре, как у игровой приставки Asus ROG Ally. Edge Z1 основан на Ryzen Z1 Компания AMD ранее в этом году представила гибридные мобильные процессоры Ryzen Z1 и Z1 Extreme, предназначенные для портативных приставок. Оказалось, что на рынке вскоре появится мини-ПК с таким APU.  Модель называется Edge Z1 и основана, как ясно из названия, на...

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

У AMD и Intel явно появится новая головная боль. Snapdragon X Elite громит новейшие Core Ultra Тесты Geekbench показали, что SoC Snapdragon X Elite может конкурировать с младшей версией Apple M3 Pro. Сама же Qualcomm решила опубликовать сравнение своей платформы с Core Ultra.   Результаты также были получены в Geekbench. Согласно им, Snapdragon X Elite на 5...

Первичный анонс SoC Exynos 2400: CPU в 1,7 раза быстрее, чем у Exynos 2200, и GPU на архитектуре RDNA 3 На мероприятии System LSI Tech Day 2023 компания Samsung рассказала о грядущей однокристальной системе Exynos 2400.   создано DALL-E в Bing К примеру, теперь точно известно, что графическое ядро новой SoC называется Xclipse 940, и основано оно на архитектуре AMD R...

Китайцы снова эксклюзивно получат новый процессор Intel. Это будет 10-ядерный Core i5-14490F Компания Intel снова выпустит для китайского рынка уникальный процессор. Возможно, и не один, но пока появилась информация только о модели Core i5-14490F.  Core i5-14490F — это преемник Core i5-13490F, который также выпускался только для Китая, а до этого та...

«Илон Маск, я думал о вас». Глава Intel пригласил миллиардера на экскурсию по заводу компании Компания Intel имеет амбициозный план стать вторым после TSMC крупнейшим производителем полупроводниковой продукции в мире к 2030 году. А для этого ей нужны клиенты. Глава Intel Пэт Гелсингер (Pat Gelsinger), видимо, видит в качестве потенциального клиента компанию Tesl...

Огромный Audi Q9 для конкуренции с BMW X7 и Mercedes GL/GLS впервые показали вживую Генеральный директор Audi Australia Джефф Мэннеринг недавно заявил, что флагманский внедорожник Audi Q9 может появиться к 2026 году, а теперь он был замечен на тестах. У Audi есть почти все базы внедорожников, кроме одного. Его модельный ряд завершается Q7, трехрядным ...

Вот бы такую память для GeForce RTX 5090. Samsung изготовила первый 16-слойный стек памяти HBM Компания Samsung изготовила первый образец 16-слойного стека памяти HBM.   Образец работал нормально, но пока что компания не собирается запускать такие чипы в массовое производство. Судя по всему, массовыми такие стеки станут уже с выходом HBM4, а до этого ещё ми...

Intel решила похвастаться мощью iGPU в процессорах Meteor Lake У Intel упала выручка и рухнула чистая прибыль Компания Intel решила продемонстрировать, насколько производительным является интегрированный графический процессов в CPU Meteor Lake.   Для этих целей компания использовала достаточно требовательную игру Dying Light ...

«Холоп-2» превзошёл «Аватара» и стал вторым самым кассовым фильмом в России за всё время Теперь первые строчки занимают российские комедии.

Второй автомобиль Xiaomi будет напоминать Porsche Cayenne Появились новые детали о втором и третьем автомобилей Xiaomi, которые уже находятся в разработке. Ранее сообщалось, что Xiaomi собирается выпустить внедорожник (или кроссовер) уже к концу этого года. Как пишет carnewschina, Xiaomi наблюдала за Li Auto, которая недавно в...

Samsung много лет сопротивлялась, но сдалась. Galaxy A55 первым среди смартфонов компании получил поддержку бесшовных обновлений Функция бесшовных или плавных обновлений, известная также, как Seamless Updates и A/B Updates, была добавлена в Android ещё несколько лет назад. Samsung была одной из компаний, кто не стал поддерживать эту функцию до последнего. Однако теперь компания сдалась и добавила...

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Lada Vesta NG вернёт себе ESP через шесть месяцев. Как сообщает телеканал «Авто Плюс» со ссылкой на представителей АвтоВАЗа, Lada Vesta NG вернёт себе ESP через шесть месяцев. Мы неоднократно рассказывали, что группа компаний «ИТЭЛМА» недавно наладила производство российской антиблокировочной сис...

Новейший Samsung Galaxy S24 Ultra сравнили с прошлогодними Galaxy S23 Ultra и iPhone 15 Pro Max Сравнение смартфонов Samsung Galaxy S23 Ultra и Samsung Galaxy S24 Ultra , а также их камер опубликовал известный инсайдер Ice Universe. На фотографиях нам наглядно демонстрирует преимущества нового защитного стекла, которое использовано в Samsung Galaxy S24. Оно позв...

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

Какие процессоры чаще всего устанавливают в Android-смартфоны, и почему вы должны знать свой Процессор (чипсет) — это сердце смартфона. От него зависит не только производительность устройства, но и масса других показателей, включая автономность и качество фото. Но задумывались ли вы, какой процессор стоит в телефоне? Если нет, то абсолютно зря. Ведь информация о чи...

Инфляция в России снизижается до 6–6,5%, что свидетельствует об адаптации бизнеса и насыщении рынка Данные показывают снижение темпов прироста официального индекса потребительских цен (ИПЦ), что свидетельствует о стабильном снижении инфляции. Аналитики акцентируют внимание на необходимости использования точных показателей при оценке текущей инфляции, чтобы избежать задерже...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

Core i7-14700KF, который быстрее Core i9-13900K. Новинка засветилась в бенчмарке с незначительным разгоном Процессор Intel Core i7-14700KF засветился в Geekbench 6, где показал отличный на фоне предшественника результат.  Новинка набирает почти 3100 баллов в однопоточном режиме и без малого 21 200 баллов в многопоточном. Во втором случае это близко к результату Core i9...

Первые обзоры новейшей RTX 4070 Ti Super раскрыли минимальный прирост производительности Новейшая видеокарта RTX 4070 Ti Super от NVIDIA, которая выходит уже сегодня, 24 января, привлекла внимание благодаря своим характеристикам и производительности.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Год только начался, а Qualcomm уже превзошла прогнозы по прибыли Qualcomm сообщила о результатах первого финансового квартала, которые превзошли оценки аналитиков, поскольку продажи микросхем для телефонов выросли на 16% по сравнению с предыдущим годом. Компания также объявила о заключении сделок с автопроизводителями на поставку чипов дл...

У Apple снова получилось очень хорошо. SoC M3 в первых тестах на 20% опережает M2 и почти догоняет M2 Pro В базе Geekbench появились первые результаты тестирования нового MacBook 14 с SoC M3.   Новая платформа набирает около 3000 и около 11 700 баллов в однопоточном и многопоточном режимах соответственно. Для сравнения, M2 в среднем набирает около 2700 и 9700 соответс...

Ждём Cyberpunk 2077 на смартфонах? Qualcomm и Samsung собираются использовать технологию апскейла AMD FSR Технология суперсемплинга AMD FSR, как известно, является открытой и поддерживается условно любым GPU. Как сообщается, FSR в обозримом будущем может появиться и на смартфонах.  Инсайдер Revegnus говорит, что Samsung и Qualcomm решили сотрудничать с AMD для реализа...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

Snapdragon 8 Gen 4 окажется очень мощной. Инженерный образец даже с ограничением частоты до 2,4 ГГц демонстрирует производительность на уровне Snapdragon 8 Gen 2 В Сети появился первый тест инженерного образца будущей флагманской однокристальной системы Qualcomm Snapdragon 8 Gen 4. SoC протестировали в AnTuTu, и она выдала результат в 1,85 млн баллов. Это уровень Snapdragon 8 Gen 2, но есть нюанс: частота CPU не превысила 2,4 ГГ...

Ноутбук Redmi G Pro 2024 будет поддерживать 96 ГБ ОЗУ Компания Xiaomi раскрыла новые характеристики игрового ноутбука Redmi G Pro 2024, который будет официально представлен уже 4 марта. Итак, новинку оснастят двумя слотами SO-DIMM для оперативной памяти суммарным объемом 96 ГБ, двумя слотами M2 с максимальной вместимостью тверд...

Одна платформа, чтобы управлять всеми. SoC Exynos 2500 существует в двух версиях и появится далеко не только в смартфонах Однокристальная система Exynos 2500, возможно, будет ещё более важной для Samsung, чем считалось. Согласно свежим данным, она появится далеко не только в смартфонах компании.  Инсайдер @OreXda утверждает, что сейчас Exynos 2500 существует в двух версиях: Exynos 25...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

На выбор Core i7, Core Ultra 5 или Ryzen 7. Анонсирован первый мини-ПК компании Colorful — CMNH01-12450 В последнее время всё больше компаний выходит на рынок мини-ПК. Известная своими видеокартами Colorful анонсировала свой первый подобный продукт под названием CMNH01-12450.  В названии сокрыто сердце новинки — процессор Core i7-12450H. Напомним, он имеет чет...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Nvidia выходит на поле квантовых вычислений с облачным сервисом Quantum Cloud Nvidia запустила облачный сервис для квантовых вычислений, стремясь получить прибыль от области, которая получает финансирование по всему миру, несмотря на то, что в этой области до сих пор было создано мало приложений. По словам Тима Косты, директора по высокопроизводи...

Самый тонкий продукт Apple в истории. Представлен новый iPad Pro на базе Apple M4, с OLED-дисплеем Ultra Retina XDR и улучшенными камерами Apple представила обновленные модели iPad Pro на базе однокристальной системой Apple M4, которые оснащены OLED-дисплеями Ultra Retina XDR. Новый iPad Pro получил более тонкий корпус и экраны диагональю 11 и 13 дюймов. Толщина 11-дюймовой модели составляет 5,3 мм, а у 13...

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Японские инвесторы вложили $290 млн в Sierra Space для ускорения работы над проектами Dream Chaser и коммерческих космических станций 26 сентября компания объявила о раунде серии B, возглавляемом тремя японскими инвесторами: банком Японии MUFG, торговой компанией Kanematsu Corporation и Tokio Marine & Nichido Fire, крупнейшей в стране страховой компанией. В ноябре 2021 года в раунде серии A Sierra...

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Mercedes-Benz обошла компанию Tesla: немцы первыми запускают автопилот третьего уровня. Названа стоимость подписки Компания Mercedes-Benz выпускает новую версию автопилота Drive Pilot, став первым в мире автопроизводителем, предлагающим в США сертифицированную технологию автономного вождения 3-го уровня. Автопилот третьего уровня, напомним, подразумевает возможность для водителя пол...

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

GeForce RTX 4060 активно набирает обороты, несмотря на критику. Опубликована свежая статистика Steam Компания Valve обновила статистику сервиса Steam. Теперь первая десятка самых популярных видеокарт включает шесть моделей GeForce xx6x.   фото: Gigabyte Первое место уверенно удерживает RTX 3060, набрав уже почти 7%, далее следуют RTX 2060 и GTX 1650. Однако стоит...

Тут все, что известно о дешевом Nothing Phone 2a. Цена, цвета, характеристики Выпустив в этом году Nothing Phone 2, компания под руководством Карла Пея сделала правильные обновления во всех ключевых областях. Телефон получил более высокое качество сборки, больший дисплей и более производительный чипсет, что позволило ему обеспечить лучший пользовател...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

V8 и V12 останутся еще надолго: Aston Martin будет выпускать машины с ДВС пока это будет разрешено законом Aston Martin отложила свои планы по выпуску своего первого электромобиля с 2025 на 2027 год и соответственно увеличила инвестиции в гибридную технологию. По словам исполнительного председателя Aston Martin Лоуренса Стролла, «всегда будет спрос» на автомобили...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Нет, Samsung не откажется от помощи AMD при создании собственного GPU. Сотрудничество компаний продолжится Возможно, недавние данные о том, что Samsung хочет отказаться от помощи AMD и создать полностью свой GPU, были несколько неверными.  Инсайдер Revegnus говорит, что корейский гигант действительно хочет создать свой графический процессор, но не без помощи AMD, а, на...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Процессоры Intel Core Ultra ещё не показали всей своей мощи. Обновление BIOS заметно поднимает производительность Meteor Lake Intel Core Ultra не впечатлили производительностью в первых обзорах, но это можно списать на бета-версию BIOS: из-за неё процессоры не получали достаточное питание. К такому выводу пришёл обозреватель UltrabookReview, который получил свежую версию BIOS и провел повторны...

Apple выпустила новый iPad Pro 2024 с OLED-экраном на свежем чипе M4. Такой планшет я бы купил не раздумывая В последнее время дела у планшетов идут не очень. Пользователи поняли, что они не смогут в полной мере заменить ноутбуки даже при наличии надстроек в системе и дополнительной периферии. Однако для некоторых именно планшеты являются основной рабочей лошадкой, но с основными ...

AMD уступит в поколении Radeon RX 8000, чтобы нанести сильный удар с Radeon RX 9000. Архитектура RDNA 5 будет полностью новой Новое поколение видеокарт AMD останется без флагманских адаптеров. Пока неизвестно, что AMD решила на этот счёт с поколением, которое появится после грядущего, но сообщается, что архитектура RDNA 5, которая будет лежать в основе, является полностью новой.  RDNA 4 ...

Почему стоит купить Nothing Phone на OZON. Потом ещё спасибо скажете Nothing Phone — один из лучших смартфонов, который можно купить сегодня на рынке Несмотря на то что проблем тем, чтобы купить телефоны, у россиян никогда не было, с введением параллельного импорта всё стало сильно проще. В страну хлынул целый поток аппаратов, которые н...

Первый на Xiaomi HyperOS. Объём запасов Xiaomi 14 будет очень большим Объем запасов серии флагманских смартфонов Xiaomi 14 будет очень большим, а внутренняя уверенность компании в новую линейку является максимальным. Об этом сообщил инсайдер Digital Chat Station. Xiaomi 14 станет первым смартфоном компании, который получит новую операцион...

«Вы принесли много жертв, от многого отказались и спасли автомобильную промышленность», — президент США впервые в истории поддержал забастовку работников автозаводов Забастовка членов профсоюза UAW против компаний Ford, General Motors и Stellantis продолжается уже вторую неделю. При этом президент США Джо Байден посетил рабочих на забастовке. Этот визит стал историческим: ранее президенты США на посту не поддерживали подобные меропр...

Процессоры Intel Raptor Lake будут работать на частоте 6 ГГц Более того, при условии охлаждения жидким азотом Raptor Lake можно разогнать до 8 ГГц, утверждают инженеры компании. На пресс-конференции в израильском центре разработки компании Intel в Хайфе было объявлено, что процессоры 13-го поколения Intel Raptor Lake смогут работат...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Камеры Sony больше не нужны? Китайские производители смартфонов переходят на OmniVision: топовый датчик превзойдёт Sony IMX989 Производитель датчиков изображения CMOS OmniVision выпустит в конце года новые продукты высокого класса, включая OV50K. Это датчик изображения дюймового формата, который будет намного превосходить по характеристикам Sony IMX989. Ранее анонсированный Xiaomi 14 испол...

Топовая SoC MediaTek Dimensity 9300 без малых ядер CPU оказалась очень успешной. Продажи компании ощутимо выросли Компания MediaTek, похоже, сделала правильную ставку на свою новую флагманскую SoC Dimensity 9300.   В прошлом году выручка компании от продажи флагманских SoC выросла на 70%, до более чем 1 млрд долларов. Само собой, это заслуга не только новейшей платформы компа...

Первый электрический авиалайнер появится в 2033 году Так может выглядеть электрический авиалайнер E9X Электрический экспериментальный самолет NASA X-57 Maxwell потерпел неудачу — он не взлетел в конце 2023 года, как было запланировано, и больше никогда не взлетит, так как проект был закрыт. Однако это не поставило крест ...

«Самый популярный в мире ноутбук стал лучше, чем когда-либо». Apple представила MacBook Air на основе SoC M3 Компания Apple, как и предполагалось, представила обновлённый ноутбук MacBook Air на основе SoC M3.  Как сказано в пресс-релизе, самый популярный в мире ноутбук стал лучше, чем когда-либо, благодаря еще большей производительности, более быстрому Wi-Fi и поддержке ...

Эти китайские процессоры смогут потягаться хотя бы с современными Core i3? Loongson представила CPU 3B6600 и 3B7000 Компания Loongson представила новые потребительские процессоры: 3B6600 и 3B7000. Это новое поколение, которое, к кроме прочего, приносит больше ядер.  Многих подробностей пока нет, так как анонс, видимо, предварительный. Но слайды с презентации позволяют понять, ч...

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

iQOO представила смартфон Neo 9S Pro Относительно недавно, в декабре 2023 года, был представлен смартфон iQOO Neo9 Pro — он работает на базе процессора Dimensity 9300, который в целом неплохо справляется с поставленными задачами. А теперь бренд, принадлежащий компании Vivo, официально сообщил, что новый смартфо...

Apple представила 3-нм чип M4 с улучшенной мощностью и энергоэффективностью На прошедшем сегодня мероприятии компания Apple анонсировала свой очередной фирменный процессор – Apple M4. Чип, созданный с использованием передовой 3-нм технологии второго поколения, обещает снова увеличить производительность и энергоэффективность устройств Apple. Apple M4...

Great Wall Motor — первый автопроизводитель, представивший материалы для расследования Европейской комиссии Great Wall Motor (GWM) стал первым автопроизводителем, который предоставил необходимые материалы для расследования Европейском комиссии. Фэн Му, президент GWM, уточнил, что компания отправила документы 11 октября. «Расширение автомобильной промышленности Китая за ...

Глава Роскосмоса: в очередной раз будем наступать на грабли — «Ангару» запустим сейчас, а документы будут готовы позже В рамках марафона «Знание.Первые» на Всемирном фестивале молодежи глава «Роскосмоса» Юрий Борисов рассказал, что стартовая инфраструктура для ракет «Ангара» на космодроме Восточный практически готова к первому пуску. Однако, у строите...

Redmi представила планшет Pad Pro Сегодня компания Redmi официально представила свой новейший планшет Pad Pro. И первый в серии Pro планшет от данного производителя имеет внушительный перечень преимуществ — например, он оснащён достаточно большим экраном диагональю 12,1 дюйма, производительным процессором Qu...

Сенегал готовится к запуску своего первого спутника С целью лучшего решения таких задач, как наблюдение за стихийными бедствиями и повышение сельскохозяйственной продуктивности, Сенегал планирует задействовать потенциал спутниковых технологий и искусственного интеллекта. Инженеры центра SCUM, проектирующие спутник. Исто...

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Apple готовит к релизу процессор М4 Компания Apple, по информации сразу нескольких инсайдеров, активно работает над тем, чтобы выпустить процессор М4 нового поколения уже в этом году. На текущий момент эта информация официально никем не подтверждается и на самом деле сложно сказать будет ли производитель идти ...

NVIDIA представила GPU Blackwell B200 с 208 млрд транзисторов На конференции GTC 2024 NVIDIA представила графический процессор Blackwell B200. Новый GPU обеспечит пятикратный прирост производительности в области искусственного интеллекта по сравнению с Hopper H100 текущего поколения, и имеют в четыре раза больше встроенной памяти. B200...

«Чёрный — вечная классика», — президент Xiaomi уже перешёл на Xiaomi 14 и дразнит новостями о модели Pro Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi, уже перешёл на Xiaomi 14. Последние сообщения в Weibo он публикует с этого смартфона. «Чёрный — вечная классика, вам он нравится?», — так прокомментировал Лу Вейбинг рендер X...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Microsoft в ближайшее время может обогнать Apple по рыночной капитализации Компания Microsoft снова приближается к Apple по величине рыночной капитализации и, вполне возможно, вскоре обойдёт конкурента.  создано DALL-E Сейчас Microsoft отстаёт от Apple всего менее чем на 100 млрд долларов, что является самым низким показателем с конца 20...

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

Снова глава Nvidia в офисе OpenAI, но теперь без Илона Маска. Дженсен Хуанг привёз в OpenAI первую в мире станцию DGX H200 Глава Nvidia Дженсен Хуанг (Jensen Huang) лично привёз в офис компании OpenAI первую в мире станцию DGX H200 для искусственного интеллекта.  Не так давно Илон Маск опубликовал фото, как Хаунг привёз в OpenAI, где тогда её работал сам Маск, первый сервер DGX-1. Это...

Xiaomi не ожидала, что Xiaomi 14 станет настолько успешным Основатель Xiaomi Лэй Цзюнь рассказа в Weibo о своем предпринимательском пути за последние 30 лет, будущих перспективах Xiaomi, автомобилях компании и успехе Xiaomi 14. Объем продаж серии Xiaomi 14 быстро превысил один миллион единиц. В течение 5 минут после запуска про...

BMW: продажи автомобилей с ДВС достигли пика, рост обеспечивают электромобили. Но механическая коробка передач пока остаётся в линейке Финансовый директор BMW Вальтер Мертл заявил о значительном сдвиге в динамике продаж компании, заявив, что продажи автомобилей с двигателями внутреннего сгорания достигли пика. Мертл подчеркнул, что электромобили сейчас обеспечивают большую часть роста продаж бренда. Ож...

Dimensity 9300, 24 ГБ LPDDR5T и 1 ТБ UFS 4.0. Redmi K70 Extreme Edition выйдет раньше срока Следующими флагманскими смартфонами Xiaomi должны стать Xiaomi 14 Ultra и Redmi K70 Extreme Edition, при этом Digital Chat Station утверждает, что последний выйдет раньше срока. Известный инсайдер раскрыл некоторые характеристики этого устройства, добавив, что он будет ...

Да, тут 16 ГБ памяти, но это не нужно современным играм. Тесты показывают, что GeForce RTX 4070 Ti Super незначительно быстрее RTX 4070 Ti GeForce RTX 4070 Super оказалась существенно быстрее обычной RTX 4070, при этом цена осталась той же. Теперь же в Сети появились обзоры RTX 4070 Ti Super, у которой кроме большего (в сравнении с RTX 4070 Ti) количества ядер CUDA есть ещё и больше памяти с более широкой ...

В этот день Core Ultra сменят Core i. Intel анонсировала мероприятие AI Everywhere, на котором представит процессоры Meteor Lake Компания Intel уже сообщала о том, что представит процессоры Meteor Lake 14 декабря, но лишь сейчас она анонсировала соответствующее мероприятие.  Называется оно AI Everywhere, и название явно говорит нам о том, что Intel будет делать большой упор на ИИ при анонсе...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

Samsung представила карту microSD со скоростью передачи данных до 800 МБ/с. Это быстрее любого SSD с SATA Компания Samsung Electronics представила первую в отрасли карту памяти microSD с поддержкой интерфейса SD Express. Скорость передачи данных у такой карты достигает впечатляющих 800 МБ/с.  Это больше, чем может обеспечить любой SSD с интерфейсом SATA и вчетверо быс...

Сотрудники Apple чаще всего переходят работать в Google, а в саму Apple больше всего людей перешло из Intel Аналитики компании Switch on Business, на отчёт которой ссылается ресурс 9to5mac, провели исследование и обнаружили, что сотрудники, уходящие из Apple, чаще всего переходят на работу в Google.  Исследование было проведено на основе данных Linkedin, и относилось в п...

Xiaomi 14 Pro победил всех, кроме iPhone 15 Pro Max. Сравнение автономности показывает, что до флагмана Apple новинка не дотягивает На рынок уже вышли первые смартфоны с SoC Snapdragon 8 Gen 3, а это значит, что пришла пора многочисленных сравнений. В том числе сравнения автономности. В новом поучаствовали Xiaomi 14 Pro и iPhone 15 Pro Max с его 3-нанометровой платформой. Также для разнообразия были...

Очередная революция от AMD, но очень условная. Опубликованы обзоры процессоров Ryzen 8000G Сегодня был снят запрет на публикацию обзоров настольных процессоров AMD Ryzen 8000G, так что мы можем ознакомиться с тем, на что способны эти новинки.  Напомним, технически это фактически те же мобильные Ryzen 7040U/H/HS, но в настольном варианте. У них те же ядр...

Li Auto суммарно продала более 700 000 машин с 2019 года, а только в 2024 году она планирует продать более 800 000 Li Auto, известный не только в Китае, но и в России стартап по производству автомобилей на новых источниках энергии в Китае, сообщил, что совокупный объем продаж превысил 700 000 единиц. В марте Li Auto поставила 28 984 автомобиля, что на 39,2% больше, чем в п...

Intel, это всё хорошо, но нужно ли это пользователям? Компания похвасталась, что её процессоры Core Ultra поддерживают уже более 500 моделей искусственного интеллекта Компания Intel объявила, что её процессоры Core Ultra поддерживают уже более 500 моделей искусственного интеллекта.  Компания говорит, что эта важная веха стала результатом инвестиций Intel в клиентский ИИ, трансформацию ИИ-ПК, оптимизацию инфраструктуры и инструм...

Samsung уже тестирует One UI 6.1 для Galaxy S21, Galaxy S21 FE, Galaxy S22, Galaxy A54, Galaxy A34 и ряда других моделей Первыми смартфонами Samsung под управлением Android 14 с новейшей версией интерфейса One UI – One UI 6.1 – станут Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra, ну а следом One UI 6.1 должен выйти для других моделей компании. И уже очерчен их примерный пере...

Apple хочет, чтобы iOS 18 стала самым «амбициозным и убедительным» обновлением за последние годы Ранее на этой неделе агентство Bloomberg сообщило, что Apple приостановила разработку новых функций для iOS 18, чтобы сосредоточиться на исправлении ошибок. Несмотря на недельную паузу, у компании по-прежнему большие амбиции относительно обновления программного обеспече...

Запас хода 2000 км и расход 2,9 л на 100 км. BYD собирается утереть нос всем конкурентам BYD собирается представить свою гибридную систему пятого поколения, которая позволят автомобилю потреблять всего 2,9 л топлива на 100 километров и проезжать около 2000 километров с полным баком топлива и полной заправкой. Для сравнения, обычные бензиновые автомобили име...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Чем отличается iPad Pro 2024 от iPad Pro 2022 на самом деле Apple провела одну из самых коротких презентаций за последнее время: компания представила только два новых планшета, которые до этого не обновлялись несколько лет. Пользователи ожидали серьезного апгрейда — Apple не подвела, установив в новинках не только свежие проце...

Новейший Nissan GT-R отправят на пенсию всего через два года после анонса: некоторые детали «больше нельзя будет производить» Как стало известно, Nissan GT-R 2025 модельного года будет анонсирован 14 марта. В следующем году данная модель будет снята с производства, о чем пишет издание Mag-X со ссылкой на собственные источники. В финальной партии будет всего 1500 автомобилей, при этом 300 машин...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Qualcomm уже тестирует процессор Snapdragon 8 Gen 4 Новый мобильный процессор Snapdragon 8 Gen 4, по информации инсайдеров, станет первым процессором от компании Qualcomm, который не будет использовать микроархитектуру процессорных ядер ARM и перейдёт на специальные ядра Oryon, которые внутри компании получили кодовое имя Pho...

Выпущена Orange Pi Neo – портативная консоль на AMD Ryzen 7 за $500 Компания Orange Pi представила свой первый игровой портативный компьютер Orange Pi Neo в феврале этого года. Хотя на презентации производитель раскрыл большую часть подробностей об устройстве, тогда компания не раскрыла информацию о стоимости консоли. ОсобенностиOrange…

Перевод: что ожидать от грядущей презентации Microsoft, посвящённой Windows и Surface Всего неделя остаётся до долгожданной презентации Microsoft, которая, как ожидается, будет посвящена Windows 11 версии 24H2, новым функциям на базе искусственного интеллекта и новым устройствам на базе ARM-процессоров Snapdragon X Elite от Qualcomm. Мероприятие состоится 20...

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

Представлена большая линейка OLED-телевизоров LG 2024 модельного года Компания LG представила линейку продуктов OLED-телевизоров 2024 года, включая телевизор LG M4, а также последние версии стандартной линейки продуктов OLED G4, C4 и B4, которые будут показаны 9 января на выставке CES 2024. Конечно, LG официально не подтвердила цену или д...

Это прототип Samsung Galaxy Z Fold6. Известный инсайдер опубликовал фото грядущего смартфона Известный инсайдер Ice Universe опубликовал фото прототипа складного смартфона Samsung Galaxy Z Fold6.  Внешне, правда, это больше похоже на макет, но, возможно, это прототип без дополнительного экрана. В любом случае, это означает, что прототип весьма ранний. Учи...

Стоит ли покупать Redmi Note 13 и Redmi Note 13 Pro? Здесь вся правда о новых суперхитах Xiaomi 15 января компания Xiaomi показала глобальную версию Redmi Note 13. Новая линейка, представители которой точно станут хитами 2024 года, включает в себя аж 5 моделей. Каждый аппарат позиционирует себя как недорогой смартфон с хорошей камерой. Но достаточно ли этого, чтобы по...

Первые автомобили с CarPlay нового поколения будут представлены до конца года Компания Apple рассказала о новой версии систем CarPlay ещё в 2022 году. На сегодня новое поколение этой платформы пока не появилось ни в одном авто, однако Apple обещает, что это всё же произойдёт до конца текущего года.  Прямо на сайте компании, где есть в том ч...

Geely в 2024 году порадует россиян четырьмя новыми моделями. Среди них совершенно новый Atlas и фирменный заменитель Toyota Camry Сегодня в рамках Российско-Китайского Автопромышленного Форума Geely анонсировала четыре новинки для России. Как видно на слайде, в их числе два компактных кроссовера, один среднеразмерный кроссовер и один среднеразмерный седан. Новый Geely Atlas появится в России в 20...

«Некоторые из наших клиентов не поверили данным, пока не проверили их на практике». Память HBM3 Gen2 уже тестируется Nvidia Компания Micron уже начала поставки памяти HBM3 Gen2 для Nvidia, и результаты тестирования, похоже, очень впечатляющи.  Память поставляется пока лишь для тестирования: массовое производство ещё, видимо, не началось. Однако уже сейчас понятно, что новая память може...

Вот она — память GDDR7, которую получат видеокарты GeForce RTX 50. Samsung привезла на GTC микросхемы с частотой до 32 ГГц Компания Samsung показала на GTC 2024 свою графическую память GDDR7, которую анонсировала некоторое время назад.  Микросхемы Samsung GDDR7 имеют объём 2 ГБ и рабочее напряжение всего 1,1 В. Samsung говорит, что относительно GDDR6 энергоэффективность повышена на 20...

Intel выиграла в суде и не будет платить 2 млрд долларов Компания Intel, ведущий производитель процессоров в мире, добилась значительной победы в суде — сегодня суд апелляционной инстанции отменил штраф в размере 2,18 миллиарда долларов, наложенный на неё в 2021 году. Этот штраф был связан с основными компонентами систем управлени...

АвтоВАЗ уже не остановить. Компания готовит новые моторы объемом 1,8 и 1,6 л – на 130 и 120 л.с. Как стало известно ресурсу Motor.ru, АвтоВАЗ работает над новыми двигателями для Lada Vesta и Lada Granta. Их объем составит 1,8 и 1,6 л. Фото: АвтоВАЗ Двигатель объемом 1,8 л станет улучшенной версией представленного в понедельник двигателя 1.8 Evo. Его мощность будет...

Ryzen 7 9700X будет намного мощнее предшественника? Новый восьмиядерный CPU AMD имеет TDP 170 Вт, как актуальные модели с 12 и 16 ядрами Вчера мы говорили о том, что процессоры AMD на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании. Это были исключительно мобильные APU, а теперь такая же информация появилась и относительно настольных моделей.  Новое поколение настольных Ryze...

Samsung Exynos 2400 превзошёл Snapdragon 8 Gen 3 в мобильных играх Новый процессор Samsung Exynos 2400 показал отличные показатели графической производительности в бенчмарках, но зачастую это ничего не говорит о поведении чипа в реальных условиях

Представлен Surface Go 4 на базе процессоров Intel N200 Если вы следили за сегодняшним мероприятием Microsoft, то наверняка обратили внимание, что компания ничего не рассказала о Surface Go 4. Дело в том, что новинка ориентирована исключительно на бизнес-клиентов, да и название у неё соответствующее — «Surface Go 4 for Business»...

Что известно про iPad Pro и iPad Air перед презентацией Apple в марте: новый размер, процессор и цена Хоть 2024 год и начался совсем недавно, но уже очень скоро нас ждут первые новинки от компании Apple, которые будут представлены в конце марта. В настоящее время неизвестно, будет это полноценная презентация, просто записанное видео или купертиновцы разместят их на сайте и ...

Перевод: дорожная карта и ИИ-функции Windows 11 версии 24H2 Этот год ожидается довольно насыщенным для операционной системы Windows, ведь уже совсем скоро на рынке начнут появляться первые так называемые «ПК с ИИ» от ключевых OEM-партнёров Microsoft. Пришло время разобраться в планах компании и поговорить о некоторых новшествах в сл...

Sharp представила смартфон Sense8 с отличным дисплеем Сегодня компания Sharp официально представила относительно доступный смартфон Sense8, который появился на рынке по цене в 62 150 японских йен (для примера, Pixel 7a стоит 62 700 йен). Согласно информации от представителей компании Sharp, этот смартфон был разработан в первую...

Упоминания Windows 11 версии 24H2 появились на сайте поддержки Microsoft На официальной странице поддержки Microsoft обнаружено, вероятно, первое упоминание «Windows 11 версии 24H2». Это может означать, что следующая версия Windows выйдет в качестве крупного функционального обновления для Windows 11, а не будет являться новой операционной систем...

Состоялся релиз Windows 11 Moment 4 (версия 22H2) Microsoft начала распространять обновление под кодовым названием «Moment 4» для Windows 11 версии 22H2, которое приносит с собой некоторые нововведения, являющиеся частью функционального обновления Windows 11 2023 Update (версия 23H2). «Moment 4» установится как обычное нак...

Intel готовит ещё 3 процессора нового поколения без индекса К Буквально вчера вечером компания Intel официально представила свои совершенно новые процессоры, которые на самом деле оказались процессорами прошлого года, но с повышенной тактовой частотой производительных ядер. Это, безусловно, разочаровало многих пользователей, так как ге...

Продажи смартфонов в России взлетели до уровня 2021 года. Лидируют Xiaomi и Apple, согласно данным М.Видео-Эльдорадо Группа М.Видео-Эльдорадо сообщила о продажах смартфонов за девять месяцев 2023 года. Они составили около 21,5 млн единиц, что на 25% больше, чем годом ранее, при этом это сравнимо с результатом 2021 года. Средний чек сократился почти на 10% и составил 21,3 тыс. рублей. ...

Кроссоверы Jetour начнут выпускать в Калининграде. Первая модель — Jetour Dashing Drom.ru раскрыл подробности запуска производства кроссоверов Jetour в России. По словам заместителя генерального директора «Джетур Мотор Рус» Михаила Роткина, выпуском автомобилей китайского бренда займется калининградский «Автотор» — он же...

Быстрее в 10 раз: новая версия нейросети Kandinsky Сбера доступна всем пользователям Команда СберБанка поделилась свежими новостями о развитии проекта фирменной нейросети — бесплатной генеративной модели Kandinsky, которая создаёт изображения по текстовому описанию на русском и английском языках. Обновлённая версия Kandinsky 3.1 теперь стала досту...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)