Социальные сети Рунета
Четверг, 16 мая 2024

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Смартфон Xiaomi 15 Pro получит перископический объектив и изогнутый экран с разрешением 2K Надёжный инсайдер Digital Chat Station раскрыл некоторые подробности о характеристиках грядущего флагманского смартфона Xiaomi 15 Pro. Источник заявил, что ему удалось изучить ранний прототип устройства. Сообщается, что аппарат получит экран с небольшим изгибом и разреш...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Всё, что известно о «супервидеокартах» Nvidia: линейка RTX 40 Super Приготовьтесь к еще более быстрому поколению RTX. Последние (и не только) утечки раскрыли серию Nvidia GeForce RTX 40 SUPER подробнее. Она обещает прирост производительности и памяти на каждом уровне.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

Мощность Nvidia RTX 5090 может стать выше на 70-80% в сравнении с RTX 4090 Nvidia собирается «выпустить на волю зверя». GeForce RTX 5090, который должен появиться на рынке в 4 квартале 2024 года, согласно источникам, обещает потрясающий прирост производительности на 70−80% по сравнению с RTX 4090.

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Новый патч Alan Wake 2 повысит производительность на старых видеокартах серии GeForce GTX 10 до 100% Прирост производительности на 57% для GeForce GTX 1070, 85% для GTX 1080 и 100% для GTX 1080 Ti – следующий патч сделает Alan Wake 2 по-настоящему проходимой игрой.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung Galaxy S24 получит алюминиевую рамку корпуса Вчера в сети появилась информация, что все смартфоны серии Samsung серии Galaxy S24 будут оснащены корпусом с боковыми рамками из титанового сплава. Сегодня авторитетный инсайдер Ice Universe выступил с опровержением. По данным его источника с завода, где производят грядущие...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Qualcomm официально представила Snapdragon 7+ Gen 3 Компания Qualcomm сегодня анонсировала свой новый процессор под названием Snapdragon 7+ Gen 3, который относится к чипам среднего класса и обладает достаточно неплохим набором возможностей. Платформа является эволюцией Snapdragon 7 Gen 3 и предоставляет производительное ядро...

Galaxy Z Fold 6 получит те же камеры, что использовались в Fold 4 Серия Galaxy Z Fold от Samsung изменила представление о складных телефонах, но, похоже, инновации в области камеры могут не стать приоритетом для предстоящего Z Fold 6. По словам надежного источника Ice Universe, в Z Fold 6 будет использована та же самая камера, что и в его ...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Новый драйвер для видеокарт Arc дает десятки и сотни процентов прироста в играх Intel обеспечивает еще более впечатляющий прирост производительности с помощью нового драйвера для видеокарт Arc

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

ИИ Google поднимет функции Samsung Galaxy S25 на новый уровень: что известно Samsung подтвердила, что грядущая серия Galaxy S25 будет оснащена новым поколением искусственного интеллекта от Google — Gemini Nano 2. Пришедший на смену Gemini Nano в S24, он обещает значительно улучшить пользовательский опыт.

Новая видеокарта AMD Radeon Pro W7700 получит 16 ГБ памяти В недавнем анонсе серии Threadripper 7000 компания AMD тонко намекнула на грядущее пополнение в линейке GPU. Серия видеокарт PRO, предназначенная для систем высокого класса, в настоящее время состоит из четырех моделей. Однако предстоящий выпуск Radeon PRO W7700 должен запол...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Процессоры AMD Strix Halo получат ядра Zen5 и графику RDNA3.5 Предполагается, что грядущие гибридные процессоры AMD получат значительный прирост производительности, а графическая производительность достигнет уровня мобильной карты GeForce RTX 4070

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Новый драйвер AMD обеспечивает генерацию кадров почти в любой игре с DirectX 11 и 12 Красная команда обещает колоссальный прирост производительности для владельцев новых видеокарт Radeon.

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

ASUS готовит к релизу видеокарту GeForce RTX 4080 SUPER Noctua OC Edition Уже завтра состоится запуск видеокарт серии NVIDIA GeForce RTX 4080 SUPER, и ASUS представит свой уникальный дизайн видеокарты Noctua OC Edition, у которого есть целый перечень приятных преимуществ. Например, компания ASUS сотрудничает с Noctua для реализации наилучшего охла...

Видеокарта GeForce RTX 4080 Super может полностью заменить на рынке обычную RTX 4080, но при этом быть дороже Видеокарта GeForce RTX 4080 Super, о которой в последнее время говорят всё активнее, может выйти не для расширения линейки RTX 40, а на замену текущей RTX 4080.  Сообщается, что после выхода новинки обычная RTX 4080 будет снята с продажи. На фоне этих слухов ритей...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Видеокарты RX 8000 исправят ошибки RDNA 3, а RX 9000 получат непревзойденную производительность RX 8000 не получат заметного прироста производительности, а RX 9000 станут революционными.

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Рамки экрана станут по-настоящему ультратонкими. Подробности о Xiaomi 15 и Xiaomi 15 Pro от надежного источника Несмотря на то, что смартфоны Xiaomi 14 и Xiaomi 14 Pro вышли на рынок лишь чуть больше месяца назад, инсайдер Digital Chat Station уже раскрыл подробности об их преемниках. У Xiaomi 14 Pro и так тонкая рамка, но в Xiaomi 15 Pro она станет еще тоньше По словам информат...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Стало известно, что Honor 100 получит Snapdragon 7-й серии, а Honor 100 Pro Snapdragon 8-й серии Следовательно, похоже, что Honor 100 Pro будет оснащен чипом Snapdragon 8 Gen 2, а Honor 100, похоже, будет оснащен грядущим чипсетом Snapdragon 7 Gen 3, который еще не представлен официально.

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Компания UltiMaker выпустила слайсер Cura 5.5, обещает двойной прирост скорости 3D-печати Американо-нидерландская компания UltiMaker обновила фирменный бесплатный слайсер Cura до версии 5.5 с расширенным функционалом плагинов и оптимизированными алгоритмами построения, повышающими производительность 3D-принтеров серии S до двух раз.

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

FPV-дрон DJI Avata 2 получит 48-Мп сенсор Сетевые источники поделились подробностями о дроне DJI Avata 2, который еще не был представлен официально. Итак, грядущую новинку оснастят 48-Мп датчиком изображения оптического формата 1/1,3 дюйма, оптикой с диафрагмой F/2,8, возможностью записи видео в разрешении 4К с 60 к...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

Теперь Intel обещает 20-40% прироста и даже больше, но хватит ли этого, чтобы догнать монстров AMD? В Сеть попал документ о CPU Xeon поколения Emerald Radips В первой половине следующего года Intel выпустит серверные процессоры Xeon поколения Emerald Radips, которые заменят Sapphire Rapids и будут самыми производительными CPU компании. Сегодня в Сети появились документы Intel, посвящённые этим процессорам.  Характерист...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Всё готово, Ryzen 9000 можно выпускать. Некоторые системные платы на чипсетах 600-й серии уже поддерживают грядущие процессоры Похоже, запуск процессоров AMD Ryzen нового поколения действительно уже не за горами. Как минимум оказалось, что выпущенные раннее в этом месяце версии BIOS для ряда системных плат уже поддерживают грядущие CPU.  Это касается как минимум плат Asus и MSI на чипсета...

Добавив AMD Radeon RX 5600 XT видеопамяти, можно получить прирост производительности до 29% AMD Radeon RX 5600 XT относится к видеокартам среднего ценового сегмента. Изначально производитель не комплектует её 16 Гб видеопамяти, предлагая покупателям лишь 6 Гб. Кстати, модель была запущена в продажу в 2018 году. Читать полную статью

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Intel Core i9-14900K потерял 9% мощности из-за обновления BIOS Снижение производительности Intel Core i9-14900K после патча составляет 8-9%, при этом энергопотребление снизилось на 20%.

DRAM кэш для GPU повышает производительность до 12,5 раз по сравнению с HBM Кэш-память DRAM для графических процессоров повышает производительность до 12,5 раз при значительном снижении энергопотребления по сравнению с HBM

Чип Apple A18 Pro засветился в Geekbench По слухам, в этом году компания Apple оснастит все свои новые смартфоны чипом Apple A18 Pro. Ранее сетевые источники сообщали о том, что обновленный процессор по производительности будет опережать предшественника всего на 10-15%. Однако если судить по последним данным, то пр...

Видеокарты GeForce RTX 50 не получат память GDDR7 с частотой 32-37 ГГц. Они будут довольствоваться более медленной памятью Похоже, видеокарты GeForce RTX 50 как минимум в первой волне не получат память GDDR7 с эффективной частотой 37 или хотя бы 32 ГГц.  Инсайдер kopite7kimi говорит, что на старте нового поколения Nvidia будет использовать новую память с частотой 28 ГГц.  Это вес...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

Micron Technology представила новую память LPCAMM2 Оперативная память LPDDR5X DRAM от Micron, встроенная в LPCAMM2, обеспечивает снижение энергопотребления на 61% и повышение производительности на 71%

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

iPhone SE 4 получит OLED-дисплей и будет похож на iPhone 13 Известный инсайдер Нгуен Фи Хунг раскрыл предполагаемые спецификации грядущего бюджетного смартфона Apple, iPhone SE 4, его слова передает Лента.ру. Это значительное отличие от предыдущих поколений, поскольку четвертое поколение SE, как ожидается, впервые в линейке будет осн...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Каким будет «неубиваемый» Samsung Galaxy Xcover7: официальные рендеры из надёжного источника Компания Samsung Electronics готовит следующее поколение своих прочных смартфонов серии Galaxy Xcover. Авторитетный тематический Android Headlines опубликовал изображения Galaxy Xcover7. По словам Android Headlines, это официальные рендеры, предоставленные «надежн...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Разблокировка видеокарты, почти как в старые добрые времена. Бразильские умельцы превратили Radeon RX 5600 XT в RX 5700 с 16 ГБ памяти Современные процессоры и видеокарты очень редко можно существенно изменить хотя бы каким-то образом. Оказалось, что есть исключение. Энтузиасты из Бразилии смогли добавить видеокарте Radeon RX 5600 XT памяти и даже расширить шину.  Radeon RX 5600 XT по умолчанию п...

PlayStation 5 Pro получит GPU с архитектурой AMD RDNA3 с приростом производительности на 60% В сети появились новые сведения о грядущей игровой консоли PlayStation 5 Pro, известной под кодовым названием Project Trinity. Как сообщается, игровая консоль получит процессор AMD Zen 2 с максимальной динамической частотой до 4,4 ГГц. Чип включает 8 ядер CPU и GPU c 56…

Видеокарты Intel Arc всё хорошеют и хорошеют. Новый драйвер повышает производительность в ряде игр вплоть до 119% Компания Intel продолжает улучшать производительность своих видеокарт Arc. На сей раз свежий драйвер Intel 31.0.101.4826, по заверениям самой компании, обеспечивает гигантский прирост в ряде игр, вплоть до более чем двукратного.  В основном производительность повы...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Надежный источник раскрыл характеристики экранов Xiaomi 15 и 15 Pro Источник утверждает, что компания не внесёт кардинальных изменений в новинки сравнительно с актуальными флагманами, но уменьшит рамки, поработает над энергопотреблением и улучшит остальные характеристики

Видеокарта GeForce RTX 5080, вероятно, получит 256-битную шину. Появились некоторые параметры GPU GB203 и GB205 До выхода видеокарт Nvidia поколения Blackwell ещё довольно далеко, но постепенно в Сети появляются новые подробности об этих решениях. Теперь вот стало известно, какую шину получат нетоповые решения.  Инсайдер kopite7kimi говорит, что адаптеры на основе GPU GB203...

За это Samsung попросит больше тысячи долларов. Раскрыты характеристики камеры Galaxy S24 Ultra Готовящийся к выпуску смартфон Samsung Galaxy S24 Ultra кратно улучшит свою камеру. Утечки, полученные от надежного источника Revegnus, раскрывают интересные характеристики камеры, которые обещают превзойти все предшественники.

Прирост от перехода с Core i3 на Core i5 с одинаковой видеокартой измерили На YouTube-канале Odin Hardware узнали, насколько большой будет разница в игровой производительности между i3−13100F и i5−12 400.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Большой аккумулятор, быстрая зарядка: Redmi K70 представят уже в ноябре О грядущей серии Redmi K70, анонс которой ожидается в конце ноября, недавно появились новые слухи и утечки. По данным источника Digital Chat Station, серия может состоять из трех моделей: N11, N11R и N11A, которые могут соответствовать моделям Redmi K70, K70 Pro и K70e. Судя...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Nvidia представила графические процессоры нового поколения Blackwell Новое поколение графических ускорителей получат кратное увеличение производительности и значительное снижение энергопотребления по сравнению с текущими моделями H100

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Samsung готовит к релизу сразу три версии Galaxy Watch7 Сегодня появилась информация от надёжных источников о том, что грядущие умные часы Samsung Galaxy Watch7 будут представлены не менее чем в трёх версиях, так что у потенциальных покупателей будет предостаточно возможностей для выбора оптимального решения по дизайну, размерам ...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Moore Threads обновила драйвер для видеокарт S70 и S80 Прирост производительности составляет до 40%.

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Специальная версия Xiaomi Civi 4 Pro поступает в продажу в Китае Сегодня в продажу поступает ограниченная цветная версия Xiaomi Civi 4 Pro, которая оснащена 16 ГБ оперативной и 512 ГБ флеш-памяти, а цена составляет около 500 долларов. Ограниченная версия Xiaomi Civi 4 Pro доступна трех цветовых сочетаниях: черном и белом, розовом и ч...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Samsung показала GDDR7-память, которую внедрят в грядущие видеокарты NVIDIA RTX 50XX На GTC 2024 корейский гигант Samsung Electronics продемонстрировал новую высокоскоростную память GDDR7, которая станет сердцем грядущих видеокарт NVIDIA RTX 50XX.

Первые результаты RTX 4080 SUPER в Geekbench не показывают значительного прироста относительно 4080 И не удивительно, технические улучшения в RTX 4080 SUPER наименьшие, по сравнению с другими видеокартами новой тройки. Главное — снижение цены.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Какой смартфон Huawei оснастят фирменным 5G-чипом следующим Huawei готовит к выпуску новую серию смартфонов Nova 12, которая обещает стать новым словом в мире мобильных технологий.

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Разгон Radeon 780M: скорость стала выше, энергопотребление вышло из-под контроля Оверклокер SkatterBencher провел тест на разгон интегрированной видеокарты Radeon 780M с Ryzen 7 8700G и памяти DDR5. Результаты показали, что производительность может быть увеличена в среднем на 37% за счет разгона карты и памяти DDR5. Даже если разогнать только Radeon 780M...

Nvidia уже работает над несколькими системами охлаждения для видеокарт GeForce RTX 50. СО рассчитаны на TDP от 250 до 600 Вт Компания Nvidia уже тестирует различные варианты кулеров для видеокарт GeForce RTX 50.   прототип топовой СО для RTX 40; фото: Gamer2live В работе находится несколько вариантов систем охлаждения, рассчитанных на TDP от 250 Вт до 600 Вт. При этом это не означа...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Новая функция спутниковой связи ожидается в серии смартфонов Pixel 9 Грядущая серия смартфонов Pixel 9 от Google обещает революционную функцию - поддержку спутниковой связи, которая позволит оставаться на связи даже вдали от наземных сетей.

Актуальна ли еще GTX 1080? Изучаем бенчмарки Видеокарты GTX 1080 появились на рынке в 2016 году, но за семь лет не утратили своей актуальности. Наоборот — закрепили за собой статус надежной железки, как и все десятое поколение GPU. Тогда даже никто не мог поверить, что появится GPU с TDP 180 Вт. Низкое энергопотребле...

RX 7900M конкурирует с RTX 4080 в тесте ноутбука Alienware M18, пока речь не заходит об автономности С производительностью у флагманской мобильной видеокарты AMD RDNA 3 всё довольно хорошо, но с энергопотреблением что то неладно.

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Stable Diffusion стал быстрее на Intel Arc A770 благодаря Microsoft Olive и новому драйверу Прирост производительности в несколько раз. Другие видеокарты Intel тоже выиграют от обновления.

Seasonic представила новый кабель питания 12v-2x6 для видеокарт по типу RTX 4090 По словам производителя, данное решение будет на порядок надёжнее моделей прошлого поколения

Sony PlayStation 5 Pro обещает существенный прирост производительности Sony готовит к скорому выпуску новую игровую консоль PlayStation 5 Pro, и последние новости о ней воодушевляют.

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Линейка новых видеокарт GeForce RTX 40 Super выйдет на CES 2024 В начале следующего года на рынке должны появиться новые видеокарты линейки GeForce RTX 40 Super, о чем сообщает VideoCardz со ссылкой на инсайдера kopite7kimi. Источник уверен, что анонс игровых видеокарт GeForce RTX 40 Super состоится в рамках известной выставки CES, ...

Google разработала новый специализированный процессор Axion на архитектуре Arm Первый ARM-чип от Google для центров обработки данных обещает прирост производительности на 30% по сравнению с ARM-чипами от Amazon и Microsoft.

Началась предзагрузка NFT-игры MIR2M: The Grandmaster Разработчики MIR2M: The Grandmaster открыли раннюю загрузку клиента игры. Скачать его можно через App Store и Google Play многих стран, включая РФ. Сервера откроют 1 февраля, в 12 обеда по МСК. Так как это NFT-игра в экосистеме WeMade, стоит ожидать хайп вокруг криптовалюты ...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

AMD Radeon 780M разогнали на 60% Процессоры AMD Ryzen 8000G оснащены самым производительным в мире встроенным графическим процессором, но энтузиаст из интернета сделал этот чип на базе архитектуры RDNA 3 ещё мощнее с помощью ручного разгона, достигнув впечатляющего прироста производительности графики более ...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Новый драйвер Intel для видеокарт Arc и Iris Xe повышает производительность в играх до 750% Правда такое увеличение достигается только в одной игре Halo: The Master Chief Collection, а в остальных прирост будет гораздо меньше

AMD анонсирует мобильные процессоры серии Ryzen 8040 с обновленным ИИ и значительным приростом производительности

Стоимость видеокарты Moore Threads MTT S80 упала до 164 долларов Однако детище Moore Threads даже по такой цене не имеет смысла покупать из-за крайне низкой производительности и высокого энергопотребления

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Palit представила видеокарты GeForce RTX 3050 на 6 ГБ Сегодня компания Palit, ведущий производитель видеокарт в мире, официально представил новую линейку видеокарт NVIDIA GeForce RTX 3050 6 ГБ — речь про серии KalmX и StormX. Новинки уже поступили в продажу и они предоставляют приличную производительность по вполне разумной цен...

Новые ядра Cortex-X5 будут иметь высокое энергопотребление Согласно появившейся в сети информации при работе на высоких частотах ядро Cortex-X5 потребляет слишком много энергии, приводящей к перегреву, а при снижении частоты производительность значительно уменьшается

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

Intel Lunar Lake обещает 1,5-кратное повышение производительности в многопоточном режиме Новая заметка от известного источника предлагает заманчивый взгляд на многопоточную производительность этих процессоров.

Видеокарты нового поколения RX 8000 от AMD могут выйти уже через пару месяцев Однако большого прироста производительности от поколения RX 8000 можно не ждать.

Intel обещает прирост в HALO до 750% от нового драйвера на видеокартах Arc Для этого нужно просто установить новые драйвера

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Вот она — память GDDR7, которую получат видеокарты GeForce RTX 50. Samsung привезла на GTC микросхемы с частотой до 32 ГГц Компания Samsung показала на GTC 2024 свою графическую память GDDR7, которую анонсировала некоторое время назад.  Микросхемы Samsung GDDR7 имеют объём 2 ГБ и рабочее напряжение всего 1,1 В. Samsung говорит, что относительно GDDR6 энергоэффективность повышена на 20...

Как выжать максимум из iGPU, доведя производительность почти до уровня GTX 1650 Laptop. Radeon 780M разогнали до 3,3 ГГц Разгон интегрированного графического ядра Radeon 780M до частоты 3,15 ГГц, о котором мы сегодня уже рассказывали, оказался не максимальным. Оверклокер SkatterBencher пошёл дальше и добился работы iGPU на частоте 3,3 ГГц, а заодно провёл тесты.  Начнём с того, что ...

Слухи об Nvidia Blackwell: MCM и прирост производительности 170% Сейчас в Сети начинают активно муссироваться слухи о будущей топово видеокарте NVIDIA, которая придёт на смену RTX 4090.

Магия открытого кода: ATI Radeon R300 получит обновление спустя 20 лет Хотите верьте, хотите нет, но видеокарты серии ATI Radeon R300, выпущенные более двух десятилетий назад, до сих пор получают обновления благодаря силе ПО с открытым исходным кодом. Только сегодня несколько сотен строк кода были добавлены в драйвер Mesa Gallium3D OpenGL, что ...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Nvidia проапгрейдит GeForce RTX 4060, GeForce RTX 4060 Ti и GeForce RTX 4070. В этих видеокартах пропишутся новые GPU По данным инсайдера, известного под ником MEGAsizeGPU, сразу три популярные модели видеокарт Nvidia линейки GeForce RTX 40 получат новые графические процессоры. Речь о моделях GeForce RTX 4060, GeForce RTX 4060 Ti и GeForce RTX 4070. По словам информатора, RTX 4070 пол...

Новый драйвер Intel Arc GPU обещает огромный прирост производительности в играх DX11 и DX12 До 750% в одной игре DX11 и 53% в одной игре DX12.

Новый процессор Apple M3 — реальный апгрейд или маркетинговый трюк? Сравнение с M2 и M1 Apple наконец провела свое очередное мероприятие, для которого было выбрано довольно непривычное время суток. Проснуться посреди ночи, живя в России, действительно стоило: купертиновцы показали не только компьютеры, но и линейку процессоров M3, состоящую из трех новых чипсе...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Таких видеокарт на рынке не сыскать. Galax представила однослотовую GeForce RTX 4060 Ti, и речь не о карте с водоблоком Пока Asus выпустила двухслотовую GeForce RTX 4070 Turbo с «турбиной», компания Galaxy анонсировала первую на рынке однослотовую видеокарту линейки RTX 40 с воздушным охлаждением.   Модель называется RTX 4060 Ti 16GB Max и тоже оснащена «турбиной&...

ASUS выпустила 10-литровый игровой ПК с видеокартой RTX 4070 SUPER Компания ASUS незаметно обновила свой игровой компьютер ROG NUG, выпустив модель ROG C22CH. Новинка получила более мощную видеокарту GeForce RTX 4070 SUPER, тогда как оригинальная версия была оснащена обычной RTX 4070. ОсобенностиНапомним, что новая видеокарта NVIDIA…

Какие ИИ-улучшалки от видеокарт NVIDIA получит грядущая карманная консоль Nintendo Switch 2 Грядущая консоль Nintendo Switch 2 будет поддерживать технологию NVIDIA DLSS 3.5 Ray Reconstruction.

Acer представила видеокарту Radeon RX 7800 XT Predator BiFrost Сегодня компания Acer представила свои новейшие видеокарты серий Predator BiFrost и Nitro на базе графических процессоров AMD Radeon RX 7800 XT и RX 7700 XT. Здесь стоит напомнить, что совсем недавно компания выпустила видеокарту Radeon RX 7900 GRE OC Predator BiFrost и тепе...

Настоящий зверь: раскрыт игровой ноутбук Asus ROG Zephyrus с Core Ultra 9 185H и RTX 4090 Долгожданный ноутбук Asus ROG Zephyrus F16 2024 «раскрыл» свои возможности. Он может похвастаться Ultra 9 185H в сочетании с RTX 4090. Утечка информации от инсайдера momomo_us обещает значительный прирост производительности по сравнению с предшественником.

MSI представляет видеокарту GeForce RTX 4070 Super 12G Gaming X Slim Серия Gaming Slim от MSI это "более тонкий вариант серии Gaming, сохраняющий при этом высокую производительность и агрессивный внешний вид".

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Redmi Note 13 5G получили обновление до HyperOS 1.0 Сегодня компания Xiaomi официально объявила о запуске операционной системы HyperOS 1.0 для серии смартфонов Redmi Note 13 5G — речь идёт о моделях Redmi Note 13 5G, Redmi Note 13 Pro 5G и Redmi Note 13 Pro+ 5G. Эти устройства были выпущены в прошлом году с операционной систе...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Серия AMD Radeon RX 8000 будет поддерживать только более медленную память GDDR6 18 Гбит/с Грядущие видеокарты AMD с архитектурой RDNA 4 вряд ли будут обладать высокой производительностью. В настоящее время ходят слухи, что эти новые карты могут оснащаться только памятью GDDR6.

Это сердце нового флагмана Radeon, но карта будет среднебюджетной. GPU Navi 48 впервые засветился в Сети Компания AMD впервые засветила название нового графического процессора, на котором будут основаны видеокарты Radeon RX 8000.  Упоминание GPU Navi 48 появилось в ПО ROCm. Конечно, без всяких подробностей, но именно Navi 48 разные источники называли старшим графичес...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Грядущий камерофон Huawei P70 Art получит литой стеклянный объектив для еще более качественных фото В 2024 году Huawei планирует представить три новых флагмана серии P70, среди которых особое место займет модель P70 Art.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Мощь Redmi K70 раскрыта: бенчмарк Geekbench показывает прирост производительности Предполагаемый Redmi K70 только что появился на сцене Geekbench и привлек к себе внимание обзором производительности. Обладая еще не вышедшим чипсетом Dimensity 8300, эта модель получила впечатляющие 1248 баллов в одноядерном тесте и 4177 баллов в многоядерном тесте.

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Будет не только RTX 4080 Super, но и RTX 4070 Ti Super. Появились характеристики новых видеокарт Nvidia Известный инсайдер kopite7kimi поделился свежими данными о видеокартах GeForce GTX 40 Super.  Согласно его информации, RTX 4080 Super останется на GPU AD103, но получит его в полной конфигурации со всеми 10 240 активными ядрами CUDA. Для сравнения, у обычной RTX 4...

Samsung Galaxy Z Flip6 получит увеличенный дисплей Те, кто следят за рынком складных смартфонов, знают, что компания Samsung установила 3,4-дюймовый дисплей на крышке прошлогоднего Galaxy Z Flip5, что на самом деле было значительным улучшением по сравнению с крошечными панелями у Z Flip4 и Flip3 с диагональю 1,9 дюйма. Тепер...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Почему флагманские видеокарты покупают только мажоры, а нормальные геймеры выбирают Radeon RX 7700XT Самый быстрый флагман устареет уже через пару лет, тогда как видеокарту средневысокого ценового диапазона можно заменить, получив значительный прирост fps

Никаких дешёвых смартфонов с SoC Snapdragon 8 Gen 4. Эта платформа будет ещё дороже, чем и так очень дорогая Snapdragon 8 Gen 3 Похоже, с относительно доступными смартфонами на основе топовых платформ Qualcomm в скором можно будет попрощаться. Как сообщается, Snapdragon 8 Gen 4 будет ещё дороже, чем Gen 3.  Согласно недавним данным, Snapdargon 8 Gen 3 будет обходиться производителям смартф...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Intel представила Gaudi 3: борьба с Nvidia за превосходство в области ИИ Intel бросила вызов Nvidia в ИИ-гонке, анонсировав новый мощный ускоритель Gaudi 3. Этот чип нацелен на «доминирование» на рынке обучения и выводов ИИ, обещая значительный прирост производительности и ориентируясь на открытые стандарты.

Обзор однофазного источника бесперебойного питания Systeme Electric Smart-Save Online SRTSE2000RTXLI-NC: надежная защита в самых сложных условиях Чтобы обеспечить бесперебойным энергоснабжением оборудование, чувствительное даже к малейшим перебоям, нужен ИБП с двойным преобразованием входного тока. Именно такие ИБП предлагает серия Smart-Save Online SRT от российской компании Systeme Electric. Редакция ZOOM.CNews озна...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Архитектура в основе видеокарт GeForce RTX 60 может быть названа в честь астронома Веры Рубин Следующая архитектура Nvidia, как известно, будет называться Blackwell, причём, похоже, и для игровых карт GeForce, и для ускорителей для ИИ. А вот архитектура после неё, согласно новым данным, может быть названа в честь астронома Веры Рубин (Vera Rubin).  Известн...

Поставки GeForce RTX 4060 Ti в последние недели почему-то резко сократились. Но неясно, будет ли это иметь какой-то эффект Похоже, на рынке образовывается некая проблема с видеокартами GeForce RTX 4060 Ti. Согласно данным Board Channels, поставки этих 3D-карт за последнее время существенно снизились.  Источник говорит, что с начала апреля наблюдается существенное снижение поставок RTX...

Разница между Huawei P70 и Huawei P70 Pro хорошо заметна на первых фотографиях защитных чехлов Опубликованные фотографии защитных чехлов для Huawei P70 и Huawei P70 Pro демонстрируют разницу между стандартной и старшей версиями. Источники подтверждают, что серия Huawei P70 будет выпущена в этом месяце, а защитные чехлы сторонних производителей в настоящее время н...

Nvidia наращивает поставки GPU для RTX 4090 в Китай в преддверии запрета поставок Компания Nvidia наращивает поставки графических процессоров для GeForce RTX 4090 в Китай в преддверии запрета, который вступает в силу 17 ноября.  Как сообщает MyDrivers, cразу несколько производителей видеокарт подтвердили, что серия RTX 4090 будет полностью запр...

Интегрированный GPU в процессорах Intel Core Ultra стал быстрее в играх вплоть до 155%. Компания выпустила свежий драйвер в оптимизацией Компания Intel в очередной раз обычным обновлением драйвера серьёзно повысила производительность своих видеокарт в ряде игр. На сей раз это коснулось iGPU в процессорах Meteor Lake.  ПО Intel Graphics Driver 31.0.101.5333 повышает производительность таких интегрир...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Названы характеристики камеры самого быстрого в мире Android-cмартфона Основная камера нового флагманского смартфона Vivo X100 Pro оснащена 1-дюймовым датчиком изображения Sony IMX989 разрешением 50 Мп, а также объективом с диафрагмой F/1,75. Есть поддержка оптической стабилизации изображения OIS. Кроме того, vivo X100 Pro оснащен перископ...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

Тесты показали прирост производительности при использовании внешней видеокарты через монитор Оказалось, что при выводе изображения на внутренний экран ноутбука, пользователь не получает всех возможностей графического ускорителя, подключенного через адаптер eGPU Oculink

Смартфоны HTC не сдаются. К выходу готовится новая модель на Snapdragon 7 Gen 3 Смартфоны HTC уже давно перестали быть массовыми, но исчезать с рынка не собираются. В Geekbench засветилась модель, которая, как ожидается, появится под именем U24 либо U24 Pro.  U23 В основе новинки, судя по всему, будет лежать свежая Snapdragon 7 Gen 3. Также П...

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Разгон Ryzen 7 8700G и ОЗУ позволяет его графическому ядру догнать GeForce GTX 1650 Как мы только что убедились, Ryzen 7 8700G имеет рекордно производительный iGPU как для настольного сегмента. Но его можно сделать ещё быстрее, если разогнать само ядро и заодно оперативную память.  Тесты показали, что, если частоту iGPU поднять с 2900 МГц до 3400...

Ноутбук MSI Titan 18 HX получил 18-дюймовый экран Mini LED Компания MSI анонсировала топовый геймерский ноутбук Titan 18 HX, который первым в мире получит 18-дюймовый дисплей Mini-LED с разрешением 4K и кадровой частотой 120 Гц. Экран также характеризуется яркостью 1000 кд/кв.м и 100-процентным охватом цветовой палитры DCI-P3. Остал...

Первые обзоры новейшей RTX 4070 Ti Super раскрыли минимальный прирост производительности Новейшая видеокарта RTX 4070 Ti Super от NVIDIA, которая выходит уже сегодня, 24 января, привлекла внимание благодаря своим характеристикам и производительности.

AMD Zen 5: новая утечка информации о мощных процессорах Утечка информации о поставках раскрыла секреты грядущих процессоров AMD, включая долгожданную архитектуру Zen 5. Эта утечка позволяет заглянуть в планы AMD по выпуску настольных и мобильных процессоров следующего поколения, намекая на значительный прирост производительности ...

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

NVIDIA представила новую архитектуру Blackwell с 30% приростом производительности NVIDIA представила новую архитектуру GPU Blackwell, которая показывает 30% прирост в операциях с двойной точностью, а также значительно ускоряет симуляции и AI-вычисления.

Производительность PlayStation 5 Pro будет повышена на 45% в сравнении с оригинальной моделью Наблюдается неожиданной прирост в эпоху замедления роста производительности всей цифровой техники.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Intel просто выпустила новый драйвер, и производительность видеокарт Arc в Starfield выросла на величину до 149% Компания Intel выпустила очередной драйвер для своих видеокарт. И кроме того, что в нём появилась поддержка пока ещё не вышедшей Arc A580, драйвер очень сильно увеличивает производительность адаптеров Intel в новой игре Starfield.  При использовании DirectX 12 в F...

Honor Magic6 Pro получит 100-Вт зарядку Сетевые источники поделились новыми подробностями о флагманских смартфонах серии Honor Magic6, которая еще не была представлена официально. По данным китайского регулятора 3C, старшая модель получит поддержку быстрой проводной зарядки мощностью 100 Вт, а вот базовой модели д...

Дешевле, чем RTX 4060 Ti 16GB, и при этом ощутимо мощнее. Radeon RX 7700 XT официально подешевела Компания AMD официально снизила цену своей видеокарты Radeon RX 7700 XT.  Снижение в абсолютном отношении невелико — всего 30 долларов. Но и сама карта в целом относительно недорогая. В итоге речь идёт о снижении с 450 до 420 долларов.  Стоит сказать, ч...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Как сделать процессор Intel вдвое быстрее без повышения энергопотребления? Тесты показали преимущество AVX-512 у процессоров Xeon Emerald Rapids Процессоры Intel Xeon поколения Emerald Rapids, как оказалось, обеспечивают огромный прирост производительности при активации инструкций AVX-512, и при этом этот прирост почти бесплатный с точки зрения энергопотребления.  Авторы ресурса Phoronix протестировали фла...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Представлен доступный игровой ПК Lenovo Cobalt Co-45 за $560 Представлен игровой ПК Lenovo Cobalt Co-45, который предлагается по цене от 560 долларов в Китае. Система оснащена процессором Intel Core i5-12400F и видеокартой Nvidia GeForce 1660Ti 6 ГБ, при этом она работает под управлением Windows 11. Процессор i5-12400F представля...

Графические карты Moore Threads получили поддержку OpenGL 3.3 и прирост FPS до 80% Максимальный прирост производительности был достигнут в игре PUBG благодаря выходу новых драйверов с многочисленными оптимизациями

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Подменяем DLSS на FSR, и выигрывают от этого владельцы GeForce. Digital Foundry протестировали интеграцию FSR 3 в игры с поддержкой DLSS 3 Как известно, с недавних пор существует возможность интегрировать генератор кадров из технологии AMD FSR 3 в игры, где есть поддержка Nvidia DLSS 3. Авторы Digital Foundry решили протестировать такую возможность с разных ракурсов, чтобы понять, насколько такое решение ж...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Nintendo Switch 2 получит производительность уровня PlayStation 4 Pro Сегодня появилась информация о том, что игровая приставка Nintendo Switch 2 в режиме подключения к док-станции, скорее всего, будет иметь производительность, сравнимую с производительностью PlayStation 4 Pro. По крайней мере, об этом уверенно говорит достаточно крупный канал...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Что известно о процессорах Lunar Lake: распаянная оперативка, минимум ядер и + 50% к производительности Линейка десктопных процессоров Arrow Lake бесспорно является одной из самых ожидаемых новинок Intel, но предстоящий релиз Lunar Lake от этого хуже точно не становится. Напротив, модельный ряд мобильных процессоров, ориентированный на портативные решения, обещает получить сер...

Intel Lunar Lake-MX получат встроенную оперативную память Инсайдеры предполагают, что следующее поколение процессоров Intel Lunar Lake-MX будет оснащено оперативной памятью LPDDR5X, расположенной на одном чипе. Данная информация поступила от информационного издания DigiTimes, которое ссылается на анонимные источники из Китая. И, ес...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

[Перевод] Снижение потребления LoRa ноды (TPL5010/TPL5110) От переводчика. В этой статье автор исследует тему снижения тока потребления беспроводной LoRa ноды при помощи использования специализированных микросхем семейства нано-потребляющих таймеров TPL5010/TPL5110. Плюс затрагивает вопрос влияния Arduino загрузчика на время жизни...

Все смартфоны серии Samsung Galaxy S24 сравнили по производительности и автономности YouTube-канал NL Tech опубликовал 43-минутное видео, в котором сравнивается производительность смартфонов серии Samsung Galaxy S24 в приложениях Geekbench 6, AnTuTu и 3DMark. Модели Galaxy S24 и Galaxy S24+ оснащены чипом Exynos 2400, а Galaxy S24 Ultra работает на базе…

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

AMD Threadripper PRO 7995WX поставил новый рекорд производительности Время очередных рекордов — процессор AMD Threadripper PRO 7995WX в этот раз преодолел планку в 210 000 баллов в тесте Cinebench R23, установив совершенно новый рекорд в области решений для производительных рабочих станций. Стоит отметить, что процессоры серии Threadripper от...

Первый электромобиль Xiaomi появился в базе данных регулирующих органов Xiaomi SU7 должен получить потрясающую информационно-развлекательную систему в соответствии с потрясающим внешним видом

MSI сделала версию видеокарты GeForce RTX 4060 в дизайне своей фирменной серии Cyclone MSI врывается на рынок с легендарной серией видеокарт Cyclone после 13-летнего перерыва. Новая модель GeForce RTX 4060 Cyclone представляет собой отсылку к популярным видеокартам прошлых десятилетий.

Intel может уйти с рынка дискретных видеокарт, в который только успела зайти? Надёжный источник говорит, что от поколения карт Battlemage не осталось и следа Похоже, у Intel снова проблемы с тем, чтобы выпустить новые дискретные видеокарты вовремя. Поколение адаптеров Battlemage может не выйти в этом году. Или вообще.  Инсайдер Golden Pig Upgrade Pack утверждает, что от Battlemage не осталось и следа, а линейка DG3 в с...

Google готовится к экспансии Windows on Arm? Компания наконец-то выпустила Chrome для этой ОС Компания Google решила подготовиться к новому этапу развития ПК процессорами Arm и Windows, выпустив наконец-то браузер Chrome для такой ОС. ПО будет доступно на этой неделе на соответствующих ПК, которые уже есть на рынке. Правда, только на тех, которые основаны на So...

Доступные камерофоны Huawei Nova 13 Pro и Nova 13 Ultra получат Kirin 9010, камеру с переменной диафрагмой и HarmonyOS Next Huawei Nova 13 Pro и Nova 13 Ultra могут быть оснащены тем же флагманским чипом Kirin, который используется в серии Huawei Pura 70, о чем сообщил Fix Focus Digital. Напомним, Pura 70 Pro, Pro+ и Ultra оснащены совершенно новым чипом Kirin 9010. Кроме того, флагманские т...

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Прорывная технология передачи электроэнергии без кабелей становится фактом Raytheon получила контракт на 10 миллионов долларов от DARPA на проектирование и разработку беспроводной ретрансляционной системы, способной без проводов передавать энергию от наземных источников на большую высоту для работы беспилотных систем, датчиков.

Нынешние монстры Nvidia H100 и H200 померкнут на его фоне. Компания дразнит мощью грядущего ускорителя B100 Компания Nvidia представила сегодня ускоритель H200, который впервые для такого решения получил память HBM3e. На фоне H100 новое решение в ряде задач может быть почти вдвое быстрее. Но и H200 померкнет на фоне B100, согласно обещаниям Nvidia.  Компания решила, что...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Новое поколение процессоров AMD Zen 5 обещает революционный прирост производительности Предварительные данные указывают на то, что процессоры AMD следующего поколения на архитектуре Zen 5 могут предложить рекордный прирост в однопоточной производительности

Партнеры Nvidia ожидают, что RTX 5090 и 5080 будут выпущены в конце этого года По словам различных источников, компания выпустит видеокарты RTX 50-й серии раньше, чем ожидалось.

iPhone 16 обещает уникальные функции генеративного ИИ Серия iPhone 16 от Apple, ожидаемая в следующем году, будет оснащена эксклюзивными функциями генеративного искусственного интеллекта, став ключевым новшеством устройств.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

«Защитник» может быть причиной снижения производительности у некоторых пользователей Windows 11 23H2 В Сети начинают появляться сообщения о снижении производительности процессора и других проблемах после обновления с версии 22H2 до 23H2.

144 Гц, 50 Мп, 90 Вт и 4 динамика. Надежный источник раскрыл характеристики Xiaomi Pad 7 Pro Известный инсайдер Digital Chat Station раскрыл характеристики флагманского планшета Xiaomi Pad 7 Pro. По словам инсайдера, устройство выйдет одновременно с Xiaomi 14 Ultra в 2024 году. Так выглядит Xiaomi Pad 6 Pro. Изображений Xiaomi Pad 7 Pro пока нет Xiaomi Pad 7 P...

Huawei Mate 70, который потягается с iPhone 16, получит очень быстрый чип Kirin: он уже набирает более 1,1 млн баллов в AnTuTu Компания Huawei выпустила свои последние флагманы Pura 70 с новым чипом Kirin 9010. Хотя SoC имеет тот же производственный процесс и базовую архитектуру, что и Kirin 9000, компания Huawei еще больше оптимизировала его, чтобы обеспечить производительность в своих последн...

Crucial T705 готовится к релизу со скоростью 14,5 ГБ/сек Компания Crucial готовится к релизу самых быстрых на сегодняшний день SSD-накопители серии T705 — производитель обещает крайне высокую скорость передачи данных вплоть до 14,5 ГБ/сек, а также радиаторы для пассивного охлаждения белого и чёрного цветов. Да, здесь стоит отметит...

Старичок Ryzen 7 5800X3D против Ryzen 7000, Ryzen 7000X3D и даже несуществующего Ryzen 5 7600X3D. Появилось большое сравнение CPU в играх Авторы канала Hardware Unboxed провели свежее тестирование игровых процессоров AMD, сравнив их с обычными. Заодно они добавили в список несуществующий пока Ryzen 5 7600X3D, который вполне может выйти позже. Его показатели в тестах предположительные.  Задача обзора...

Графические процессоры Moore Threads S80 и S70 получили 100% прирост производительности Графические процессоры Moore Threads S80 и S70 получили 100-процентный прирост производительности благодаря обновлению драйверов

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Грядущий iPhone SE 4 от Apple обещает значительные улучшения Доступный и продвинутый iPhone SE 4 от Apple получит USB-C, Face ID и увеличенный OLED-экран в компактном корпусе.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Новые iPad и Mac выйдут в марте По словам Марка Гурмана из Bloomberg, Apple, скорее всего, выпустит новые модели iPad Air, iPad Pro и MacBook Air примерно в конце марта. В свежем выпуске Power On он сообщил, что производство новых моделей iPad Pro и нового 13-дюймового MacBook Air уже идет полным ходо...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

GeForce RTX 2000-й и 3000-й серии получили прирост производительности, доходящий до 75% Благодаря моддерскому сообществу

Formula V показывает новые модульные блоки питания ATX 3.0 Две модели блоков питания мощностью 1000 Вт и 1200 Вт удовлетворяют потребности требовательных к производительности энтузиастов-любителей, геймеров и создателей мощных рабочих станций, оснащённых новейшими высокопроизводительными графическими процессорами. Обе модели совме...

MediaTek показала Dimensity 8300. Дешевый чип с флагманскими возможностями ИИ Компании Qualcomm и MediaTek уже анонсировали флагманские процессоры для смартфонов с поддержкой функций генеративного искусственного интеллекта. Как обычно, технологии заходят в наш мир с верхних сегментов, но рано или поздно опускаются в средний и бюджетный. В этот раз эт...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Моддеры оснастили Radeon RX 5600 XT 16 ГБ памяти и 256-битной шиной После модернизации и разгона производительность видеокарта увеличилась на 29%

Украина получила первые американские бронетранспортеры М1117, обещанные еще в 2022 году Ранее США обещали передать 250 таких единиц.

Sony Xperia 1 VI засветился в Сети перед анонсом Грядущий флагманский смартфон Sony Xperia 1 VI был замечен в базе данных EMVCO с модельным номером XQ-ES54. Протестированное устройство работает под управлением Android 14. За исключением последней версии Android, подробностей об устройстве пока немного. Если слухи подт...

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Nintendo Switch 2 получит новый процессор На прошлой неделе в сети появилось множество новостей о том, что компания Nintendo готовится к релизу консоли Switch 2 — нового поколения портативного решения, которое будет обладать приличной производительностью для запуска современных видеоигр. Проблема в том, что на самом...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Новые драйверы Intel повышают производительность видеокарт серии Arc до 119% Это касается игр, использующих DirectX11 и DirectX12

Apple ухудшит iPhone 16 Plus в сравнении с предшественником, чтобы не мешать iPhone 16 Pro Max? Появились данные о ёмкости аккумуляторов грядущих смартфонов Ресурс MacRumors поделился свежими данными о ёмкости аккумуляторов смартфонов линейки iPhone 16.  Согласно этим данным, не у всех новых моделей ёмкость увеличится. Более того, снижение, а оно якобы будет иметь место для iPhone 16 Plus, будет весьма заметным. ...

Samsung думает о выпуске компактного Galaxy S Ultra, который сможет составить конкуренцию iPhone Pro В сети появляется всё больше информации о грядущей флагманской линейке Samsung Galaxy S24, но с приближением анонса внимание сетевых информаторов переключается на более отдалённое будущее. Как сообщили источники из Южной Кореи, Samsung рассматривает возможность выпуска ...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

ЦП Intel Core Ultra получили значительный прирост производительности благодаря обновлениям BIOS Об этом сообщили участники Ultrabook Review в своей оценке ноутбука Meteor Lake - ASUS Zenbook 14 OLED UX3405 2024 года.

Ноутбук Mechanical Revolution Wing Loong 15 Pro получит APU AMD R7 8845H Профильный ресурс IT Home поделился подробностями о китайском игровом ноутбуке Mechanical Revolution Wing Loong 15 Pro, который еще не был представлен официально. Итак, грядущей новинке приписывают наличие 8-ядерного 16-поточного процессора AMD R7 8845H с тактовой частотой д...

RTX 50 не за все деньги мира уже в этом году? GeForce RTX 5080 может выйти даже раньше, чем RTX 5090 Возможно, у геймеров в этом году всё же будет возможность купить видеокарту Nvidia нового поколения не за максимальную цену. Как сообщает известный инсайдер kopite7kimi, GeForce RTX 5080 выйдет раньше, чем RTX 5090.  Когда именно и на сколько раньше, неясно, но, е...

NVIDIA выпускает HPC-модуль HGX H200 В ходе конференции SC23 компания NVIDIA представила новый вычислительный модуль HGX H200, ведущую вычислительную платформу ИИ. Этот модуль обещает заметный прирост в производительности генеративных ИИ благодаря новой архитектуре NVIDIA Hopper.

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

В сеть попал результат теста мобильного процессора Core i7-14700HX в системе с графикой Arc A570M Делать какие-либо выводы по результатам тестирования в PugetBench о производительности грядущей новинки конечно пока нельзя, но вызывает удивление использование видеокарты Arc A570M

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Смартфоны Galaxy S24 будут дешевле предшественников? Samsung оптимистично настроена относительно новых флагманов Компания Samsung, похоже, делает большие ставки на грядущую флагманскую серию Galaxy S24.   Как сообщает инсайдер Ice Universe, Samsung очень оптимистично относится к новому поколению и заранее сформировала хорошие запасы Galaxy S24 Ultra и S24+.   Кроме того...

Инсайдер: Энергопотребление RTX 40 Super будет таким же, как у стандартных моделей линейки В Nvidia сумели повысить производительность видеокарт, не повышая при этом их энергопотребление

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Дешевые запчасти и надежный мотор, который можно перевести на газ. MG Motor уже покорила Европу, а сейчас рассказала, чем будет покорять Россию Марка MG Motor, принадлежащая SAIC Motor, уже объявила о своих амбициозных планах по выходу на российский рынок, а сейчас Дарья Сапунова, директор по маркетингу и рекламе ООО «Мир-Дистрибьютор», являющегося эксклюзивным дистрибутором MG Motor в России, расск...

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

16 дюймов, Intel Core Ultra9 185H, Nvidia RTX 4090 Laptop, 32 ГБ LPDDR5x, 2 ТБ SSD. Ноутбуки Dell Alienware X16 R2 уже в продаже Игровой ноутбук Alienware X16 R2 уже доступен в официальном магазине Dell. На данный момент предлагаются четыре модели. Серия Alienware X16 R2 оснащена новейшим процессором Intel Core Ultra9 185H, памятью LPDDR5x, она имеет два слота M.2 2280. Ноутбуки оснащены 16-дюймо...

Нет, это не iPhone, хотя очень похоже. Опубликованы живые фото специальной версии Xiaomi Civi 4 Pro Живые фотографии специальной версии Xiaomi Civi 4 Pro опубликовал осведомлённый инсайдер под ником Ice Universe. Экран имеет овальный вырез под систему фронтальных камер, как у новых iPhone. Это черно-белая версия Xiaomi Civi 4 Pro, выпущенная ограниченным тиражом. Кор...

Доступный Pixel 2024 года будет выглядеть так. Появились качественные рендеры Pixel 8a от надёжного источника Смартфон Google Pixel 8a будет представлен, как ожидается, 14 мая. Но утечки о нём появляются всё чаще. Теперь вот известный инсайдер Эван Блэсс опубликовал качественные рендеры во всех четырёх цветах.  Как видим, Pixel 8a пойдёт по стопам Pixel 7a, предложив че...

GeForce RTX 4090 D (Dragon) сохранит тот же GPU AD102, что и оригинальная модель Видеокарта GeForce RTX 4090 D (Dragon), которая будет создана специально для китайского рынка и не будет попадать под санкции США, получит тот же GPU, что и текущая версия.  создано DALL-E Как сообщает инсайдер MEGAsizeGPU, это будет AD102, но в другой версии. Есл...

Новый MacBook Air на чипах M3 показал прирост одноядерной производительности на 20% Многоядерная производительность MacBook Air выросла на 18% по сравнению с М2

Ryzen 9 7945HX3D одержал верх над Core i9-14900HX в мобильных играх AMD Ryzen 9 7945HX3D значительно превосходит новинку от Intel, демонстрируя небольшой прирост кадров в секунду и значительно меньшее энергопотребление

Нет денег на новую карту RTX 40? Генерация кадров появилась на старых GPU Nvidia Новый мод «DLSSG to FSR3» переносит технологию генерации кадров, ранее появившуюся в новейших GPU серии RTX 40, на старые карты Nvidia серий RTX 20 и 30. Хотя это и не идеальный вариант, он обеспечивает значительный прирост производительности и более плавный игровой процесс ...

Чип GB202 для топовых видеокарт GeForce RTX 50 получит на треть больше ядер — инсайд Флагманский GPU следующего поколения получит ощутимый прирост на фоне своего предшественника.

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Официально: Realme GT 6T выйдет в мае Компания Realme объявила, что релиз смартфона Realme GT 6T состоится в Индии уже в этом месяце. Тизер подтверждает наличие в грядущей новинке 4-нанометровой однокристальной системы Qualcomm Snapdragon 7+ Gen 3, которая может похвастаться производительностью в 1,5 млн баллов ...

Какую цену нужно заплатить за однослотовость GeForce RTX 4060 Ti Max. Тесты модели Galax показывают, что карта весьма шумная Компания Galax ещё в конце прошлого года представила необычную видеокарту RTX 4060 Ti Max. Необычность её заключалась в однослотовом исполнении. И теперь эта видеокарта наконец-то поступила на рынок и была протестирована.  Технически это обычная RTX 4060 Ti 16GB, ...

MediaTek Dimensity 9300 уничтожит Snapdragon 8 Gen 3. Новые подробности о топовой SoC тайваньской компании от надежного источника Инсайдер Digital Chat Station раскрыл новые подробности о будущей флагманской однокристальной системе MediaTek Dimensity 9300. По его словам, она превзойдет Snapdragon 8 Gen 3 по производительности в бенчмарке AnTuTu в одно- и многопоточных тестах. MediaTek Dimensity 9...

Intel выпустила новый драйвер для видеокарт Intel Arc и iGPU Обновление графического драйвера 31.0.101.5379 добавляет оптимизацию для Horizon Forbidden West и Dragon's Dogma 2 и обещает повышение производительности до 174% в некоторых играх DX11.

Samsung Galaxy C55 показали на рендере В базе Google Play Console обнаружилось изображение и некоторые характеристики смартфона Samsung Galaxy C55, который еще не был представлен официально. Аппарат получит привычный для смартфонов бренда дизайн с тройной тыльной камерой, расположенной в отдельных модулях. Что до...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Китайская видеокарта теперь способна обойти даже GeForce GTX 1650. MTT S80 со свежим драйвером намного быстрее, чем раньше Китайская компания Moore Threads после выпуска своей видеокарты MTT S80 активно улучшала свой драйвер. И, как и в случае с видеокартами Intel Arc, это дало весьма заметные плоды. Новые тесты показывают, что S80 существенно быстрее, чем была на момент выхода.  Напо...

В сети появился инженерный образец видеокарты GeForce GTX 2070 Невышедшая в серию модель получила 2176 ядер CUDA и относилась к серии GeForc GTX

Установил все игры на видеокарту и положил её в карман. Внешняя 3D-карта OneXGPU оснащена собственным слотом для SSD Внешняя видеокарта OneXplayer OneXGPU, как оказалось, будет более необычной, чем изначально нам показали. Согласно свежему рекламному ролику, внутри корпуса нашлось место не только для GPU, но и для накопителя.  Если точнее, в корпусе есть слот для SSD формата M.2...

Google готовит повышение цены для Pixel 8a Если верить информации от достаточно надёжного источника, грядущий смартфон Pixel 8a от Google может быть значительно дороже, чем любой из предыдущих моделей из серии а, которая обычно была более доступной, чем оригинальные флагманы, и пользователи достаточно часто покупали ...

Очередная уязвимость CPU Intel, и очередное снижение производительности из-за заплатки. RFDS затрагивает малые ядра современных процессоров В процессорах Intel обнаружилась очередная уязвимость. Она называется Register File Data Sampling (RFDS), но информацию о ней Intel раскрыла уже после того, как выпустила исправление микрокода CPU. Тесты Phoronix показали, что на сей раз, к счастью, обошлось без существ...

OnePlus ещё не закончила с флагманами на 2024 год. OnePlus Ace 3 Pro получит Snapdragon 8 Gen 3 Как сообщает инсайдер Wisdom Pikachu, OnePlus готовит еще один смартфон на базе флагманской однокристальной системы Snapdragon 8 Gen 3. Речь о модели OnePlus Ace 3 Pro. OnePlus Ace 3 Pro станет на ступень выше OnePlus Ace 3 (на изображении) Устройства линейки Ace стоят...

Студент расшифровал слово в древнем свитке с помощью ИИ и видеокарты NVIDIA Студент-бакалавр из Университета Небраски-Линкольн, стажирующийся в компании SpaceX, использовал видеокарту NVIDIA GTX 1070 и ИИ для расшифровки слова в одном из древних свитков Геркуланума.

«Некоторые из наших клиентов не поверили данным, пока не проверили их на практике». Память HBM3 Gen2 уже тестируется Nvidia Компания Micron уже начала поставки памяти HBM3 Gen2 для Nvidia, и результаты тестирования, похоже, очень впечатляющи.  Память поставляется пока лишь для тестирования: массовое производство ещё, видимо, не началось. Однако уже сейчас понятно, что новая память може...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Производительность APU AMD Strix Point сравнима с RX 6400 Согласно свежим данным компания AMD продемонстрирует заметный прирост производительности встроенной графики Strix Point, построенной на основании архитектуры RDNA 3+.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Новые OLED-телевизоры LG получат новейший чип Alpha 10. Его будут применять и в умной бытовой технике LG Как пишет IT Home со ссылкой на Flatpanelshd, процессор OLED-телевизора LG 2024 года был значительно модернизирован и получил название Alpha 10. В 2018 году LG выпустила процессор Alpha 9 для OLED-телевизоров. Эта серия процессоров с годами модернизировалась и достигла ...

Почему Galaxy S24 Ultra мощнее любого другого Android-смартфона на Snapdragon 8 Gen 3 Компания Samsung открыла 2024 год выпуском новых смартфонов Galaxy S24. На фоне других Android-флагманов, представленными на рынке, главной фишкой корейских устройств стал всеобъемлющий искусственный интеллект. Но есть и еще одна уникальная особенность. Старшая модель Galax...

Самую мощную видеокарту подключили к самому слабому процессору и проверили ПК в играх На YouTube-канале RandomGaminginHD выяснили, какую производительность в играх удастся получить с Intel 300 и i5-12600KF в связке с видеокартой RTX 4080 Super.

Японская Sycom представила серию видеокарт Silent Master с корпусными вентиляторами Noctua В серии доступны видеокарты GeForce RTX 4070 и RTX 4060 Ti, другие модели на заказ.

Видеокарты GeForce GTX 1080 Ti и GeForce RTX 4070 сравнили в 10 играх GeForce GTX 1080 Ti была самой мощной видеокартой NVIDIA 6 лет назад. GeForce RTX 4070 является четвертой по производительности актуальной моделью.

Такой будет основа для GeForce RTX 5090. GPU GB202 получит почти 25 000 ядер CUDA и 512-битную шину До выхода GeForce RTX 5090 ещё очень далеко, но постепенно информации об этой видеокарте появляется всё больше. Теперь вот очень надёжный инсайдер Kopite7kimi поделился некоторыми характеристиками GPU GB202, который ляжет в основу нового флагмана Nvidia.  Судя по ...

iPad Pro получит более тонкие рамки и чип М3 Несколько часов назад появился новый слух от достаточно надёжных источников о том, что не только серия смартфонов нового поколения iPhone 16 будет иметь более тонкие рамки — теперь поставщики секретной информации уверены, что производитель решил использовать аналогичные преи...

Nothing Phone Tetris? Модель Phone (3) с таким кодовым именем ожидается этим летом Компания Nothing представит свой новый номерной смартфон позже в этом году.   Nothing Phone (3) ожидается уже летом, то есть как раз через год после выпуска модели Phone (2). Сейчас грядущий аппарат имеет кодовое имя Tetris, которое в теории может намекать на реал...

Смартфон Moto G85 получит 12 ГБ оперативной памяти Сетевые источники поделились подробностями о смартфоне Motorola Moto G85, релиз которого ожидается грядущим летом. Итак, устройству приписывают наличие 12 ГБ оперативной памяти и 256 ГБ флеш-памяти, а также ценник в 300 евро. Остальные характеристики грядущей новинки пока не...

Radeon RX 7800 XT всего за 500 евро, а RX 7900 XT — за 700 евро. Видеокарты AMD продолжают дешеветь без официального снижения цен Видеокарты AMD, похоже, вошли в очередную стадию удешевления. На днях были сообщения, что в США на некоторые карты предлагают очень низкие цены, теперь же процесс стал более массовым.  Radeon RX 7800 XT в Европе можно купить по цене от 500 евро, тогда как в США ес...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Новая Unreal Engine позволит сэкономить до 25% мощности видеокарт В новую версию Nanite, системы виртуализации геометрии, была добавлена технология VRS (Variable Rate Shading). VRS позволяет динамически регулировать качество затенения в зависимости от области экрана, что приводит к еще большему снижению энергопотребления.

MSI возродила «бюджетную» серию Duke с видеокартой RTX 4060 MSI возвращает бюджетную серию видеокарт Duke силами своей GeForce RTX 4060 8G Gaming Duke 3X. Ранее позиционировавшаяся между сериями Ventus и Gaming, модель Duke ориентирована на «экономных» покупателей, особенно на китайском рынке. Пока неясно, будет ли это единовременное...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Xiaomi Mix Fold 4 поставит рекорд толщины и веса Авторитетный информатор Digital Chat Station поделился новыми подробностями о складном смартфоне Xiaomi Mix Fold 4, релиз которого ожидается грядущим летом. Источник утверждает, что грядущая новинка станет рекордно тонкой и легкой среди других складных смартфонов. Ранее...

Информация о взятии Старомайорского и Урожайного была опровергнута российским источником По словам Владимир Рогов, населённые пункты еще не были взяты под контроль российских войск

NVIDIA GeForce RTX 3050 6 ГБ получит 2304 ядер CUDA и TDP 70 Вт Как видно из спецификаций новинка будет урезана не только по памяти, но и по ядрам CUDA, что приведет к значительному снижению производительности

Не все «супервидеокарты» Nvidia будут такими уж «супер». Стали известны параметры и производительность моделей GeForce RTX 40 Super Видеокарты GeForce RTX 40 Super, как ожидается, будут представлены в начале января на CES 2024. Но уже сейчас в Сети появились подробные характеристики всех трёх новинок.  RTX 4080 Super сохранит тот же GPU AD103, что и текущая версия, но получит 10 240 ядер CUDA ...

Серия Huawei Nova 12 выйдет 26 декабря и получит лучшую ... Huawei Nova 12 Pro и Ultra – настоящие селфифоны, в которых передняя камера будет чуть ли не лучше задней. Последняя также обещает быть великолепной и получит переменную диафрагму.

Серия Huawei Nova 12 выйдет 26 декабря и получит лучшую ... Huawei Nova 12 Pro и Ultra – настоящие селфифоны, в которых передняя камера будет чуть ли не лучше задней. Последняя также обещает быть великолепной и получит переменную диафрагму.

Новая функция DirectX 12 Work Graphs может обеспечить значительный прирост производительности В видеоролике, подготовленном компанией Compusemble, впервые показана новая функция, и показано, как она обеспечивает схожую производительность в большинстве случаев

GeForce RTX 5090 не получит 512-битную шину памяти Инсайдер kopite7kimi сообщил о том, что видеокарты Nvidia нового поколения (GeForce RTX 50) по части разрядности шины памяти не будут особо отличаться от нынешних. «И хотя у меня всё ещё есть фантазии насчет 512 бит, конфигурация интерфейса памяти GB20x мало чем ...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Цена на AMD Radeon RX 7900 GRE снижается, геймеры в Европе выжидают еще лучшей цены Снижение цен на серию RX 7000 может быть связано с изменением курса доллара США по отношению к евро.

Даже старая GTX 1060 медленнее всего на 20%. Большой тест GeForce RTX 3050 6GB показывает, что это весьма невыгодная видеокарта Ресурс TechPowerUp наконец-то добрался до видеокарты GeForce RTX 3050 6GB, которая недавно вышла на рынок. Тесты этого источника всегда очень объёмные, поэтому наиболее интересные.  Но никаких изменений для новинки Nvidia, конечно, нет. Это видеокарта с очень поср...

Представлен недорогой игровой ноутбук Lenovo Legion Y7000P 2024 Lenovo объявила о выпуске игрового ноутбука Legion Y7000P 2024 — последнего дополнения к популярной игровой линейке Legion. Новый ноутбук оснащен процессором Intel Core i7−14700HX 14-го поколения, видеокартой NVIDIA GeForce RTX 4070 и новой системой охлаждения, которая, как ...

Представлен игровой ноутбук Gigabyte Aorus 17 2024 Компания Gigabyte Technology представила ноутбуки Gigabyte Aorus 17 2024 и Aorus 15 2024, которые отличаются лишь диагональю экранов – 17 и 15 дюймов соответственно. Новинки оснастили панелями с разрешением QHD, кадровой частотой 240 Гц и технологией Dolby Vision, новыми пр...

POCO C65: 90 Гц, 50 Мп, NFC — и все это выгодно Смартфон оснащён ярким (до 600 кд/м²) 6,74-дюймовым IPS-дисплеем с разрешением HD+ (1600x720 точек)

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Ryzen 7 8700G получит графику Radeon 780M RDNA3 с тактовой частотой до 2,9 ГГц Это уже вторая утечка за сутки о грядущих настольных процессорах серии Ryzen 8000G

Серия Samsung Galaxy S25 будет оснащена чипами Snapdragon и Exynos Сообщается, что в складных устройствах Galaxy Z будут использоваться чипы Snapdragon, но другие отчеты предполагают, что Galaxy Z Flip6 может получить Exynos 2400

OnePlus 12 получит новый сканер отпечатков пальцев в дисплее Чем меньше информации остаётся до запуска смартфона OnePlus 12, тем больше информации мы получаем от инсайдеров о возможностях нового флагмана, что, безусловно, очень приятно, потому что ждать и смотреть презентацию явно никто не будет. И, наверное, даже хорошо, что производ...

144 Гц, 5160 мА·ч, 120 Вт, топовый сенсор Sony и Snapdragon 8 Gen 2 и «народная цена». Характеристики iQOO Neo9 от надежного источника Известный инсайдер Digital Chat Station раскрыл параметры смартфона iQOO Neo9 — это базовая модель новой линейки. И для базового субфлагмана параметры довольно интересные. iQOO Neo9 получит экран OLED с диагональю 6,78 дюйма, разрешением 1,5К и кадровой частотой ...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

PUBG Mobile получит режим высокой производительности и 120 fps в грядущем обновлении Наконец-то популярный онлайн-шутер заиграет новыми красками на мощных смартфонах.

AMD Ryzen 7 8845HS, 32 ГБ ОЗУ, 1 ТБ SSD и очень лёгкие очки дополненной реальности в комплекте. Мини-ПК Gimok K8 поступил в продажу в Китае Новый мини-ПК Gimok K8, который последние пару недель был доступен для предварительного заказа по цене от 350 долларов, сегодня поступил в продажу в Китае. Мини-ПК Gimok K8 получил серебристо-серый корпус, он оснащён 8-ядерным 16-поточным процессором AMD Ryzen 7 8845HS ...

Грядущие iPhone 16 и 17 получат одинаковые OLED-экраны Apple сделала неожиданный шаг в разработке своих будущих моделей iPhone 16 и 17. Согласно последним слухам, оба устройства будут оснащены одинаковыми OLED-дисплеями с технологией M14.

Nvidia RTX 4090D получит урезанный графический процессор AD102-250 На данный момент нет информации каким образом будет ограничена производительность будущей видеокарты

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

AMD совершит очередной «квантовый скачок»? Производительность ядра Zen 5 может быть более чем на 40% выше, чем у Zen 4 Процессоры AMD на архитектуре Zen 5, возможно, принесут не просто большой, а огромный прирост производительности относительно предшественников.  Известный инсайдер Kepler утверждает, что ядро Zen 5 более чем на 40% производительнее, чем Zen 4. Правда, тут не очень...

Qualcomm всех обманула? Сообщается, что платформы Snapdragon X Elite и X Plus на самом деле намного медленнее, чем обещает компания Компания Qualcomm представила вчера платформы Snapdragon X Elite и X Plus для ноутбуков с Arm. И заодно поделилась результатами тестов, которые оказались достаточно впечатляющими. Однако, похоже, что Qualcomm мухлевала, и на самом деле таких показателей мы не увидим.&nb...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Предстоящий Intel Core i5-14450HX показал свои способности в бенчмарке Производительность i5−14450HX соответствует производительности настольного Core i5−13 400, если верить недавним результатам бенчмарка GeekBench, пишет TomsHardware. Это позволяет предположить, что пределы энергопотребления этих двух процессоров схожи: i5−14450HX может работа...

Процессор Apple M4 сделал iPad Pro 2024 мощнее новых макбуков. Насколько он круче других чипов от Apple? 7 мая компания Apple представила iPad Pro 2024, неожиданным компонентом которого стал новый процессор Apple M4. Внезапным это появление стало по двум причинам. Во-первых, предшествующий Apple M3 вышел меньше года назад. Во-вторых, процессоры M-серии, будучи самыми производи...

Gainward выпустила видеокарты серии GeForce RTX 40 SUPER Компания Gainward представила новую серию видеокарт GeForce RTX 40 SUPER Series

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Новое оружие AMD на ближайшие год или более. Gigabyte подтвердила, что грядущие настольные процессоры будут называться Ryzen 9000 Компания Gigabyte подтвердила, что настольные процессоры Ryzen нового поколения будут называться Ryzen 9000.   Это прямо указано в описании очередного обновления BIOS для некоторых системных плат компании. Когда состоится анонс, неизвестно, но есть мнение, что на ...

Установить более быструю ОЗУ, и искусственный интеллект заработает быстрее. APU Ryzen 8000G получают прирост от быстрой памяти и в этом направлении AMD уже отмечала, что для ускорения работы процессоров Ryzen 8000G нужно использовать быструю память DDR5. Оказалось, что благодаря быстрой ОЗУ повысится производительность далеко не только iGPU.  Тесты показали, что замена памяти DDR5-4800 на DDR5-7600 приводит к...

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Опубликовано фото макетов смартфонов серии Samsung Galaxy S24 По слухам, предстоящая серия Galaxy S24 обещает принести значительные изменения в плане начинки, а вот в плане дизайна изменения будут минимальными.

Появились первые тесты процессора AMD Ryzen 7 7840S Сегодня китайский сайт опубликовал полноценный обзор нового ноутбука Lenovo Yoga Air 14s 2023 Ryzen Edition, оснащённого эксклюзивным процессором AMD Ryzen 7 7840S, который был спроектирован и разработан специально для Lenovo. Этот чип, основанный на архитектуре Zen 4, имеет...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Шину урежут до 96 бит, частоты ядра снизят на 17-32%, и получится GeForce RTX 3050 6GB. Видеокарта полностью рассекречена Видеокарта GeForce RTX 3050 6GB, которая ожидается в феврале, полностью рассекречена.  Оказалось, что её характеристики будут несколько лучше, чем считалось ранее. В частности, у GPU GA107 активны будут не 2048 ядер CUDA, как считалось до этого (это соответствует ...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Трассировку лучей в AMD RDNA4 называют совершенно новой разработкой, а не доработкой существующей Прирост производительности может быть многократным.

Если в этом году захочется видеокарту GeForce нового поколения, придётся покупать RTX 5090. До конца года Nvidia может не выпустить других моделей Этой осенью нас ждут новые видеокарты Nvidia и AMD. Ранее мы ожидали и новинки Intel, но теперь есть слухи, что их мы не увидим. Так или иначе, согласно свежим данным, от Nvidia в этом году мы получим только одну новую модель 3D-карты.  создано DALL-E GeForce RTX ...

Ядро Cortex-X5 от ARM потребляет слишком много энергии Сегодня появилась интересная информация о том, что производительные ядра Cortex-X5 от ARM испытывают серьёзные проблемы с высоким энергопотреблением при повышенных частотах и демонстрируют незавидную производительность в многоядерном режиме при снижении максимального предела...

Флагманский GPU NVIDIA Blackwell GB202 получит память GDDR7 Компания NVIDIA на 2025 год готовит видеокарты серии GeForce RTX 50, и на вершине модельного ряда будет ускоритель на основе GPU GB202.

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Qualcomm представила суперплатформу для Windows-ноутбуков. Snapdragon X Elite мощнее Core i7-13800H, быстрее Apple M2 и совместима с Windows 12 Вместе со смартфонной платформой Snapdragon 8 Gen 3 компания Qualcomm представила однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Да, это очередная попытка Qualcomm выпустить достойную замену процессорам Intel, но в этот раз действитель...

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Инфляция в России снизижается до 6–6,5%, что свидетельствует об адаптации бизнеса и насыщении рынка Данные показывают снижение темпов прироста официального индекса потребительских цен (ИПЦ), что свидетельствует о стабильном снижении инфляции. Аналитики акцентируют внимание на необходимости использования точных показателей при оценке текущей инфляции, чтобы избежать задерже...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

LG показала свои флагманские телевизоры 2024 модельного года LG Electronics представила серию OLED-телевизоров 2024 года, которая оснащена передовым ИИ-процессором LG с 4-кратной скоростью работы, обещая высокое качество изображения.

Ученые создали прорывной чип для смартфонов: безопасность и скорость работы Исследователи из Массачусетского технологического института разработали новый чип, который защищает данные пользователя, позволяя при этом смартфонам работать с мощными приложениями. Эта инновация решает главную проблему мобильных технологий: баланс между эффективными вычисл...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

Представлены новейшие телевизоры LG QNED и QNED Mini 2024 Компания LG официально анонсировала новейшие серии светодиодных телевизоров QNED и QNED Mini 2024 модельного года, которые будут показаны на выставке CES 2024 в начале этого месяца. Согласно данным Displayspecs, LG выпустит три модели 4K MiniLED серии 90T и две модели 8...

Прогнозируется, что игровые консоли следующего поколения будут стоить дороже Прогнозируется, что игровые консоли следующего поколения будут стоить дороже или обеспечат меньший прирост производительности

Чей портрет лучше? Samsung Galaxy S24 Ultra и Galaxy S23 Ultra сравнили в портретной съемке Инсайдер, известный в соцсети Weibo по ником Ice Universe, опубликовал серию сравнительных снимков, сделанных камерами Samsung Galaxy S24 Ultra и Galaxy S23 Ultra. На этот раз в фокусе внимания была портретная съемка, и результат камеры Galaxy S24 Ultra, по словам Ice U...

Nvidia в 2024 году выпустит RTX 4080 Ti: в чем отличия NVIDIA, возможно, готовится представить пополнение в линейке видеокарт, которое может быть названо RTX 4080 Ti или RTX 4080 SUPER, на что намекнул источник MEGAsizeGPU. Хотя окончательное название еще не определено, отказ от серии SUPER и отсутствие варианта Ti позволяют пре...

Samsung Galaxy S25 получит сразу два процессора По информации инсайдеров, компания Samsung нацелена в следующем году использовать свою стандартную стратегию с двумя процессорами в серии, представив на различных рынках флагманы на базе процессора Qualcomm Snapdragon 8 Gen 4 или Samsung Exynos 2500. Если верить данным запад...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

NVIDIA представила видеокарту RTX 2000 Ada NVIDIA представила свою совершенно новую профессиональную видеокарту поколения Ada для профессионалов — новинка, как и сообщали инсайдеры несколько дней назад, получила название RTX 2000 Ada, и она ориентирована на сегмент доступных решений. Видеокарта NVIDIA RTX 2000 Ada ос...

Redmi Note 13 Turbo получит мощный процессор Сетевые источники поделились подробностями о смартфоне Redmi Note 13 Turbo, который еще не был представлен официально. Утверждается, что новинку оснастят новой платформой Qualcomm SM8635, которую официально представят в ближайшее время под названием Snapdragon 8s Gen 3. Это ...

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Infinix Note 40 Pro+ 5G получит поддержку беспроводной зарядки В сети появились подробности о смартфоне Infinix Note 40 Pro+ 5G, который еще не был представлен официально. Утверждается, что аппарат оснастят поддержкой быстрой проводной зарядки мощностью 100 Вт и беспроводной зарядки неизвестной мощности, изогнутым экраном и модулем NFC....

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Инсайдеры раскрывают подробности о грядущих складных смартфонах Xiaomi - Mix Fold 4 и Mix Flip Компания Xiaomi, по слухам, работает над очередными прорывными складными устройствами. В 2024 году китайский гигант планирует выпустить обновленную версию своего флагманского складного смартфона Mix Fold 4, а также представить первый раскладной телефон под брендом Mix Flip.

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

OnePlus Ace 3V получит поддержку 100-Вт зарядки Авторитетный информатор Digital Chat Station поделился подробностями о смартфоне среднего уровня OnePlus Ace 3V, официальный релиз которого ожидается грядущей весной. Итак, аппарат получит еще не представленную официально однокристальную систему Qualcomm Snapdragon 7+ Gen 3 ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)