Социальные сети Рунета
Четверг, 16 мая 2024

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Apple работает над 20-дюймовым MacBook со складным экраном Как утверждает аналитик TF Securities Минг-Чи Куо, Apple работает над MacBook со складным экраном. По словам Куо, складной Mac будет иметь 20,3-дюймовый экран и, скорее всего, поступит в массовое производство только в 2027 году. «Недавно я получил много вопросов о том…

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Kioxia начала массовое производство самой емкой в мире карты памяти объемом 2 Тб Новинка получила название Exceria Plus G2 microSDXC 2 Тб. Прототип этой карты памяти производитель показывал осенью 2022 года.

InnoGrit представила первый китайский PCI-E 5.0 SSD-контроллер Он уже поступил в массовое производство

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Kioxia начала массовое производство карт памяти microSDXC объемом 2 ТБ Карта получила официальное название Kioxia Exceria Plus G2

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

Xiaomi начала массовое производство своего народного флагмана Redmi K70 Xiaomi объявила о старте массового производства своего новейшего флагмана — Redmi K70.

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

LG начала массовое производство гибких OLED-дисплеев для 17-дюймовых ноутбуков будущего LG Display объявила о старте массового производства 17-дюймовых гибких OLED-панелей для ноутбуков.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Asahi Kasei создала чип… обнаружения оставленных детей в машинах Чип от Asahi Kasei обещает совершить прорыв в обнаружении оставленных без присмотра детей в автомобилях и предотвратить трагедии. Так называемый AK5818 может поступить в массовое производство уже в октябре на волне ужесточения правил по всему миру.

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Крупнее, мощнее, современнее RAV4 — и с очень небольшим расходом. В Японии стартуют продажи Toyota Crown Sport Plug-in Вместе с Lexus UX300h и UX300e в Японии сегодня дебютировал кроссовер Toyota Crown Sport Plug-in. Только если новые версии Lexus UX300 поступят в продажу лишь в январе 2024 года, то Crown Sport Plug-in можно купить «здесь и сейчас». Цена, правда, немалая &md...

Lada Niva с ABS уже сходят с конвейера АвтоВАЗа Судя по всему, АвтоВАЗ скоро запустит массовое производство внедорожников Niva Legend и Niva Travel с ABS. Как пишет инсайдерский паблик Avtograd News, такие машины в единичных экземплярах уже собирают. «С конвейера АвтоВАЗа сошло несколько пробных автомобил...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Новая версия Lada Niva Travel выйдет уже в июне АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с обновленной мультимедийной системой Lada EnjoY Pro в конце мая, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, Lada Niva Tra...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Jeep выпустит пять новинок 2025 год станет большим годом для Jeep . В продажу поступят два электромобиля с аккумуляторной батареей и большая линейка подключаемых гибридов. Новый генеральный директор Jeep Антонио Филоса в пятницу проинформировал средства массовой информации о планах компании на пр...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

У Apple массовые проблемы с экранами проданных MacBook Pro, но компания почему-то молчит об этом Новая проблема, получившая название «Dustgate», затронула несколько моделей MacBook Pro.

Одноклассник Toyota Alphard с авиационными креслами и расходом 5,9 л/100 км. Dongfeng Forthing U-Tour V9 поступит в продажу в первом квартале 2024 Компания Dongfeng официально сообщила о том, что предстоящий минивэн под названием Forthing U-Tour V9 должен поступить в продажу в первом квартале следующего года. Автомобиль дебютировал на автосалоне в Мюнхене в сентябре. Размеры Dongfeng Forthing U-Tour V9 составляют...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Дебютировал первый человекоподобный робот на HarmonyOS Новинка получила название Aelos и, по словам разработчиков, знаменует собой важную веху в развитии интеллектуальной робототехники, а также улучшения в сфере образования и различных исследований

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Military Watch Magazine: массовое производство модернизированных Су-57М начнётся с 2025 года Су-57 получит новый двигатель и станет самым быстрым истребителем 5-го поколения

Китайская EHang получила разрешение на массовое производство летающих такси Последний этап пройден, можно начинать фактические продажи.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Redmi Watch 4 поступили в продажу по всему миру Redmi Watch 4 дебютировали в Китае вместе с серией Redmi K70 в конце ноября. Спустя полтора месяца, как и планировалось, часы вышли на международные рынки.

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Раньше срока: Xiaomi 15 Pro на базе Snapdragon 8 Gen 4, с новым датчиком и спутниковой связью представят уже в сентябре Сообщается, что серия смартфонов Xiaomi 15 будет анонсирована вместе с однокристальной системой Snapdragon 8 Gen 4, а продажи начнутся уже в октябре. По данным известного инсайдера Digital Chat Station, массовое производство мобильных телефонов Xiaomi 15 ожидается в сен...

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

Модель Xiaomi SU7 за $69 в деталях: руль поворачивает колеса, в салоне мягкие сиденья. Компания наладила массовое производство Компания Xiaomi выпустила одновременно с электрическим автомобилем Xiaomi SU7 его миниатюрную модель в масштабе 1:18, а также кружку из нержавеющей стали Xiaomi Life, которые моментально раскупили. Теперь же Xiaomi подтвердила, что компания наладила производство этой п...

В России налажено массовое производство авиационных бомб ФАБ-3000 Министерство обороны РФ сообщило об увеличении выпуска бомб "малого" калибра, а также о начале производства трёхтонных авиационных бомб

Xiaomi 14 получит всего 4 цвета, и другие подробности флагмана Xiaomi наконец представила официальный внешний вид своего грядущего флагмана Xiaomi 14, который будет дебютировать с процессором Snapdragon 8 Gen 3. Стандартная версия Xiaomi 14 будет выпускаться в четырех цветовых вариантах: белом, зеленом, розовом и черном, хотя официальны...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Vivo Y69 с 5.5-дюймовым дисплеем и процессором MediaTek представлен официально На индийском рынке дебютировал новый смартфон Vivo со скромными техническими спецификациями по доступной цене. Китайская компания Vivo на днях анонсировала новый смартфон, получивший лаконичное название Y69. Старт его продаж в Индии назначен на 1 сентября, пятницу. ... Чит...

Оформить «Госключ» теперь можно в МФЦ или отделениях банков Министерство цифрового развития, связи и массовых коммуникаций Российской Федерации (Минцифры России) сообщило о запуске нового сервиса в рамках проектов семейства «Госуслуг». Теперь подтвердить личность, чтобы получить сертификат усиленной квалифицированной...

Минобороны получит конечный вариант дрона-разведчика "Ловкий" для испытаний в зоне СВО Компания-разработчик проделала некоторые доработки согласно отзывам военных и готова к массовому производству продукта

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

Складной смартфон Nubia Flip поступил в продажу Складной смартфон Nubia Flip дебютировал на MWC 2024, где было объявлено, что он станет самым дешевым складным телефоном на международных рынках.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Рассекречен OnePlus Ace 3 (он же OnePlus 12R). 5500 мА·ч, Snapdragon 8 Gen 2 и немалая цена Глобальная премьера OnePlus 12 состоится 23 января, в этот же день дебютирует и OnePlus 12R. Сама OnePlus пока хранит молчание относительно новой модели, но китайский оператор China Telecom уже слил все подробности – изображения, характеристики и даже цены. OnePl...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Украина начала массовое производство беспилотника Backfire K1 собственной разработки 5 апреля 2024 года в социальных сетях было сделано заявление о запуске серийного производства инновационного беспилотного дрона-бомбардировщика Backfire K1, разработанного внутри страны.

Даже базовый Samsung Galaxy S24 получит LTPO-экран от 1 до 120 Гц, но у Ultra всё же будет преимущество После появления информации о том, что в серии Galaxy S24 используется новый материал органических светоизлучающих диодов (OLED) под названием М13, инсайдер Ice Universe опубликовал уточнение. Все модели серии Galaxy S24 получат экраны LTPO, которые позволяет менять част...

Представлен бюджетный смартфон Vivo Y18e В Индии официально дебютировал смартфон начального уровня под названием Vivo Y18e.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Представлена крутая Toyota Tundra 1794 Limited Edition: супервнедорожная подвеска, 437 л.с., 10-ступенчатый «автомат», полный привод и максимальное оснащение В США дебютировала спецверсия внедорожника Toyota Tundra. Свое название она получила от старейшего ранчо в Техасе, основанного в 1794 году возле нынешнего Сан-Антонио. В свое время Toyota купила часть этого ранчо и построила на нем завод, где сейчас выпускают флагмански...

Mitsubishi готовит совершенно новый Pajero Sport, он будет конкурировать с Land Cruiser Pardo 250. Первые подробности и характеристики BestCarWeb сообщает о том, что Mitsubishi работает над внедорожником Pajero Sport нового поколения. Учитывая, что нынешняя модель дебютировала в 2015 году, преемник просится уже давно. Mitsubishi Triton шестого поколения. Фото: Mitsubishi Pajero Sport – это ...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

В России полностью прекратилось производство автомобилей Kia: все некомплектные Kia Seltos и Kia Soul дособраны и отправлены дилерам Под конец прошлого года официальные дилеры Kia начали получать кроссоверы Kia Seltos и хетчбэки Kia Soul, выпущенные в Калининграде на «Автоторе». Это были некомплектные автомобили, но компании удалось найти недостающие комплектующие и дособрать машины. Тепе...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Ирак начинает массовое лицензионное производство китайского БТР Norinco VN22 6×6 Комиссия оборонной промышленности Ирака (DICI) недавно объявила о начале местного производства бронемашин Norinco VN22 6x6, чтобы укрепить обороноспособность своей страны.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Хитовый Xiaomi Mi 14 Ultra поступил в продажу в Китае. 50-мегапиксельная квадрокамера Leica, 5300 мАч, 90 Вт, экран 2К, IP68 и спутниковая связь — за 905 долларов Представленный в Китае в минувший четверг фотофлагман Xiaomi 14 Ultra сегодня поступил в продажу на домашнем рынке. Устройство доступно в трех конфигурациях: 12/256 ГБ за 905 долларов, 16/512 ГБ за 975 долларов и 16 ГБ/1 ТБ за 1085 долларов. Ранее представитель Xiaomi G...

Представлен новый смартфон Vivo Y36 с другими характеристиками и дизайном В начале этого года Vivo выпустила смартфон под названием Vivo Y36 на нескольких мировых рынках, а теперь в Китае дебютировал другой смартфон с таким же названием.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Больше не эксклюзив: Google Pixel 8 получил главную функцию Samsung Galaxy S24 Корейские смартфоны только поступили в продажу, а уже лишились своей самой уникальной фичи.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

ИИ поможет в производстве стволовых клеток для регенеративной медицины Исследователи из Северо-Восточного университета продемонстрировали, как искусственный интеллект (ИИ) может использоваться для массового производства плюрипотентных стволовых клеток, что может быть использовано в лечении рака, болезни Альцгеймера или Паркинсона, а также в рег...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Россия готовится запустить в серийное производство ракету "Буревестник" с ядерным двигателем После успешных испытаний Россия готовится к массовому производству межконтинентальной ракеты "Буревестник" с ядерной энергетической установкой, обладающей практически неограниченной дальностью полета.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Представлен игровой монитор Acer Nitro XV275UX Компания Acer недавно выпустила компактный игровой ПК под названием Acer Predator Orion X, а теперь дебютировал монитор Acer Nitro XV275UX, который может стать идеальным дополнением к этому ПК.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Mazda CX-8 снимают с производства: модель вышла только в 2017 году Mazda только что объявила, что производство Mazda CX-8 в Японии будет прекращено к концу 2023 года. CX-8 — это трехрядный кроссовер Mazda. Mazda CX-8 дебютировала в 2018 году, в 2022 году модель подверглась фейслифтингу, в ходе которого был представлен новый ...

HIT: The World — японская версия MMORPG HIT 2 MMORPG HIT 2 пока доступна только в Южной Корее. Теперь издатель Nexon собирается перенести её в Японию под новым названием — HIT: The World. Для игры проходит предрегистрация в App Store, Google Play и на ПК через официальный сайт. Издание pocketgamer передаёт, что релиз H...

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Redmi K70, который будет мощнее Xiaomi 14 Pro, запущен в массовое производство Ван Тен Томас (Wang Teng Thomas), представитель бренда Redmi и генеральный менеджер отдела маркетинга Redmi, опубликовал в Weibo сообщение, в котором предположил, что смартфоны Redmi K70 вступили в стадию массового производства. Вчера Лу Вейбинг, президент Xiaomi Group,...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0 на архитектуре RISC-V InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0, который работает на архитектуре RISC-V

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

GeForce RTX 3050 6 ГБ поступила в продажу в России Отличился ранним поступлением в продажу ритейлер Ситилинк, хотя получить на руки её пока нельзя, так как выход новинки состоится только в феврале

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Jetour порадует россиян: Jetour Dashing и Jetour X90 Plus получат полный привод Пока в российской линейке Jetour только одна модель с полным приводом — это новейший внедорожник Jetour T2, но уже скоро все изменится: полный привод получит и молодежный Jetour Dashing, и семейный Jetour X90 Plus. Об этом рассказали на дилерской конференции брен...

Intel запустила массовое производство по технологии Intel 4 в Ирландии Впервые в истории компании.

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Экран 11,35 дюйма, 8000 мА·ч, 90 Гц и 4 динамика. Представлен Oppo Pad Air2 Компания Oppo представила сегодня не только смартфоны Reno 11 и Reno 11 Pro, но и планшет Oppo Pad Air2. Это преемник первого недорогого планшета бренда Oppo Pad Air, дебютировавшего в мае 2022 года.  Планшет оснащен 11,35-дюймовым ЖК-дисплеем с разрешением 2408 x...

Intel построит в Израиле фабрику чипов за $25 млрд Новый завод по производству чипов, получивший название Fab 38, должен открыться в 2028 году и проработать до 2035 года, внеся значительный вклад в развитие израильской полупроводниковой индустрии.

Некоторые счастливчики заполучили видеокарты GeForce RTX 4080 Super и RTX 4070 Ti Super до старта продаж. Правда, поиграть они на них не смогут Несмотря на то, что официально в продажу из линейки RTX 40 Super поступили только RTX 4070 Super, оказалось, что старшие модели уже также есть на руках у обычных пользователей.  Ряд пользователей утверждают, что уже получили RTX 4070 Ti Super и даже RTX 4080 Super...

Китайцы попрощались с Mitsubishi. Вместо японской марки теперь Hunan Zhixiang Automobile Mitsubishi ушла из Китая, а единственное совместное предприятие, производившее машины для местного рынка, — GAC Mitsubishi Motors — официально сменило название Hunan Zhixiang Automobile. При этом Mitsubishi Motors Corporation и Mitsubishi Corporation не явля...

К атакам на ScreenConnect присоединились хакеры из Black Basta и Bl00dy Хак-группы Black Basta и Bl00dy присоединились к массовым атакам, направленным на серверы ScreenConnect, уязвимые перед свежими багами под общим названием SlashAndGrab.

SMIC и Huawei готовятся к массовому производству 5-нм чипов Технологическая гонка: Китай стремится завоевать лидерство в микроэлектронике.

Инсайдер OnLeaks рассказал о характеристиках складного смартфона Motorola Razr 50 Ultra Motorola Razr 50 Ultra скоро поступит в продажу в США под названием Razr+ 2024.

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Creatbot D1000 и D600 Pro2: новые высоты в мире промышленных FDM-принтеров Совсем недавно китайский производитель промышленных FDM-принтеров Creatbot порадовал нас новинками: моделями Сreatbot D1000 и D600 Pro2. Принтеры стали логичным обновлением моделей F1000 и D600 Pro, ставшими хитами как на мировом, так и на российском рынке. Стоит отметить, ч...

Запас хода до 1400 км, включая 125 км без бензина, и расход топлива 4,3 л/100 км при цене от $20 000. Началось массовое производство SAIC Roewe D7 Серийные версии SAIC Roewe D7 начали сходит с производственной линии на заводе в Чжэнчжоу в понедельник, 25 сентября. Автомобиль поступит в продажу в конце года. Передняя часть электрического седана имеет узкие фары и закрытую переднюю часть. Только в нижней ...

CES 2024: Kia представила модульные фургоны Платформа Beyond Vehicles (PBV) поступит в производство в 2025 году

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

NVIDIA могла прекратить массовое производство RTX 4080 и 4070Ti, сосредоточившись на SUPER вариантах Слухи об этом доносятся с китайских форумов.

Sony готовится к запуску PlayStation 5 Pro Sony работает над новой «более мощной версией PS5 под кодовым названием Trinity, которая, скорее всего, дебютирует под названием PS5 Pro. Источники, знакомые с планами Sony, сообщили The Verge, что японский производитель просит разработчиков создать в играх новый…

Это совершенно новый Kia Carnival. Первые живые фото минивэна следующего поколения Первые фотографии совершенно нового Kia Carnival были опубликованы автомобильным издание thekoreancarblog со ссылкой на Youtube-канал Motorsjason. Ожидается, что автомобиль претерпит большие изменения внутри и снаружи, а также получит гибридную трансмиссию. Обновленный ...

Micron построит заводы стоимостью 100 миллиардов долларов Сегодня появилась достаточно интересная информация о компании Micron Technology — она получила внушительное финансирование от Министерства торговли США в рамках Закона о микросхемах и науке, что позволит производителю существенно прокачать производственные мощности, но тольк...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Российский автомобиль «Амбер» выйдет уже в следующем году Российский завод «Автотор» планирует начать массовую сборку небольшого каркасно-панельного электромобиля в четвертом квартале следующего года, о чем пишет «Автопоток» со ссылкой на заявление представителей завода на Международном автомобильном на...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Samsung представила NAND-память 9-го поколения Сегодня компания Samsung официально объявила о запуске массового производства новых чипов памяти NAND 9-го поколения. Главное преимущество этого решения в том, что новые чипы памяти имеют на 50% большую плотность, чем чипы памяти 8-го поколения. Кроме того, чипы памяти 9-го ...

OpenYard открыла производство российских серверов – 60 000 единиц в год с процессорами Ampere Массовое производство уже развёрнуто, а продукция доступна для заказа

Боевая машина "Тайфун-ПВО" готовится к массовому производству Разработка бронированного автомобиля "Тайфун-ПВО" завершена, и машина готова к серийному производству.

Представлены флагманские AR-очки Meizu MYVU Discovery Meizu выпустила устройство, которое называет самыми легкими в массовом производстве AR-очками с полноцветным изображением.

Китай стремится запустить массовое производство роботов в 2025 году Роботы, вероятно, станут такими же обыденными и популярными, как компьютеры, смартфоны и электромобили

Samsung первой в мире начала массовое производство чипов V-NAND 9-го поколения емкостью 1 ТБ Samsung разработала самый маленький в мире размер ячейки.

Samsung начинает массовое производство флэш-памяти V-NAND 9-го поколения Первые кристаллы имеют ёмкость 1 терабит.

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

В Университете Мэна создали самый большой строительный принтер в мире Новый полимерный 3D-принтер, разработанный учеными Университета штата Мэн, побил мировой рекорд, став самым большим в мире. Он получил название «Фабрика будущего 1.0» и имеет невероятно широкие возможности применения. На мероприятии, посвященном его запуску, присутствовали н...

В Казахстане буду выпускать Hyundai Custo — это аналог Kia Carnival В Казахстане уже начали принимать заказы на новый Hyundai Santa Fe, а в не очень далекой перспективе в продажу поступит минивэна Hyundai Custo, причем это будет машина местной сборки. Изображение: Hyundai По данным ресурса Kolesa.kz, сборку Custo (эта модель изнач...

Tesla объявила дату первых поставок Cybertruck — 30 ноября 2023 года Компания планировала начать массовое производство модели ещё в 2021 году.

В России началось массовое производство авиабомб ФАБ-3000 Трёхтонная фугасная бомба — это вам не шутки-прибаутки с дронами за $500.

Массовое производство 2-нм чипов TSMC обещает начать в конце следующего года И тогда же победить дефицит чипов для систем искусственного интеллекта.

Авторы The Day Before вернули права на название игры через суд Претензия поступила от южнокорейской компании, выпустившей приложение-календарь с идентичным названием.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Деталь рулевого механизма для Lada Iskra показали со всех сторон Тольяттинская компания «Рулевые системы», известный поставщик АвтоВАЗа, недавно запатентовала картер для нового рулевого механизма. Эта деталь предназначена для перспективной модели Lada Iskra (внутризаводские индексы LJO/BJO), которая должна прийти на смену...

Доработка оказалась очень дорогой: Porsche досрочно снимает с производства Boxster и Cayman в Европе Porsche досрочно снимает с производства Boxster и Cayman, поскольку эти два автомобиля не соответствуют новым правилам кибербезопасности. Новые европейские законы о кибербезопасности вступят в силу в июле 2024 года, после чего Porsche больше не будет разрешено регистрир...

Toyota готовит Land Cruiser на минималках. Рамный Land Hopper окажется меньше Land Cruiser Prado 250 и получит моторы от Toyota RAV4 В начале августа во время премьеры Land Cruiser Prado 250 Toyota засветила пару будущих моделей, одна из которых была очень похожа на снятый с производства FJ Cruiser. Позже появились данные о том, что новинка может получит название FJ Cruiser, и вот сейчас опублик...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Массовое производство тяжёлых ударных БПЛА С-70 "Охотник" начнётся во второй половине 2024 года Представители ВПК сообщили, что беспилотник С-70 "Охотник" пойдёт в серию во второй половине 2024 года

Безлитиевые натриевые аккумуляторы поступают в массовое производство Новые аккумуляторы имеют множество преимуществ. Пока неизвестно, можно ли их эффективно использовать.

В России началось массовое производство авиабомб ФАБ-3000 — Минобороны Трёхтонная фугасная бомба — это вам не шутки-прибаутки с дронами за $500.

Украина запустила массовое производство роботизированных турелей «ШаБля» Украинские власти заключил государственный контракт по закупке роботизированных пулемётов.

Начало массового производства H20 и других ускорителей вычислений для Китая ожидают в 2-м квартале NVIDIA разработала новые модели под новые санкции США.

Samsung разработала самую быструю в отрасли память LPDDR5X со скоростью 10,7 Гбит/с Массовое производство планируется начать во второй половине года.

Tesla начала массовые увольнения своих сотрудников Компания приступила к процессу сокращения производства и рабочих мест на 10%

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

Senua’s Saga Hellblade II поступит в продажу в конце мая На производство действительно достойных продуктов требуются долгие-долгие годы.

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Какие внедорожники Haval получат российские моторы? Оказалось, что таких моделей всего три Кирилл Милешкин, редактор издания «За рулем», в рамках теста обновленного Haval Jolion рассказал, какие именно машины Haval получат российские моторы (их производство, напомним, стартовало в Туле и размещается под одной крышей с производством автомобилей). ...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Смартфон Xiaomi 15 проходит внутреннее тестирование с Snapdragon 8 Gen 4 Также стоит ожидать, что предстоящие флагманы могут выйти на стадию массового производства уже в сентябре.

Китай планирует развить массовое производство человекоподобных роботов через два года Если помнишь древний мем про "боевых человекоподобных роботов" напиши об этом в каментах

Bild: В России перешли на массовое производство тяжелой планирующей бомбы ФАБ-1500-М54 Дымовой "гриб" от подрыва такой бомбы подымается на 20 этажей над земной поверхностью

В SK Hynix подтвердили, что компания планирует перенести своё производство в Соединённые Штаты Массовое производство чипов памяти на новом предприятии может начаться в 2028 году

В России запустили массовое производство электродвигателей для беспилотных летательных аппаратов Сообщается, что продукция создаётся исключительно из отечественных комплектующих

В США усилили БМП M2 Bradley с помощью КАЗ Iron Fist, повысив выживаемость в 3 раза Похоже, речь о массовом производстве не идёт, поскольку модернизация оказалась слишком дорогой

Завтра в Ирландии начнётся выпуск продукции по технологии Intel 4 Это первый случай применения EUV-литографии в массовом производстве на территории Европы.

Российский «Аквариус» приступил к массовому производству 27-дюймовых отечественных IPS-мониторов К концу 2025 года ожидается выпуск 2.5 миллиона устройств за календарный год

БПЛА С-70 "Охотник": последняя информация о ТТХ и массовом производстве многообещающей новинки Вся актуальная информация о вооружении, характеристиках и скором появлении в войсках дрона С-70 "Охотник"

У Nvidia есть хоть что-то для поставок в Китай? Ускоритель HGX H20 сильно задержится Компания Nvidia испытывает трудности с поставками ускорителей для ИИ в Китай. И не только из-за санкций. Как сообщается, модель H20, созданная специально для Поднебесной, во втором квартале текущего года только поступит в массовое производство.  Изначально ещё в н...

Geely Monjaro и Zeekr 001 вошли в число самых ликвидных автомобилей в России Эксперты «Авито Авто» выявили самые ликвидные автомобили в возрасте 1-3 лет на российском рынке. Исследование проводилось по запросу контактов на объявление по каждой модели. По итогам аналитики выстроили два рейтинга — по автомобилям массового сегмент...

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Вот это поворот. АвтоВАЗ откажется от своего бестселлера — Lada Granta снимут с производства в 2024 году АвтоВАЗ снимет с производства модель Lada Granta, которая является самой массовой и доступной моделью марки. Об этом пишет Quto со ссылкой на президента компании Максима Соколова. «В самом конце 2024 года планируется выпустить народный автомобиль Lada Iskra, котор...

Моторы «Евро-5» вернутся в УАЗ «Патриот» и «Пикап» в 2024 году. Они получат новые блоки управления После прошлогоднего кризиса УАЗ начал устанавливать на свои автомобили двигатели «Евро-2», что допускается сейчас техрегламентом. Однако эти моторы не задержатся надолго под капотом отечественных внедорожников: УАЗы получат двигатели «Евро-5» уже...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Бешенная Alpina B7 вернётся с новым поколением BMW 7 серии Как сообщает BMW Blog, мюнхенский автопроизводитель собирается получить контроль над Alpina с 1 января 2026 года. Напомним, Alpina десятилетиями продавала модифицированные версии существующих моделей BMW с большим успехом на различных рынках. Также сообщается, что на ры...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Betavolt создала аккумулятор, позволяющий использовать телефоны без подзарядки 50 лет Цель Betavolt - запустить технологию ядерной батареи в массовое производство и обеспечить ее использование в таких устройствах, как телефоны и беспилотники.

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Российский завод Nissan полноценно заработает в 2024 году. Будут выпускать Lada Х-Cross 5 и какой-то седан Глава АвтоВАЗа Максим Соколов на конференции «Национальная технологическая революция 20.35» рассказал, когда начнется по-настоящему массовое производство автомобилей на бывшем российском заводе Nissan.   Изображение: Lada «Мы рассчитываем, что по...

Почему у названия «iPhone» такое странное написание Среди множества технологических терминов и брендов, название «iPhone» выделяется не только своей популярностью, но и уникальным написанием.

Представлен полностью черный Hyundai Palisade 2024 В США дебютировал Hyundai Palisade 2024. Изменений немного, самое главное – появление в гамме совершенно черной версии Calligraphy Night Edition. В ней черное все, что только можно: корпуса зеркал, решетка радиатора, колесные диски и даже выхлопные трубы...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Xiaomi 14 Pro не появится на мировом рынке Серия смартфонов Xiaomi 14 дебютировала в Китае в начале октября текущего года — многим пользователям новинка очень понравилась благодаря приличным характеристикам, стильному дизайну и низкой стоимости. Многие пользователи с огромным нетерпением ждали релиза смартфона Xiaomi...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Массовое производство Snapdragon 8 Gen 4 намечено на III квартал 2024 года Компания Qualcomm сообщила о выходе Snapdragon 8 Gen 3 SoC в октябре прошлого года, и уже в начале 2024 года в розничной продаже появятся рабочие аппараты

Складной смартфон Pixel Fold 2 может появиться летом в 2024 году Pixel Fold 2 выйдет на рынок позднее в этом году: аналитики рассказали о размерах экранов и сроках массового производства

В США запретят дроны производства DJI – политики говорят о рисках для национальной безопасности В США нет массовых производителей БПЛА, способных занять рыночную долю китайской DJI

Массовое производство AR-гарнитуры Apple Vision Pro начнется уже в декабре В сети появилась информация, согласно которой Apple готовится запустить массовое производство своего первого продукта в области смешанной реальности — Vision Pro.

Tesla начнет производство электротягачей Tesla Semi в Берлине Илон Маск заявил, что Tesla начнет производство электрических грузовиков Semi на заводе Gigafactory Berlin. Однако для компании важно начать массовое производство сначала в США.

В «Технополис GS» произвели полмиллиона материнских плат В 2023 году одна из ведущих российских площадок массового контрактного производства электроники – АО «НПО «ЦТС» – изготовила 500 тыс. материнских плат.

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

В сети появилось видео массового взлета 12 бомбардировщиков B-2 Spirit с базы ВВС США Дюжина B-2 приняла участие в учениях по массовому взлету на базе ВВС США Уайтмен, штат Миссури, в рамках ежегодного учения Spirit Vigilance. Этот массовый взлет служит сигналом об оперативной готовности самолетов B-2 на случай серьезных кризисных ситуаций.

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Radeon RX 6750 GRE получит 12 Гбайт памяти и дебютирует 18 октября, но купить её будет непросто Впрочем, решение всё же имеется.

Действительно сюрприз. До конца недели АвтоВАЗ выпустит 10 000 Lada Х-Cross 5 Похоже, производство Lada Х-Cross 5 уже идёт полным ходом, поскольку до конца 2023 года в Петербурге планируется выпустить 10 тыс. автомобилей, о чем сообщил губернатор города Александр Беглов. «Мы возрождаем петербургский автопром. До конца года с конвейеров сойд...

То, что ищет молодежь: встречайте смартфон Oscal TIGER 12 с ... Смартфон для молодежи Oscal TIGER 12 дебютирует с выдающимися характеристиками и привлекательной ценой только с 23 по 29 ноября.

Новейшие iPhone 15 невзлюбили: какими устройствами Apple больше всего довольны пользователи Команда популярного бенчмарка AnTuTu опубликовала свежий рейтинг мобильных устройств Apple на основе операционной системы iOS, которые получили самые высокие оценки удовлетворённости пользователей. По итогам октября 2023 года можно отметить, что новейшие смартфоны iPhon...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Xiaomi вышла на прибыль Во время публикации финансовой отчетности руководство компании подтвердило планы по запуску массового производства электрокаров в первой половине 2024 года.

Arm запустит собственный ИИ ускоритель в массовое производство к 2025 году Японский технологический гигант в очередной раз меняет свой бизнес, на этот раз делая многомиллиардную ставку на технологии искусственного интеллекта.

В Южной Корее начинается массовое производство новых управляемых ракет Они должны заменить американские TOW, в данный момент находящиеся в распоряжении корейской армии.

В 2026 году в России запустят сборку Peugeot Partner и Citroen Berlingo Через два года на калужском заводе «ПСМА Рус» будет перезапущено производство компактвэнов Peugeot Partner и Citroen Berlingo второго поколения. Как пишет Drom.ru, эти машины будут выпускать по циклу CKD: будет мелкоузловая сборка со сваркой кузовов на завод...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Внезапный новогодний сюрприз: к дилерам поступили десятки новых кроссоверов Lada Новый кроссовер Lada X-Cross 5 должен поступить в продажу уже в ближайшее время, поскольку несколько десятков таких машин накануне отгрузили официальному дилеру Lada в Тольятти, о чем Telegram-канал «Автопоток». Инсайдер «Автопотока» подтвердила,...

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Ценовая война продолжится в 2024 году, уверены в BYD. Sea Lion и Seal станут целыми линейками Генеральный менеджер BYD, ответственный за серию Ocean, Чжан Чжо, подтвердил, что Sea Lion станет новой линейкой, ориентированной исключительно на кроссоверы, а также спрогнозировал продолжение ценовой войны. Чжуо заявил, что ценовая война в Китае по производству электр...

Дебютирующий в июне электромобиль Alpine A290 получит спортивный руль Функциональность Alpine A290 напоминает о модели Alpine F1

Легендарный Toyota FJ Cruiser вернется как Land Cruiser FJ? Toyota запатентовала название для нового внедорожника В не очень далекой перспективе линейка внедорожников Toyota Land Cruiser может пополниться новой моделью под названием Land Cruiser FJ – компания зарегистрировала в Японии соответствующий товарный знак. Напомним, на премьере нового Land Cruiser Prado 250 ком...

Начинается работа над подготовкой операторов для БПЛА С-70 Охотник В скором времени Ростех планирует запустить массовое производство данных тяжёлых ударных дронов

Frankfurter Allgemeine: Россия заметно превосходит Украину в разработке и производстве разных БПЛА Согласно западным средствам массовой информации, Россия постоянно совершенствует свой арсенал беспилотников в ходе Спецоперации

В скором времени в России будет запущено массовое производство морских дронов-камикадзе На сегодняшний день ББКН "Одуванчик" проходит испытание в зоне Спецоперации на Украине

Китай объявил о разработке нового метода массового производства оптических чипов Это может радикально изменить глобальный рынок полупроводников и укрепить технологическое лидерство страны.

Samsung начала производство памяти V-NAND нового поколения Компания Samsung начала производство своей вертикальной NAND (V-NAND) 9-го поколения с трехуровневыми ячейками (TLC) ёмкостью 1 ТБ. Новая память примерно на 50% плотнее и на 10% более энергоэффективна, по сравнению с предшественницей, дебютировавшей в 2022 году. По словам…

Представлен первый игровой ноутбук с Linux, AMD Ryzen 7 7840HS и Radeon RX 7600M XT — Sirius 16 Gen 1 Представлен первый игровой ноутбук с Linux под названием Sirius 16 Gen 1 от Tuxedo Computers. Это устройство получило 8-ядерный процессор AMD Ryzen 7 7840HS с максимальной рабочей частотой 5,1 ГГц и графический ускоритель Radeon RX 7600M XT с 8 ГБ видеопамяти GDDR6. Но...

Российские инженеры готовят к массовому производству вездеход-амфибию "Тритон" Создана новая амфибия для форсирования водных преград: "Тритон"

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Преемник Mazda6 полностью рассекречен Преемник Mazda6, который получил названием Mazda EZ-6, был представлен на автосалоне в Пекине, но только сейчас машина получила сертификат, подтверждающий характеристики новинки. Автомобиль построен в сотрудничестве с Changan и является очень похожим по характеристикам ...

Графический процессор NVIDIA R100 на архитектуре Rubin поступит в производство в конце 2025 года Подробностей о нем очень мало, предполагается, что данные ускороители будут оснащаться высокопроизводительной памятью HBM4

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Apple не смогла избежать массовых исков по поводу комиссии App Store Массовый иск от 1500 разработчиков может обойтись американской компании 800 млн евро

Mini Clubman сняли с производства Mini Clubman был снят с производства спустя 17 лет, поскольку Mini уступил место электрическому Aceman, о чем пишет Autocar. Впервые Clubman поступил в продажу в 2007 году как версия универсала Mini с новой вертикально разделенной задней дверью. Теперь он уступил место ...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Samsung ускоряет планы по изготовлению твердотельных ... Компания Samsung ускорила свои планы по запуску массового производства твердотельных аккумуляторов. Сначала это было запланировано на 2027 год, но последние события указывают на более ранние сроки.

Samsung ускоряет планы по изготовлению твердотельных ... Компания Samsung ускорила свои планы по запуску массового производства твердотельных аккумуляторов. Сначала это было запланировано на 2027 год, но последние события указывают на более ранние сроки.

Toshiba показала жёсткий диск объёмом 32 Тб, обещая начать массовое производство уже в 2025 году Реальных альтернатив для традиционных жёстких дисков пока нет

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Китайские компании получат субсидии от правительства для стимулирования производства видеокарт К 2027 году Китай намерен использовать только собственное оборудование.

Дешёвые телевизоры Xiaomi TV Mini LED выходят 18 октября, покупатели получают Xiaomi Mi Band 8 Pro Новые телевизоры Xiaomi TV Mini LED поступят в продажу на следующей неделе, 18 октября, о чём только что сообщил Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi. Он также подтвердил, что в ходе предварительной продажи Xiaomi TV S Pro с 65-дюймовым...

Продукция из Яньтая дебютировала в Пекине   В Пекинском выставочном центре началась специальная рекламная кампания «Добро пожаловать в Шаньдун, где делают совершенство». В Пекин одновременно поступила группа   продукции провинции Шаньдун. По мнению информационного отдела Муниципального народного правительства Яньтая, ...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Новые массовые отклонения Gmail начнутся в апреле 2024 года, сообщает Google Google ужесточает правила для массовых рассылок в Gmail с апреля, требуя аутентификацию отправителей и повышая безопасность

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

ProLogium открыла первый завод по массовому производству твердотельных батарей для электромобилей Тайваньская компания ProLogium открыла завод по производству твердотельных батарей для электромобилей. Mercedes-Benz планирует использовать эти батареи в своих автомобилях к 2030 году.

Китай обнародовал план массового производства человекоподобных роботов Согласно плану, опубликованному Министерством промышленности и информационных технологий (MIIT) Китая, эта страна готовится реализовать амбициозный проект массового производства человекообразных роботов в течение двух лет.

Apple отказалась от знаменитых чехлов FineWoven после массовых жалоб Линейка чехлов FineWoven для iPhone и ремешков для Apple Watch с экологически чистой альтернативе коже оказалось не такой долговечной. Слухи говорят о том, что Apple прекращает производство из-за жалоб пользователей на долговечность и «приятность» материала.

Первый корейский селфифон? Samsung Galaxy M55 получит фронтальную камеру с самым высоким разрешением за всю историю смартфонов Samsung MSPowerUser сообщил интересную подробность о смартфоне Samsung Galaxy M55: по данным источника, во фронтальной камере модели пропишется 50-мегапиксельный сенсор. Датчика столь высокого разрешения нет во фронтальных камерах других смартфонов Samsung, даже флагманов. В о...

Новый ASUS ZenBook 14 OLED получил экран на 120 Гц, процессор Intel Core Ultra и вес в 1,28 кг Компания ASUS анонсировала новый ноутбук под названием ASUS ZenBook 14 OLED (UX3405). Новинка обладает не только изящным и стильным дизайном, но и обещает первоклассную производительность. ОсобенностиASUS ZenBook 14 OLED (UX3405) имеет элегантный цельнометаллическим…

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Seasonic дарит угловой кабель питания 12V-2x6 владельцам видеокарт RTX 40 Однако получить его смогут только 100 человек из Китая, имеющие блоки питания производства Seasonic

Смартфон Redmi Turbo 3 официально представят 10 апреля В начале этого месяца Redmi внезапно объявила о запуске новой серии под названием Redmi Turbo, подтвердив при этом, что первый представитель получит название Redmi Turbo 3.

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Lada Vesta SW, Lada Vesta SW Cross и Lada Granta Cross вернулись на конвейер С осени прошлого года АвтоВАЗ выпускал практически только седаны, но сейчас, наконец-то, производство расширено. Как констатируют инсайдеры паблика Avtograd News, «универсалы вернулись на конвейер». Речь об универсалах Lada Granta и Lada Vesta. «Проце...

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

В Великобритании изготовили восьмой прототип новейшего танка Challenger 3 В настоящий момент уже протекают испытания новой техники и уже по их результатам начнётся массовое производство

В Китае могут запустить массовое производство HBM памяти к 2026 году Такая память используется преимущественно в специализированных ускорителях вычислений, предназначенных для технологий искусственного интеллекта

JEDEC согласился уменьшить толщину микросхем HBM4 В настоящее время JEDEC разрабатывает стандарты для 6-го поколения памяти с высокой пропускной способностью (AKA HBM4) - 12- и 16-слойные DRAM-конструкции должны выйти в массовое производство в 2026 году

«Ростех» рассказал о создании нового противодронового комплекса Инженеры включенного в структуру «Ростеха» НПО «Квант» завершили разработку передового комплекса «Гроза.04.К», ключевой задачей которого является противодействие дронам формата FPV. Массовое производство системы уже запущено.

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

Кому не хватает диагонали? Apple планирует выпустить 20-дюймовый MacBook По словам аналитика Apple Минг-Чи Куо, Apple планирует выпустить 20-дюймовый MacBook со складным экраном примерно через три года. «Единственный складной продукт Apple с четким графиком разработки — это 20,3-дюймовый MacBook, который, как ожидается, поступит ...

Seagate планирует начать производство жёстких дисков объёмом от 30 Тб по новой технологии HAMR Жёсткие диски поступят в продажу в 1 квартале 2024 года

Российский планшет Kvadra_T поступил в продажу по цене 42 тысячи рублей Планшет российского производства с операционной системой отечественной разработки поступил в продажу за 42 тыс. руб.

Илон Маск поставил сотрудников Tesla перед фактом ночёвки на работе Илон Маск, выступая перед инвесторами, заявил и о том, что сотрудники Tesla предупреждены, что в процессе разработки нового массового электромобиля под кодовым названием Redwood, им придётся в прямом смысле ночевать на работе.

Представлен бюджетный игровой ноутбук Lenovo Legion Y7000P 2024: Core i7-14700HX, GeForce RTX 4070 Laptop и выросшее до 190 Вт потребление Вместе с флагманским ноутбуком Legion Y9000P 2024 дебютировала модель попроще и подешевле – Legion Y7000P 2024. Особенность новинки в сравнении с предшественником – увеличенное на 30 Вт (до 190 Вт) потребление, это позволило расширить тепловые пакеты CP...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Игра Elden Ring получит в качестве DLC только Shadow of the Erdtree К компьютерной игре Elden Ring выпустят только одно дополнение под названием "Shadow of the Erdtree", подтвердил президент и директор FromSoftware Хидетака Миядзаки.

Intel запускает в Ирландии завод нового поколения для выпуска 7-нм чипов под брендом Intel 4 Intel готовится к запуску процессорного завода в Ирландии для массового производства чипов по технологии Intel 4, ранее известной как 7-нм процесс

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

Самый быстро заряжающий телефон на базе Snapdragon 8s Gen 3. Это звание получит Realme GT Neo 6 В марте Qualcomm представила чипсет Snapdragon 8s Gen 3, урезанную версию чипа Snapdragon 8 Gen 3, который дебютировал в октябре 2023 года. Несколько смартфонов с чипом Snapdragon 8s Gen 3, таких как Xiaomi Civi 4 Pro, Redmi Turbo 3 и iQOO Z9 Turbo уже поступили на кита...

Процессор AMD Ryzen 7 5700X3D поступит в продажу 31 января по цене 249 долларов Кроме него 31 января в продажу поступят процессоры: Ryzen 5 5500 GT, Ryzen 5 5600 GT и Ryzen 7 5700

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Первый смартфон Samsung среднего уровня с 45-ваттной зарядкой. Samsung Galaxy M55 представлен в Индии Samsung представила смартфон Galaxy M55 в Индии. Это первая модель Samsung среднего уровня с поддержкой 45-ваттной зарядки, а также первый смартфон Samsung с 50-мегапиксельной фронтальной камерой. Ранее эта модель дебютировала в Бразилии, но только с одной конфигурацией...

Xiaomi Mix Flip с чипсетом Snapdragon 8 Gen 3 дебютирует вместе с ... По слухам, компания Xiaomi готовит к выходу новый складной смартфон Mix Flip. Он получит отличные характеристики и флагманский процессор.

Был Cummins, стал КамАЗ. Грузовики «Компас-9» получили новый турбодизель «КамАЗ-590» Компания «Тракс Восток Рус» начала производство среднетоннажных грузовиков «Компас-9» с новым турбодизельным мотором «КамАЗ-590». По данным «Автопотока», в продажу машины с новым двигателем поступят лишь в конце июня....

В Китае открылся уникальный художественный музей протяженностью 1 километр В китайском городе Жичжао, провинция Шаньдун, открылся необычный художественный музей под названием «Zaishui Art Museum». Авторство принадлежит японской компании Junya.ishigami+associates. Ей была поставлена задача создать универсальное интерактивное пространство нового типа...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

«FAW закрыл тему сотрудничества с ВАЗом». Источник на АвтоВАЗе рассказал, что проект превращения FAW Bestune T77 в Lada X-Cross 5 закрыт Вчера глава АвтоВАЗа Максим Соколов сообщил, что всего за прошлый год на бывшем заводе Nissan в Санкт-Петербурге было собрано 170 Lada X-Cross 5. Топ-менеджер больше никак не комментировал проект, но новые подробности опубликовал Telegram-канал «Автопоток»: ...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Какими устройствами Apple больше всего довольны пользователи: iPhone 15 и iPhone 15 Pro не попали даже в топ-30 Antutu Команда популярного бенчмарка AnTuTu опубликовала свежий рейтинг мобильных устройств Apple на основе операционной системы iOS, которые получили самые высокие оценки удовлетворённости пользователей. По состоянию на конец ноября 2023 года можно подвести итоги первых месяц...

Инсайдер: разработка Apple Car застопорилась Долгожданный автомобиль Apple Car, похоже, снова столкнулся с проблемами. Известный аналитик Минг-Чи Куо в своем Twitter выразил сомнения в будущем проекта, указав, что без стратегии выхода на автомобильный рынок массовое производство Apple Car в ближайшие несколько лет пред...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Луноход Intuitive Machines сделал снимки Земли в преддверии высадки на спутник Луноход Nova-C компании Intuitive Machines, получивший меткое название Odysseus, не только находится на пути к Луне, на которую он прилунится 22 февраля, но и уже передал захватывающие изображения Земли.

В России закончились Lada Largus В наличии у российских дилеров не осталось автомобилей Lada Largus, о чем сообщает Wroom.ru. Стоит отметить, что массовое производство Lada Largus прекратилось более двух лет назад, при этом в августе прошлого года АвтоВАЗ выпустил последнюю партию, в которую вошли 650 ...

Mercedes-Maybach нового класса Sport Utility Sedan (SUS) — смесь седана и кроссовера — отменили через шесть лет после анонса Представленный в 2018 году Vision Mercedes-Maybach Ultimate Luxury не пойдет в серию, как сообщает немецкая деловая газета Handelsblatt. Производитель автомобилей класса люкс отказался от массового производства странной смеси седана и кроссовера. Идея заключалась в том,...

В 2028 году появятся самозаживляющиеся экраны Сегодня зарубежные СМИ рассказали о достаточно интересной технологии самозаживляющегося дисплея, которая по прогнозам специалистов будет доступна для массового производства уже в 2028 году. Суть данной технологии в том, что плёнка, которая накладывается поверх дисплея, созда...

В стиле классической раскладушки 2003 года: Samsung выпустила ретро-версию Galaxy Z Flip5 Компания Samsung Electronics представила специальное издание смартфона Galaxy Z Flip5 Retro. Он выполнен в стиле классического телефона-раскладушки Samsung SGH-E700 (обзор), дебютировавшего в далёком 2003 году.  Как отметила Samsung, телефон SGH-E700 оказал значит...

LG представила первый в мире беспроводной прозрачный OLED-телевизор Особенностью новинки, которая получила название OLED T, стал не только необычный внешний вид, но и возможность работы в беспроводном режиме

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Новейший Mercedes-Benz GLC 300 4MATIC Coupe скоро поступит в салоны. Названы цены Mercedes-Benz представил купе GLC 2024 2024 года в марте этого года, но на тот момент немецкая компания не объявила никаких подробностей относительно вывода на рынок кроссовера и его цен. Теперь эта информация опубликовала официально. Стартовая цена полностью обновленн...

«Возрождение легенды. Новое имя знакомых шин». На заводе Nokian Tyres в Ленинградской области начали выпуск шин Ikon Tyres Компания Ikon Tyres запустила в серийное производство летние шины под одноименным брендом на бывшем заводе Nokian Tyres в Ленинградской области. «Летние шины, которые поступят в розничную продажу весной 2024 года, будут первыми шинами, на которых нанесен бренд Iko...

HMD Arrow — ещё одна замена смартфонам Nokia. Компания HMD недавно запустила конкурс по выбору названия для будущего смартфона. Пользователи предлагали множество названий для устройства. Наконец, HMD раскрыла название будущего смартфона — HMD Arrow. Текущая маркетинговая стратегия компании заключается в испол...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

«Что? Где? Когда?» в названии багов Хорошее название бага понятно любому:- менеджеру, плохо знающему техническую часть проекта;- джуниору, который только пришел в проект;- разработчику (зачем мне это чинить?)Для этого оно должно отвечать на 3 главные вопроса: Что? Где? Когда?И в этой статье я хочу разобрать ка...

BMW Z4 2024 получит МКПП. Это та же трансмиссия, что и у Toyota GR Supra 2023 BMW Z4 2024 модельного года получит механическую коробку передач, которой не было в данной линейке уже давно. Напомним, ранее Toyota вернула в GR Supra шестиступенчатую механическую коробку передач для модели 2023 года. Сама трансмиссия у обоих автомобилей общая, но, по...

Массовые блокировки аккаунтов PlayStation Network без объяснения причин Массовая волна блокировок аккаунтов в PlayStation Network без объяснения причин. Пользователи лишены доступа к играм и контенту. Sony пока не комментирует ситуацию.

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Центр аддитивных технологий Ростеха получил лицензию на обучение аддитивному производству Центр аддитивных технологий (ЦАТ) Ростеха стал первым предприятием в контуре государственной корпорации «Ростех», получившим лицензию на обучение по программе «Аддитивные технологии». Программы повышения квалификации затронут все аспекты производства методом 3D-печати — от к...

Происходят массовые блокировки аккаунтов PlayStation Network без объяснения причин Массовая волна блокировок аккаунтов в PlayStation Network без объяснения причин. Пользователи лишены доступа к играм и контенту. Sony пока не комментирует ситуацию.

14 дюймов, 165 Гц, AMD Ryzen 9 7940HS, Nvidia RTX 4090 Laptop, 32 ГБ ОЗУ. Представлены ноутбуки Asus Phantom 14 Компания Asus представила два ноутбука Phantom 14 2024 модельного года, которые получили названия Classic Edition и Nebula Edition. Основные различия заключаются в видеокарте, массе и подсветке. Характеристики Asus Phantom 14 Classic Edition: Мобильный процессор AMD Ry...

«Всемирный автомобиль 2012 года» и «Карманная ракета 2017 года» Volkswagen Up уходит на покой Volkswagen Up был снят с продажи в Великобритании через 12 лет после запуска, поскольку компания готовится окончательно прекратить производство модели к концу 2023 года. В официальном заявлении бренд заявил: «Производство Volkswagen Up и e-Up на заводе Volkswagen ...

Samsung готовит к релизу Galaxy Ring Согласно данным журналистов из Южной Кореи, Samsung стремится к массовому производству умного кольца Galaxy Ring в количестве от 400 000 до 500 000 единиц в год — это начальный этап производства, чтобы проверить спрос на гаджет в мире. Это кажется отличной идеей, но здесь ст...

Redmi Note 13 Turbo и Poco F6 получат новейший чип Snapdragon 8s Gen 3 Redmi работает над новым телефоном серии Note 13 для китайского рынка. На данный момент бренд выпустил несколько телефонов серии Note 13, включая Redmi Note 13 5G, Note 13 Pro 5G, Redmi Note 13 Pro+ 5G, Redmi Note 13R и Redmi Note 13R Pro. Предстоящий Redmi Note 13 Turb...

Представлен ноутбук с Windows и Android. Он получил одновременно Intel Core Ultra 7 и Snapdragon 8 Plus Gen 1, а также отдельные наборы памяти Компания Lenovo представила устройство «два в одном», которое работают как на Windows, так и на Android. Новинка получила название Lenovo ThinkBook Plus Gen 5 Hybrid. Новое гибридное устройство Lenovo может переключаться между двумя операционными систе...

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Существуют не только электросамокаты, но и электроскейты: итальянский Linky 2.0 Компания Linky Innovation представила вторую версию своего складного электроскейта под названием Linky 2.0. Новинка получила ряд существенных улучшений по сравнению с оригинальной моделью, которая была представлена ещё в 2016 году.

Экран Galaxy S24 Ultra удивил блогера «живучестью» Вместе со смартфоном Galaxy S24 Ultra дебютировало защитное стекло Gorilla Glass Armor. Автор YouTube-канала PBKreviews проверил его стойкость к царапинам, и получил неожиданный результат

Стильный Volkswagen Passat, который «не зашел». Volkswagen попрощался с лифтбэком Arteon Volkswagen сообщил о том, что лифтбэк Arteon (это такая более стильная версия Passat и с другим типом кузова) больше не производится. Arteon никогда не был бестселлером ни в Европе, ни в США, но он предлагал альтернативный дизайн и тип кузова. И вот сейчас машина отправ...

ByteDance сокращает геймдев- начались массовые увольнения в Nuverse ByteDance, компания-владелец TikTok, объявила о массовых увольнениях в своем игровом подразделении Nuverse после двух лет амбициозных попыток войти на рынок видеоигр

Игроки Helldivers 2 раскритиковали Sony за необходимость привязки аккаунтов к PSN Игра Helldivers 2 столкнулась с массовым недовольством после введения обязательной привязки аккаунтов к PlayStation Network, что привело к массовому недовольству и тысячам негативных отзывов в Steam.

34 дюйма, 3440 х 1440 пикселей, 180 Гц, 1 мс и цена чуть более 200 долларов. Новинка Xiaomi — Redmi G34WQ — уже в продаже в Китае Новый игровой от Xiaomi под названием Redmi G34WQ, который был представлен на основных платформах электронной коммерции в Китае на прошлой неделе, сегодня впервые поступил в продажу по цене 208 долларов. Этот монитор оснащен 34-дюймовым экраном (радиус кривизны составля...

Lada Vesta NG с новым мотором и вариатором, в нормальных и «дубовых» комплектациях уже сходят с конвейера. Двигателей хватает АвтоВАЗ продолжает сборку так называемых «проектных» автомобилей Lada Vesta, оснащенных модернизированным двигателем 1.8 EVO и вариатором китайской фирмы WLY, о чем сообщает Avtograd News в соцсети «ВКонтакте». С конвейера ВАЗа в небольшом количе...

К 2026 году MacBook Pro получит OLED-дисплей MacBook Air с более новым дисплеем на борту также дебютирует в будущем

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

«Роторный двигатель очень нравится клиентам по всему миру», — Mazda сообщила о выпуске 2-миллионого роторного двигателя Mazda работает с этой технологией с 1961 года, когда она вступила в техническое партнерство с NSU и Wankel. К 1967 году компания выпустила Mazda Cosmo Sport. К 1973 году бренд выпустил 500 000 роторных двигателей. Однако только в 1978 году родился самый известный роторн...

В 2025 году стартует серийное производство полноприводного «Урала-80». Он получит импортозамещенный 5,1-литровый мотор ЯМЗ-535 Как сообщает «Техносфера», только-только представленный грузовик «Урал-80» (он же Урал-43206-67) вызвал интерес у потенциальных заказчиков, так что автомобиль запустят в серийное производство. Правда, случится это не скоро – только в 2025 г...

Он потягается с Tank 300, Tank 400, BAIC BJ60 и Fang Cheng Bao Bao 5. Китайский Ford Bronco выйдет весной 2024 Ford Bronco производства Jiangling Motor был замечен во время дорожных испытаний в Китае. Он получил разрешение на массовое производство от правительства Китая. Выход на рынок этого внедорожника запланирован на весну 2024 года. В сентябре выяснилось, что этот внедорожн...

В Ижевске вовсю готовятся к перезапуску производства Lada Largus. В конце января будет сварен первый кузов из панелей, сделанных на предприятии АвтоВАЗ планомерно реализует проект по возобновлению производства Lada Largus в России – в Ижевске. По словам генерального директора завода Александра Богачёва, в конце января на предприятии сварят первый кузов из узлов, произведённых непосредственно в Ижевске. П...

Sonos объявила о задержке выпуска своих новых наушников Компания Sonos столкнулась с технической неисправностью своих новых наушников, что привело к задержке массового производства. Этот этап, известный как тестирование валидации производства (PVT), был приостановлен из-за обнаруженного бага всего за две недели до начала массовог...

7-местный Volkswagen Tiguan 2025 засняли на тестах. В Европе эта модель будет называться Tayron На зимних тестах в Европе засветился новый кроссовер Volkswagen Tayron, призванный заменить Tiguan Allspace. На самом деле, у этой машины три названия: в США он дебютирует в текущем году как Tiguan 2025, а в Китае это будет Tiguan L или Tayron второго поколения (в завис...

Характеристики урезали, а цену оставили прежней. Маршрутизатор Xiaomi WiFi 7 BE3600 поступил в продажу в Китае Новейший бюджетный роутер Xiaomi WiFi 7 BE3600 уже поступил в продажу в Китае, он отличается от ранее выпущенной модели с таким же названием отсутствием сетевого порта 2.5G. При этом цена составляет те же 30 долларов. В начале года в продажу поступил маршрутизатор ...

Samsung получила 6,4 млрд долларов для строительства заводов по производству микросхем в Техасе Инвестиции являются частью более широких усилий по "восстановлению лидерства США в производстве полупроводников".

Берегись, Tank 300. «Китайский УАЗик» BAIC BJ212 показали на новых тизерах, он может получить силовую установку на 409 л.с. Китайская BAIC опубликовала новые изображения бескомпромиссного внедорожника BAIC BJ212. Аппарат идеологически и стилистически схож с BAW BJ212, первый вариант которого оснащали агрегатами от ГАЗ-69 и УАЗ-469, но о советском наследии забыли, когда в 2021 году старт...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Анонс шпионского-экшена нового поколения Physint от Хидео Кодзимы Ещё один анонс с презентации State of Play, которая прошла ночью с 31 января на 1 февраля — шпионский экшен Physint от Kojima Productions. Игра нового поколения от Sony Interactive Entertainment и Хидео Кодзимы поступит в разработку только после окончания производства Death ...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Лесли Лэмпорт — человек, который придумал LaTeX Если вы пользовались LaTeX, то, возможно, знаете, что приставка «La» в названии проекта означает «Lamport» в честь разработчика этого набора макрорасширений для TeX. Однако Лесли Лэмпорт получил известность не только благодаря своему вкладу в развитие систем компьютерной вер...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

OnePlus 13 и Ace 3V получат новые процессоры серий Snapdragon 8 и 7 Gen Ace 3V первым получит новый чип серии Snapdragon 7, а OnePlus 13 первым дебютирует со Snapdragon 8 Gen 4.

Российские школы и поликлиники оснастят отечественными планшетами Глава Минцифры РФ, Максут Шадаев, объявил на Tadviser Summit о планах начать массовое оснащение российских учителей и врачей планшетами, начиная с 2025 года. Это даст стимул развитию собственного производства в стране, пишет РИА Новости.

5-минутная зарядка для электромобилей: Polestar представила прототип Израильская технологическая компания StoreDot, известная своей идеей пятиминутной зарядки электромобилей, в партнерстве с Polestar представила первый полномасштабный прототип, в котором реализована технология экстремальной быстрой зарядки (XFC) StoreDot. Массовое производств...

В следующем году будет выпущено не более 500 000 гарнитур Apple Vision Pro Они поступят в продажу в начале февраля, но только в США.

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Оболочка One UI 6.1 от Samsung получит много возможностей на базе ИИ Ожидается, что надстройка One UI 6.1 дебютирует вместе с семейством смартфонов Galaxy S24, и это будут первые устройства, где она окажется предустановленной из коробки. Читать полную статью

Роботизированный огнемет Thermonator поступил в продажу в США Американская компания Throwflame разработала и запустила производство робота-огнемета на основе модели роботизированной собаки — Go1, которую производит компания Unitree Robotics

В РФ в этом году начнётся производство малозаметной для радаров ПВО планирующей бомбы "Дрель" Бомба уже испытана и ждёт только "отмашки" для своего серийного производства

Заменитель Volkswagen Tiguan от Nissan, недорого, с гарантией 3 года или 100 000 км. В Россию привезли первую партию кроссоверов Venucia V-Online Первая поставка кроссоверов Venucia V-Online поступила в дилерские центры компании Ixen Motors. В наличии имеются автомобили в максимальной комплектации Flagship Pro, доступные в различных цветах кузова. Компактный кроссовер Venucia V-Online по размерам сравним с Volks...

Playstation-эксклюзив Horizon Forbidden West выйдет на ПК После очередного ожидания долгожданное издание Horizon Forbidden West Complete Edition наконец-то дебютирует на ПК 21 марта. Это полное издание включает в себя не только основную игру, но и недавно вышедшее расширение The Burning Shores.

Ждать осталось недолго. Премьера Lada Iskra состоится 5 июня Наконец-то стала известна дата официальной премьеры Lada Iskra. Новая модель, которая со временем должна заменить Lada Granta, дебютирует 5 июня в рамках Петербургского экономического форума. Об этом сообщает «Авто Mail.Ru» со ссылкой на свои источники на Ав...

В Роскомнадзоре прокомментировали массовый сбой в работе Telegram 18 февраля Проблемы наблюдались не только у российских пользователей, но и еще в ряде стран

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

AMD снова может запутать обычных пользователей названиями своих CPU. На глобальный рынок выйдут Ryzen 8000F, которые лишены iGPU и уступают Ryzen 7000 Настольные процессоры AMD Ryzen 7 8700F и Ryzen 5 8400F дебютировали в Китае совсем недавно, но вскоре должны появиться и на глобальном рынке.  Новинки уже получили каталожные номера: 100-100001590BOX и 100-100001591BOX. То есть они будут продаваться именно в розн...

Руководство Китая поставило промышленности задачу наладить массовое производство андроидов к 2025 году Как сообщает издание South China Morning Post, Правительство КНР поставило задачу наладить в предельно короткие сроки — а именно, к 2025 году — серийное производство человекоподобных роботов, а к 2027 году занять лидирующее положение в мире в этой области. Подтверждением том...

В Минцифры рассказали о потенциале в разработке отечественного ПО Екатерина Ларина, руководитель отдела развития массовых коммуникаций и международного сотрудничества в Министерстве Цифрового Развития, утверждает, что Россия имеет все необходимое для создания собственного программного обеспечения (ПО) и оборудования. Однако, она отмечает, ...

CVE-2024-1709 и массовая атака на медицинские учреждения США В данной статье рассказывается о 0-day уязвимости CVE-2024-1709, позволяющей обойти аутентификацию и получить неограниченный доступ к серверам и машинам, которые управляются с помощью ПО удаленного рабочего стола ConnectWise ScreenConnect. Данное ПО повсеместно используется ...

Мини-магия без управления взглядом: представлен Honor Magic6 Lite Китайская компания Honor представила первую ласточку в серии Magic6, краткий анонс которой состоялся в конце октября. Ей стала младшая модель под названием Honor Magic6 Lite, дебютировавшая сразу на международном рынке.   Honor Magic6 Lite начнёт продаваться 27 де...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

В Москве запустили производство автопилотов для сельхозтехники Группа компаний «Итэлма» начала массовое производство надежных автопилотов для сельскохозяйственных машин на своем заводе в Москве. Первые автопилоты уже будут установлены на сельхозтехнику и готовы к использованию уже в текущем 2024 году.

Инсайды о новой линейке AirPods: другой дизайн, USB-C и шумоподавление По словам Марка Гурмана, Apple намерена существенно обновить линейку AirPods. Сообщается, что поставщики готовятся к массовому производству двух новых моделей AirPods, которое начнется в мае и будет ориентировано на осенний релиз вместе с iPhone 16.

Пользователи жалуются на полоски на экране Samsung Galaxy S24 Ultra Смартфон Samsung Galaxy S24 Ultra только поступил в продажу, а к нему уже есть претензии от первых покупателей.

ZOTAC уже продаёт RTX 4070Ti SUPER и RTX 4080 SUPER на Amazon Интересно, что они не только значительно дороже рекомендованной цены, но и поступили в продажу раньше снятия на это запрета

В продажу поступил российский аналог американских батареек Duracell — Opticell в похожем оформлении, только с мишкой Маскот Duracell — кролик.

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Российский смартфон «Р-ФОН» оказался копией Nuu A25 Российский смартфон «Р-ФОН» внешне является полной копией устройства под названием Nuu A25, которое предлагается в США. Главный редактор портала Mobiltelefon Евгений Макаров в личном Telegram-канале опубликовал следующее сравнение, которое он прокомментиров...

Экран 3К 165 Гц, 32 ГБ ОЗУ, Intel Core Ultra, 27 часов автономной работы, 1,88 кг. Стартовали продажи Redmi Book Pro 2024 в России Российские ретейлеры начали продавать обновленную серию ноутбуков Xiaomi Redmi Book Pro 2024, дебютировавшую на выставке MWC 2024 в Барселоне в конце февраля.  Иллюстрация: Xiaomi В частности, модель можно найти в «Ситилинке» в двух версиях – на ...

Great Wall выпустила 300 тысяч внедорожников Tank 300 Компания Great Wall сообщила о том, что с конвейера завода в Чунцине сошел 300-тысячный внедорожник Tank 300. На достижение этого ушло три года. Интересно, что изначально модель продавалась под брендом Wey, но потом стала первенцем одноименного бренда. За это небольшое...

AMD Radeon RX 7600 XT 16 ГБ поступит в продажу 24 января по цене 329 долларов США Она получит то же количество ядер, что и модель без XT.

Представлена палатка Jackery с солнечными панелями на 1000 Вт, матрасом из пены с эффектом памяти и регулируемой подсветкой Компания Jackery представила палатку со складными солнечными панелями мощностью 1000 Вт, которая устанавливается на крыше пикапа или внедорожника. Палатка оснащена парой выдвижных солнечных панелей, которые характеризуются мощностью до 1000 Вт. Jackery отмечает, ч...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

АвтоВАЗ высказался о возобновлении производства универсалов Lada Vesta SW АвтоВАЗ опроверг информацию о том, что завод приостановил производство универсалов Lada Vesta SW, о чем сообщают «Автоновости дня». Отвечая на вопрос, который автомобильное издание направило на завод, пресс-служба ответила коротко: «Это фейк». Р...

В сети крупнейшего мобильного оператора США произошел массовый сбой Он затронул не только простых абонентов, но и множество специальных служб

Пользователи 3D-принтеров Anycubic сообщают о массовом взломе На днях кто-то устроил атаку на 3D-принтеры производства компании Anycubic, взломав сетевой протокол MQTT. Загруженный хакером файл гласит, что сделано это без злого умысла — с намерением продемонстрировать уязвимость сетевой службы и таким образом предупредить пользователей...

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Xiaomi продаст 100 тысяч SU7 за текущий год Недавно компания Xiaomi официально вышла на рынок автомобилей со своим электрическим транспортным средством SU7, который мгновенно привлёк внимание аудитории благодаря дизайну, характеристикам и низкой стоимости. А теперь производитель заявил, что планирует только за первый ...

Tesla разрабатывает компактный кроссовер под кодовым названием Redwood Производство нового электромобиля должно начаться в середине 2025 года.

В МФТИ запускают производство тягового 3D-протеза руки Команда студентов с кафедры технологического предпринимательства МФТИ завершила разработку механического тягового 3D-протеза руки и готовит его к массовому производству. При этом на создание протезов без 3D-печати уходит около месяца, а на производство протеза от МФТИ с прим...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Samsung, а как отличить Galaxy S24 от Galaxy S23? Качественные рендеры Galaxy S24 говорят о том, что Samsung не стала заморачиваться с дизайном Инсайдер Стив Хеммерстоффер (Steve Hemmerstoffer), известный под ником Onleaks, опубликовал первые качественные рендеры смартфона Samsung Galaxy S24. По слухам, линейка Galaxy S24 дебютирует только в январе 2024 года, но оценить дизайн базовой модели серии можно уже пря...

Крутые мониторы есть не только у Samsung и Asus. Red Magic Realm поступил в продажу в Китае: 49-дюймовая панель QD-OLED, 240 Гц, поддержка AMD Free-Sync и NVIDIA G-Sync В Китае стартовали продажи монитора Red Magic Realm, ориентированного в основном на геймеров. Аппарат построен на базе 49-дюймовой панели QD-OLED производства Samsung: соотношение сторон – 32 : 9, радиус кривизны – 1,8 м. Разрешение панели – 5120 х 14...

Самая практичная Lada встаёт на конвейер: изначально будут доступны базовые пассажирская и грузовая версии Largus 2024 Classic Представительная делегация АвтоВАЗ во главе с его руководителем Максимом Соколовым отправилась на завод «Lada Ижевск», о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, на 15 мая здесь ...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Вместо Lada X-Cross 5 выйдет Lada X-Cross 7? Такое название может получить Chery Tiggo 7 Pro российской сборки Не секрет, что на бывшем заводе Nissan в Санкт-Петербурге наладили производство кроссовера Chery Tiggo 7 Pro. Автомобиль предложат в России под новым брендом, который питерское предприятие создаст специально для партнерских автомобилей. Как сейчас сообщили инсайдеры, Ch...

iPhone 15 перегревается не только в тяжёлых играх, но даже в мессенджерах iPhone 15, который совсем недавно поступил в продажу, уже вызвал бурю обсуждений среди пользователей.

56 смартфонов Xiaomi, Redmi и Poco получат Android 15: список Ресурс с говорящим названием HyperOS Updates опубликовал актуализированный перечень смартфонов Xiaomi, Redmi и Poco, для которых выйдет Android 15. По данным ресурса, флагманы из этого перечня начнут получать Android 15 уже в текущем году, а аппараты среднего сегмента &...

Xiaomi, что с поддержкой? Планшет Xiaomi Pad 6 не получит Android 15, хотя должен был Один из пользователей обнаружил в базе Xiaomi Security Centre неприятное изменение данных, касающееся планшета Xiaomi Pad 6: если в октябре на страничке устройства были указаны два больших обновления ПО в виде Android 14 и Android 15, то сейчас фигурирует уже тольк...

Samsung намерена начать массовое производство чипов на стеклянной подложке к 2026 году Компания Samsung решила перейти к следующему поколению упаковочного материала, начав работы по созданию стеклянных подложек к 2026 году

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

В Челябинске начнут производить новейшие высокотемпературные двигатели Изображение иллюстративное В третьем квартале текущего года в России будет запущено массовое производство новейших двигателей, устойчиво работающих при температуре более 100 градусов. Данные моторы предназначены для применения в технике и механизмах, функционирующих в экстр...

В Китае спрогнозировано закрытие автомобильных заводов из-за бума электрокаров Истерика вокруг «зелёной» энергетики и бум спроса на электромобили породил цепную реакцию, в силу которой в Китае в скором времени могут появиться сотни «заводов-зомби». Это связано с тем, что строившиеся предприятия по производству автомобилей с ДВС обесцениваются и за этим...

Тайвань выделил более $500 000 на разработку и тестирование пуленепробиваемых плит для своей армии Тайвань планирует внедрение массового производства пуленепробиваемых плит для своих вооруженных сил в 2025 году. Управление вооружений страны выделило 16 млн тайваньских долларов на разработку и тестирование плит, способных выдержать пули калибра 5,56 мм.

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Telluride на минималках. Kia готовит новый кроссовер Clavis – маленький, но брутальненький Autocar India со ссылкой на свои источники сообщает о том, что Kia готовит новый кроссовер – он будет называться Clavis. Официальная премьера состоится или в конце 2024 года, или в начале 2025 года. Автомобиль создают для местного рынка, но, вероятно, продаваться...

«Автомобиль только привезли, а уже шквал звонков». Lada Granta c «автоматом» уже у дилеров, машину можно купить со скидкой На днях АвтоВАЗ объявил стоимость Lada Granta с автоматической коробкой передач, а ресурс Autonews взялся выяснить, получили ли уже ожидаемый автомобиль дилеры. Оказалось, что с наличием Lada Granta всё плохо. И не только в Москве, но даже в Тольятти. Фото: A...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Realme GT Neo6 SE с чипсетом Snapdragon 7 Plus Gen 3 поступил в продажу На данный момент смартфон по цене от 235 долларов доступен для покупки только в Китае

Первые флагманы на Snapdragon 8 Gen 3 и с HyperOS поступили в продажу в Китае. Xiaomi 14 Pro – от 685 долларов, Xiaomi 14 – от 545 долларов Сегодня в Китае стартовали розничные продажи смартфонов Xiaomi 14 Pro и Xiaomi 14, дебютировавших на прошлой неделе. Младшая модель предложена в четырех конфигурациях памяти по цене от 545 долларов (8/256 ГБ) до 685 долларов (16 ГБ/1 ТБ). Стоимость базового Xiaomi 14 Pr...

В России создали автоматизированный модуль для укладки асфальта Директор научно-исследовательского центра «Газпромнефть — Битумные материалы» Илья Кудряшов во время межотраслевой конференции «PRO Битум и ПБВ» сообщил, что в 2025 году планируется запустить массовое производство инновационного модуля «БРИТ», предназначенного для укладки би...

Новые iPad Pro, iPad Air, Magic Keyboard и Apple Pencil представят в начале мая Марк Гурман из Bloomberg, известный своими точными данными по новинкам Apple, сообщил, что новые iPad дебютируют на неделе, которая стартует 6 мая. Утечка предполагает, что мы можем увидеть не только новые iPad Pro и iPad Air, но также обновленные версии Magic Keyboard ...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Автомобильная ассоциация «БАА» назвала автомобиль 2023 года в Белоруссии – это Geely Monjaro Белорусская автомобильная ассоциация (Автомобильная ассоциация «БАА»), в состав которой входят представили дилеров всех автомобильных марок, представленных в стране, назвала автомобиль года 2023 – им стал кроссовер Geely Monjaro. Как видим, этот автомо...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

AMD Ryzen 7 5700X3D и ещё три процессора AM4 поступят в продажу 31 января — цены и характеристики Платформа AM4 получит обновление в 2024 году.

Первый в мире 32-дюймовый монитор с панелью 4K 240 Гц QD-OLED поступил в продажу. За Asus ROG PG32UCDM просят 1300 долларов В США стартовали продажи монитора Asus ROG PG32UCDM, дебютировавшего практически полгода тому назад. На тот момент он стал первой в мире моделью с панелью QD-OLED разрешением 4К и кадровой частотой 240 Гц. На самом деле диагональ экрана чуть меньше – 31,5 дюйма. ...

Xiaomi влетела в распродажу Double 11 «с двух ног»: компания получила более миллиарда долларов за неполный день В Китае началась серия распродаж Double 11, пик которой придётся на 11 ноября 2023. Xiaomi уже объявила, что она отлично стартовала. По состоянию на 21:00, 31 октября, совокупная сумма платежей на разных площадках Xiaomi превысила 1,2 млрд долларов. В этот день в продаж...

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Флагманский планшет Xiaomi Pad 7 Pro выйдет вместе с Xiaomi 14 Ultra, но его характеристики уже известны Компания Xiaomi работает над новым планшетом под названием Xiaomi Pad 7 Pro, характеристики которого были обнаружены в коде прошивки MIUI. Как пишет GSMChina, устройство будет оснащено 10-дюймовой ЖК-панелью производства Tianma. ЖК-панель получит разрешение 1480 х 2367 ...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Gigabyte Aorus F032U2P — первый в мире игровой OLED-монитор с поддержкой UHBR20, но воспользоваться этим могут только владельцы Radeon Компания Gigabyte под своим игровым брендом Aorus представила первый в мире игровой OLED-монитор с DisplayPort 2.1 и поддержкой режима UHBR20.  Модель под названием F032U2P оснащена 32-дюймовой панелью OLED производства Samsung с разрешением 4K UHD, кадровой часто...

Nissan начнет массовое производство электромобилей с новыми батареями Nissan планирует начать массовое производство электромобилей на основе новых батарей к началу 2029 года. Компания видит шанс догнать и возможно опередить конкурентов с помощью более мощных, дешевых, безопасных и быстрых в зарядке батарей нового поколения.

Это Lada Iskra SW Cross. Появились качественные изображения новинки в разных цветах После того, как в Сети появились патентные изображения Lada Iskra, издание Колеса.ру уже создало качественные рендеры седан и обычного универсала, а теперь нам показали кросс-версию. Универсал получит практически такую же переднюю часть, что и старшая модель Vesta...

Intel Core i9-14900KS уже продается во Франции за 752 евро Ранее полагалось, что новый флагман компании Intel поступит в продажу только в середине следующего месяца

Новые iPad Pro только поступили в продажу, а покупатели уже жалуются на OLED-дисплеи Судя по всему, проблема программная, поэтому придётся ждать обновления ПО.

Графические процессоры GeForce RTX 60 могут получить название Rubin Будущая архитектура по данным инсайдера получит имя астронома Веры Рубин, а графические процессоры - R100 и GR100

Samsung представила карту microSD со скоростью передачи данных до 800 МБ/с. Это быстрее любого SSD с SATA Компания Samsung Electronics представила первую в отрасли карту памяти microSD с поддержкой интерфейса SD Express. Скорость передачи данных у такой карты достигает впечатляющих 800 МБ/с.  Это больше, чем может обеспечить любой SSD с интерфейсом SATA и вчетверо быс...

В Ирландии начнёт работу 7-нм процессорный завод Intel Корпорация Intel намерена начать массовое производство полупроводниковых изделий по технологии Intel 4 в Лейкслипе (Ирландия). Ранее произошло переименование 7-нм технологии в Intel 4, что было направлено на приведение ее в соответствие с отраслевыми стандартами и, по-видимо...

AMD представила видеокарту Radeon RX 7600 XT 16 ГБ Новая карта AMD получит то же количество ядер, что и RX 7600, и поступит в продажу 24 января по цене 329 долларов

На летающий автомобиль Model A стоимостью $300 000 поступило 2850 предзаказов Компания Alef Aeronautics, поддерживаемая SpaceX, получила 2850 предварительных заказов на летающий автомобиль стоимостью 300 тысяч долларов.

В КНДР показали кадры массового старта самых мощных северокорейских РСЗО калибра 600-мм В некотором роде это "Град", только вместо НУРСов тут стоят полноценные "корейские Искандеры"

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Redmi Note 13 Turbo будет по-настоящему «турбо». Он получит Snapdragon 8s Gen 3 и аккумулятор на 5000 мА·ч с поддержкой 100-ваттной зарядки В Сети появились подробности о будущем смартфоне Redmi Note 13 Turbo. Как сообщается, он получит новую однокристальную систему Qualcomm SM8635, которая выйдет в марте под названием Snapdragon 8s Gen 3. Уже по названию понятно, что производительность SM8635 будет высокой...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Android движется по пути RISC-V Экосистема Android движется по пути архитектуры RISC-V, которая в скором времени получит поддержку данной ОС. Компания Qualcomm объявила о выпуске первой массовой SoC для Andoid архитектуры RISC-V.

Apple уже несколько раз откладывала старт производства новых iPad Компания Apple уже несколько раз переносила дату начала массового производства новых iPad. Об этом рассказали авторы ресурса DigiTimes со ссылкой на собственные источники среди тайваньских поставщиков Apple.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы...

В России начали серийно выпускать встраиваемые в стену зарядные станции В пресс-службе торгово-производственного холдинга (ТПХ) «Русклимат» сообщили, что компания «Национальные зарядные системы» запустила массовое производство новой зарядной станции, встроенной в стену, предназначенной для электромобилей. Устройство, разработанное собственными с...

АвтоВАЗ подтвердил скорый запуск производства совершенно новой Lada Президент АвтоВАЗа Максим Соколов заявил, что на заводе «Лада Санкт-Петербург», где в июне стартовала сборка кроссоверов Lada X-Cross 5, до конца года может начаться производство еще одной новой модели Lada. «Запуск производства второй модели действите...

Россия возобновляет производство трёхтонных бомб ФАБ-3000: какую роль они сыграют на поле боя Применение российских авиационных бомб позволило России эффективно поражать укрепрайоны Вооружённых сил Украины. Изначально страна атаковала украинские позиции бомбами весом полтонны, но в феврале этого года было развёрнуто массовое производство трёхтонных ФАБ.

Samsung наконец-то обновит дизайн флагманов, начиная с Galaxy S25 Хотя флагманская серия Samsung Galaxy S24 только недавно поступила в продажу, уже ходят слухи о грядущем поколении смартфонов.

В Китае представлен смартфон OnePlus 12 со Snapdragon 8 Gen 3 и 24 ГБ ОЗУ Пока новинка будет доступна только в Китае по цене от 600 долларов, но в начале следующего года поступит на глобальный рынок

В США стартовал выпуск умных пистолетов, способных стрелять только в руках владельца Пистолет поступит в продажу в ближайшее время и стоит $1500

«Moment 5» доступен всем пользователям Windows 11 в качестве необязательного обновления В конце февраля Microsoft начала распространять очередное функциональное обновление для Windows 11 версий 22H2 и 23H2, которое также известно под названиями «Moment 5» и «February 2024 Moment». В тот момент получить обновление могли только те пользователи, которые включили ...

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Что выпускает бывший российский завод заводе Peugeot-Citroёn: новые детали Издание Autonews опубликовало дополнительное подробности о первой модели автомобиля, который собирают на заводе «ПСМА» в Калужской области, где ранее выпускали автомобили Peugeot, Citroen, Opel и Mitsubishi для России. Вчера мы уже писали, что речь идёт о кр...

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Avvenire Tectus из Канады – полноприводный электроскутер для пожилых людей Канадский автопроизводитель Avvenire представил новый электрический скутер, адресованный пожилым людям. Новинка получила название Tectus, полный привод и откидывающийся козырек, открывающий доступ в салон. Транспортное средство не только позволит совершить вояж в магазин, но...

Huawei завершила партнёрство с Porsche Design Сегодня компания Porsche Design официально подтвердил порталу Android Authority, что сделка с Huawei больше не действует. Фактически, сделка закончилась в июне прошлого года, но официальные данные поступили только сейчас. Стоит напомнить, что Huawei и Porsche Design сотрудни...

Конец эпохи: Chevrolet построила последний двигатель LT4 V8 для Camaro Компания Chevrolet построила последний двигатель LT4 V8 с наддувом, предназначенный для Camaro ZL1 2024 года, прежде чем он будет снят с производства . Фотография двигателя вместе с инженером Билли Берком и остальной командой была опубликована в социальных сетях. ...

Это Kia Sonet 2024. Появились официальные фотографии и характеристики Kia Sonet — это субкомпактный внедорожник, тесно связанный с Hyundai Venue и расположенный ниже Seltos в линейке бренда. Он дебютировал в 2020 году с упором на Индию, Китай и развивающиеся регионы. Перед его официальным дебютом, который состоится позднее в этом г...

Массовая волна банов Xbox - как уберечь свой аккаунт Бесплатный сыр бывает только в мышеловке. Если есть спрос, то будут и торговцы, что удовлетворяют подобную проблему. Чем больше спрос, тем больше дельцов и мошенников слетается на легкие деньги.

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Проектируем узлы печатной платы с учетом особенностей монтажа при массовом производстве. Подход DFA Сегодня мы рассмотрим, что стоит учесть в конструкторской документации, чтобы защититься от проблем на этапе автоматизированного монтажа компонентов на плате. Я покажу, как можно организовать подбор элементов, их взаимное расположение и разводку для монтажа, чтобы увеличить ...

Больше никакой Lada. Питерский завод АвтоВАЗа переименовали из-за санкций Когда-то это предприятие, расположенное в Санкт-Петербурге, называлось «Ниссан Мэнуфэкчуринг РУС» и выпускало автомобили Nissan. После того, как Nissan ушла из России, завод передали АвтоВАЗу и переименовали в «Лада Санкт-Петербург». И вот на дня...

Diablo IV появится в Steam одновременно со стартом 2 сезона. Россию ущемляют Недавно стало известно, что дебютировавшая летом игра Diablo IV станет ещё одним тайтлом, который появится не только на площадке Battle.net, но и в Steam. Читать полную статью

Подарок под ёлочку. Redmi K70 Pro Champion Edition поступит в продажу только в конце декабря Смартфоны Redmi K70 уже поступили в продажу и расходятся как горячие пирожки, но топовая модель – Redmi K70 Pro Champion Edition, выпущенная в сотрудничестве с Lamborghini – в этом празднике жизни пока не участвует. Представляя эту модель, Xiaomi даже не ука...

Билайн запатентовал новый метод рефарминга ПАО «Вымпелком» получило патент на специальный метод расчета и использования частотного ресурса для сети GSM, который получил название «Шахматное ЧТП».

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Lada Aura и Iskra проходят испытания вместе с двумя «китайцами» На автополигоне в Сосновке в Самарской области начали испытывать прототип новой седана Lada Aura, который является увеличенной и более премиальной версией Lada Vesta. Об этом сообщил инсайдерский Telegram-канал «Автопоток» со ссылкой на собственный источник:...

Huawei анонсировала запуск первого в мире планшета с поддержкой спутниковой связи. MatePad Pro 11? 2024 представят через несколько дней Компания Huawei готовит первый в мире планшет с поддержкой спутниковой связи. Такой моделью будет MatePad Pro 11″ 2024.  Устройство будет способно связываться с китайскими спутниками системы Beidou. Скорее всего, это смогут делать только китайские версии. &n...

Гендиректор Intel: завод в Германии по производству чипов станет ведущим в мире Генеральный директор Intel, Пэт Гелсингер, во время Всемирного экономического форума в Давосе объявил, что их завод в Магдебурге, в Германии, станет не только самым передовым в Европе по производству чипов, но и займет ведущее место в мире.

Электрокар Атом получил 36 тысяч предзаказов и производство начнется в 2025 году «Кама» получила 36 тысяч предзаказов на электромобиль «Атом»

Игра Project Fun Party от Tencent получила новое название — Party Stars В конце 2023 года для Project Fun Party проходил пробный запуск на Android в Канаде. По геймплею и стилистике игра представляет собой соперника Eggy Party, только от Tencent. Теперь инсайдер @CeloZaga узнал, что китайская компания подала заявление на регистрацию названия P...

Совершенно новый Haval H9 сертифицирован в Китае. Размеры – практически в точности как у Land Cruiser 300 Haval H9 нового поколения засветился в базе китайского Минпрома: сертификация этого органа дает разрешение на массовое производство автомобиля. Увы, данные Минпрома не изобилуют подробностями, но сейчас хотя бы есть точные габариты и данные о моторах. Размеры нового Ha...

Путин поручил организовать производство игровых приставок в России Президент Российской Федерации Владимир Путин дал указание правительству рассмотреть возможность организации производства игровых приставок и консолей на территории страны. По данным, полученным с сайта Кремля, срок исполнения поручения назначен на 15 июня 2024 года.

Китайский автоконцерн VGV рассматривает возможность выпуска своих автомобилей в России Китайский автопроизводитель VGV рассматривает возможность локализации производства своих автомобилей в России, рассказал Максим Железняк — руководитель отдела продаж и маркетинга «Мотор-плейс», официального дистрибьютора VGV в России. По его словам, та...

Смотри, Samsung, и никакого Full HD у флагманов. Линейка Oppo Find X не получит модели Pro и предложит экраны 1.5K и 2K Компания Oppo готовится представить свою флагманскую линейку Find X7, а в Сети продолжают появляться всё новые подробности.  К примеру, инсайдер Digital Chat Station говорит, что модели X7 Pro вообще не будет, хотя ранее о ней писали активнее всего. Возможно, Oppo...

Honor 90 GT с чипсетом Snapdragon 8 Gen 2 поступил в продажу Смартфон также получил 6,7-дюймовым AMOLED-дисплей, основную камеру на 50 МП и аккумулятор емкостью 5000 мАч с быстрой зарядкой на 100 Вт

Ушла эпоха: любимый многими iPhone SE признан Apple устаревшим Компания Apple официально включила оригинальный iPhone SE (обзор) в список «устаревших и вышедшие из эксплуатации» продуктов.  К «устаревшим» Apple относит продукты, снятые с производства более 5 и менее 7 лет назад. Для них не предоставляе...

Директор KMZ Даниленко: в РФ всё готово к серийному производству морских ударных дронов "Одуванчик" Пускай никого не вводит в заблуждение название дрона – 600 килограмм взрывчатки мало кого оставят равнодушными

WhatsApp получил новое обновление для голосовой связи Хорошие новости для участников групповых бесед: теперь существует новый, менее сложный способ участия в массовых беседах

Спустя несколько лет Россия всё-таки запускает производство умной бомбы "Дрель" Кажется, умный снаряд под названием "Дрель" всё-таки "просверлит" дыры в украинской бронетехнике

Samsung планирует добавить своего ИИ-бота Gauss на смартфоны ИИ получил своё имя в честь учёного Карла Фридриха Гаусса. Он будет присутствовать на некоторых смартфонах Samsung, выполняя различные задачи. Предполагается, что дебютирует на Galaxy S24, который мир должен увидеть уже через пару месяцев. Читать полную статью

Представлена Toyota Hilux 2025 В Австралии дебютировала новая Toyota Hilux 2025. В отличие от японской и европейской версии, которые обновились еще в прошлом году, но не внешне, у австралийского варианта новое «лицо». У Hilux 2025 изменился бампер, а также новая восьмиугольная решеткой р...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)