Социальные сети Рунета
Суббота, 11 мая 2024

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

GPD обвиняет AMD в срыве сроков поставки гибридных процессоров Ryzen 7000 Компания GPD, известная своими портативными гибридами ноутбука и игровой приставки, испытывает проблемы с поставками своей новой модели Win Max 2. И виновата в этом, согласно заявлению GPD, компания AMD.  GPD говорит, что AMD не может поставить заранее оговоренное...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Nvidia не против заполучить третьего партнёра для производства своих GPU, и это намёк на Intel Компания Nvidia снова заговорила о возможности производить свои GPU на мощностях Intel. Точнее, напрямую об Intel сейчас никто не заявлял, но подразумевается именно эта компании. Сейчас современные графические процессоры компании производит только TSMC, хотя ранее этим...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Память 3D NAND с более чем 1000 слоёв. Kioxia уже планирует выпускать такую память Современная память 3D NAND имеет уже более 200 слоёв. Но в ближайшие годы этот показатель продолжит активно расти. Компания Kioxia, к примеру, говорит о том, что уже планирует массовое производство памяти с более чем 1000 слоями.  фото: Kioxia Ждать, правда, придё...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Xiaomi, и это называется обновление? Redmi 13C 5G получит менее производительную и менее энергоэффективную платформу Компания Xiaomi готовит недорогой смартфон с поддержкой 5G. Речь о Redmi 13C 5G, который не стоит путать с обычным Redmi 13C (4G).   Фото: MySmartPrice Если последний получит SoC MediaTek Helio G85, то первый будет основан на Dimensity 6100+. Это платформа, котора...

Искусственный интеллект в ваших наушниках. Google работает над переносом Gemini на такие устройства Компания Google хочет добавить свой новейший чат-бот Gemini не только в смартфоны, но и в наушники.   Упоминание об этом нашли в коде приложения Google, причём там имеется целая строчка, где сказано, что Gemini станет доступным в наушниках.  Напомним, на днях...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Урезанная GeForce RTX 3050 6GB уже есть на сайте «Ситилинка». Компания Palit готовит в том числе пассивную версию Nvidia в ближайшее время должна представить урезанную видеокарту GeForce RTX 3050 6GB, но уже сейчас такая карта имеется на сайте «Ситилинка».  Магазин добавил в свой ассортимент модель GeForce RTX 3050 StormX OC. Правда, судя по всему, ранее это была ...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Компания ASML Holding NV представила свой новый аппарат для создания чипов Новинка стоит порядка 380 миллионов долларов и позволяет производить 8-нанометровые чипы

Скоро на некоторых чипах Apple сможет красоваться надпись Made in USA. В США чипы Apple будет производить TSMC и упаковывать Amkor США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia В ближайшее время некоторые платформы Apple будут полностью производиться в США.  Компания сообщила, что станет первым и крупнейшим заказчиком нового завода Amk...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Новые iPad Pro появятся уже в марте По имеющейся информации, компания Apple выпустит новые модели iPad Pro к концу следующего месяца с большим количеством изменений. Например, инсайдеры уверены в том, что компания внесёт значительные апдейты в дизайн и форм-фактор планшета, так что планшеты получат большую диа...

MediaTek заключила контракт с Apple на поставки модулей Wi-Fi 7 Согласно информации издания Economic News Daily, компания MediaTek выиграла заказы на чипы Wi-Fi 7 у ведущего американского производителя, что угрожает монополии Broadcom в области модулей связи для планшетов. Учитывая, что Apple в настоящее время является наиболее доминирую...

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Китайский Arm-процессор, способный конкурировать с 24-ядерным AMD Epyc на Zen 3. Phytium показала CPU FTC860 Пока китайские видеокарты очень далеки от современных решений AMD, Nvidia и Intel, китайские процессоры развиваются очень внушительными темпами. Компания Phytium показала свой новый процессор, который способен конкурировать с CPU AMD на основе архитектуры Zen 3.   ...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Министр торговли США невероятно встревожена достижениями Huawei и хочет больше инструментов давления Министр торговли США Джина Раймондо (Gina Raimondo) выразила озабоченность последними достижениями Huawei. В частности, выходом SoC Kirin 9000s.   создано DALL-E в Bing Раймондо говорит, что сообщение о том, что китайская фирма разработала передовые чипы, является...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Intel избавится от Hyper-Threading, но это нестрашно? Процессоры Lunar Lake и без гиперпоточности будут в полтора раза быстрее Meteor Lake Процессоры Intel Lunar Lake будут лишены поддержки Hyper-Threading, согласно имеющейся сейчас информации. Несмотря на это, судя по свежим утечкам, они будут намного быстрее Meteor Lake.  Инсайдер Bionic_Squash утверждает, в многопоточном режиме работающий в режиме...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Выручка Samsung выросла на 993% благодаря ИИ На волне развития технологий в области искусственного интеллекта многие компании, которые производят аппаратное обеспечение, получили безумный прирост прибыли. Например, у компании Samsung, по данным специалистов, в первом квартале 2024 года выручка выросла на 993%. Да, это ...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Ничего не производя, Nvidia умудрилась обойти Intel, Samsung и TSMC и по выручке, и по прибыли На днях компания Nvidia опубликовала свой очередной финансовый отчёт, который, конечно, чуть ли не полностью состоял из рекордных для компании показателей. Однако оказалось, что они рекордны не только для Nvidia. Именно Nvidia сейчас является лидером по выручке среди ко...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

«Мы спасли Белвидир. Мы снова сделали это…», — 1200 рабочих вернутся на завод собирать новый автомобиль Stellantis В конце февраля компания Stellantis приостановила сборочный завод в Белвидире, штат Иллинойс, где раньше производился Jeep Cherokee. Это радикальное решение означало, что 1200 рабочих пришлось уволить без каких-либо обещаний о будущей работе. После подписания предварите...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Wildberries вышел на китайский рынок Wildberries вышел на китайский рынок, о чем сообщила РБК основательница и владелица маркетплейса Татьяна Бакальчук. «Сейчас мы начинаем работать с производителями и продавцами из Китая — они будут напрямую поставлять свою продукцию к нам на площадку для...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Компанию Nvidia обвинили в том, что компания превратилась в картель GPU и не терпит, когда её клиенты обращаются к конкурентам Похоже, компания Nvidia действительно ведёт себя достаточно агрессивно со своими партнёрами, если речь заходит о сотрудничестве этих самых партнёров c конкурентами Nvidia.  создано DALL-E Компания Groq, недавно представившая впечатляющий своей производительностью ...

Дешевле, чем RTX 4060 Ti 16GB, и при этом ощутимо мощнее. Radeon RX 7700 XT официально подешевела Компания AMD официально снизила цену своей видеокарты Radeon RX 7700 XT.  Снижение в абсолютном отношении невелико — всего 30 долларов. Но и сама карта в целом относительно недорогая. В итоге речь идёт о снижении с 450 до 420 долларов.  Стоит сказать, ч...

Canon представила коммерческую установку, позволяющую «печатать» 5-нанометровые чипы без фотолитографии Canon представила установку под обозначением FPA-1200NZ2C, позволяющую производить 5-нанометровые чипы. Это первое коммерческое решение такого рода, но будут и другие: Canon в будущем доработает систему так, чтобы с ее помощью можно было производить 2-нанометровые чипы....

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Процессоры Ryzen 8000G, которые на самом деле технически будут моделями Ryzen 7000G, выйдут в конце января Компания Gigabyte подтвердила, что гибридные процессоры Ryzen нового поколения для AM5 будут представлены в конце января 2024 года.  Сама компания не называет модели, но речь идёт либо о Ryzen 7000G, либо о Ryzen 8000G. Напомним, последние утечки указывали на то, ...

На бывшем заводе Volvo в Калуге хотят собирать 12 грузовиков в день АО «Автомобильное моторное общество», которое сейчас производит грузовые автомобили на бывшем заводе Volvo в Калуге, планирует вдвое увеличить дневной выпуск грузовиков. По словам исполнительного директора компании Романа Петряева, работы идут в соответствии...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Почему смартфоны Samsung больше никому не нужны, а ее мировые продажи падают Мы уже привыкли к тому, что есть какая-то сложившаяся группа лидеров по продажам смартфонов. Больше всего интереса обычно вызывает тройка лидеров, но борьба такая плотная, что в рамках пятерки всегда происходят какие-то изменения. Это логично, ведь не все модели оказываются...

Каждая половинка нового монструозного GPU Nvidia Blackwell на самом деле не особо превосходит GH100 по количеству вычислительных блоков Компания Nvidia вчера представила чудовищный GPU поколения Blackwell для ускорителей для ИИ, но не раскрыла ряда параметров. Сегодня кое-что в Сети появилось.  К примеру, ресурс WCCF Tech утверждает, что новый графический процессор содержит 40 960 ядер CUDA, то ес...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

6000 мА·ч, без AMOLED и всяких излишне мощных платформ. Представлен смартфон Vivo Y38 Компания Vivo анонсировала потенциально дешёвый смартфон с огромным аккумулятором. Модель Y38 получила элемент питания ёмкостью 6000 мАч.  С автономностью тут всё должно быть отлично, так как кроме большого аккумулятора тут ещё и экран HD+, что снижает потребление...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Toyota станет более китайской: новые машины переведут на платформу BYD, позволяющую проезжать до 2000 км на баке бензина В ближайшие два-три года Toyota выпустить несколько новых моделей, которые будут построены на базе гибридной платформы BYD PHEV DM-i, о чем сообщают китайские СМИ. Японский автогигант больше не будет использовать платформу THS (Toyota Hybrid System). Ранее Toyota без ос...

Спортивные Lada Vesta тоже настиг некомплект Подразделение АвтоВАЗа, которая занимается выпуском спортивных версий Lada, активно занимается сборкой машин, о чём сообщает инсайдерский паблик Avtograd News. Компания LADA - Sport производит автомобили в две смены. Как и на головном предприятии, некомплект также прису...

Microsoft верит, что ноутбуки с SoC Snapdragon X Elite превзойдут MacBook Air на SoC M3 Уже в ближайшие месяцы на рынок выйдут первые ноутбуки с Windows и SoC Snapdragon X Elite/Plus. Microsoft считает, что такие ПК превзойдут ноутбуки Apple на основе SoC M3.   В данном случае имеется в виду преимущество по классической производительности, а также по...

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Аудиобренд Moondrop представит свой первый смартфон Компания Moondrop производит наушники, механические клавиатуры и CD-плееры, а недавно на её сайте появился раздел для смартфонов

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Nintendo создаёт свой собственный стриминговый сервис Nintendo планирует расширить своё влияние за пределами рынка видеоигр в ближайшем будущем — некоторые инсайдеры предполагают, что японская компания работает над собственным мультимедийным потоковым сервисом. Ранее инсайдеры сообщали о поданной заявке на патент, который Ninte...

В России выпустят отечественные платежные терминалы В России появится первый отечественный платежный терминал, причем это должно произойти уже в 2024 году. Об этом сообщает издание «Ведомости», ссылаясь на Александра Соколова, директора по продуктам компании «Элемент-технологии», которая занимаетс...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

«О сборке Tiggo 9 речь однозначно не идёт и не шла», — в «Автодоме» не подтвердили планы по выпуску машин Chery на заводе Mercedes Компания «Автодом», которая с апреля этого года владеет активами Mercedes-Benz в России, не подтвердила опубликованную сегодня информацию о подготовке производства автомобилей Chery на заводе в подмосковном Есипово. «О сборке указанных моделей Tiggo 9 ...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

В Китае представили собственную видеокарту уровня GTX 1050 Если вы следите за новостями, связанными с рынком видеокарт, то вы могли несколько раз слышать о китайских производителях видеокарт, которые активно работают над собственными решениями. Некоторые крупные компании из Китая действительно стараются выпустить модели исключительн...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Это новый Volkswagen Amarok 2024. Опубликовано живое фото Опубликовано живое фото Volkswagen Amarok 2024 модельного года, который планируется производить исключительно в Южной Америке. Новый Amarok, который получит остальной мир, основан на базе Ford Ranger. Однако Volkswagen решила, что вместо того, чтобы представлять но...

Samsung не будет пробовать играть в Xiaomi. Корейский гигант не планирует выпускать дешёвый складной смартфон К сожалению, компания Samsung заявила, что не работает над сверхдешёвым складным смартфоном. Неясно, почему вдруг корейский гигант решил отреагировать на очередной слух, но представитель компании опроверг его.  Мы не планируем производить складные смартфоны средне...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

А вот и ещё один повод для удорожания электроники. Китайские компании повышают цены на свою продукцию из-за удорожания металлов Потребительская электроника может в очередной раз подорожать. На сей раз из-за роста цен на драгоценные металлы.  создано DALL-E Как сообщается, сразу несколько китайских компаний объявили о повышении цен на свою продукцию из-за этой причины. Это как минимум ICM и...

Архитектура AMD RDNA 3.5 придёт, чтобы остаться надолго. Компания будет использовать её минимум до 2027 года Уже в ближайшие месяцы компания AMD представит первые мобильные процессоры с iGPU на основе обновлённой архитектуры RDNA 3.5. Согласно свежим данным, эта архитектура будет использоваться компанией ещё несколько лет.  Инсайдер Golden Pig Upgrade утверждает, что RDN...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

«Если вам нравится мастерить и чувствовать боль, купите красный». Tiny Corp всё же будет продавать свой ИИ-ПК с шестью Radeon RX 7900 XTX Раньше видеокарты у геймеров "отбирали" майнеры, а теперь пришёл черёд специалистов по ИИ? Tiny предлагает систему для работы с ИИ на основе шести Radeon RX 7900 XTX Компания Tiny Corp снова изменила свои планы относительно выпуска TinyBox на основе видеокарт...

"Крик" о помощи с 3Д принтером Omni3D Factory 2.0     Доброго времени суток уважаемые мейкеры, инженеры и т.д. Некое предисловие проблемы с которой мы столкнулись, затем перейдем к масштабу трагедии (     Есть такая замечательная Республика в нашей стране под названием Крым. Я не просто так акцентирую внимание на нашем мест...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Polestar Phone официально представили В прошлом году китайский производитель электромобилей Nio представил свой собственный смартфон, и это, похоже, крайне заинтересовало конкурентов, которые тоже решили выпустить свои смартфоны под личным брендом. Сегодня, например, компания Polestar анонсировала свой первый см...

Huawei придётся продолжать использовать старую-новую SoC Kirin 9000s в смартфонах 2024 года. Эту платформу может получить базовая модель P70 Компания Huawei, возможно, создала для смартфонов линейки P70 новую SoC Kirin 9010, хотя её параметры пока неизвестны. Согласно свежим данным, младшая модель P70 получит старую платформу.  фото: Bloomberg  Тот же инсайдер Smart Pikachu говорит, что модель Hua...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Next Big Thing от Apple после отмены проект автомобиля — это домашние роботы. Компания уже работает над проектом Как известно, компания Apple отказалась от проекта собственного автомобиля спустя много лет работы. Изначально сообщалось, что вместо этого компания усилит направление искусственного интеллекта, но теперь Bloomberg говорит, что новой большой идеей Apple может стать робо...

Кейс: использование 3D-Принтера Volgobot A4 PRO на Лужском абразивном заводе Volgobot продолжает активно сотрудничать с различными организациями и предприятиями, и сегодня мы хотим рассказать об одном из наших последних клиентов – о Лужском абразивном заводе. Давайте познакомимся с деятельностью завода ближе.ОАО «Лужский абразивный завод» – это совре...

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

AI и системный анализ / бизнес-анализ В последние годы про AI/ML не писал только ленивый. Но обычно тему рассматривают с «потребительской» стороны: сейчас вот любуются видеороликами от проекта Sora. Более нишевая тема — «как работать над ML-проектами» (о таком мы проведём онлайн-конференцию I'ML). И совсем уж ма...

Проблема непонимания существующего кода, или Как руководству делать не надо Бывает так, что в продуктовой IT-компании выстраивается иерархия, в которой верхние уровни работников компании совершенно не понимают как производится продукт, который компания производит и продаёт. По сути руководители знают как продать, но не знают как произвести. Для прои...

Новые автомобили Toyota помогут улучшить Huawei и Momenta Toyota сотрудничает с Huawei и Momenta при создании новой системы интеллектуального вождения, которая будет установлена на глобальные модели. Это решение отличается от существующей высокопроизводительной системы помощи водителю компании Huawei. Momenta и Huawei предоста...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Intel не может или не хочет анонсировать все новые процессоры Core Ultra одновременно. Core Ultra 9 покажут только в 2024 году Процессоры Intel Meteor Lake будут представлены в этом году, но в самом его конце. Согласно свежим данным, и то не все сразу.  14 декабря ожидается анонс новых CPU, но инсайдер Golden Pig Upgrade Pack говорит, что в этот день нам покажут не все модели. Речь идёт о...

Первые фото ракеты New Glenn на стартовой площадке: Blue Origin готовится к испытаниям Американская аэрокосмическая компания Blue Origin, основанная Джеффом Безосом в 2000 году, представила новую ракету New Glenn на стартовой площадке на мысе Канаверал. Ракету готовят к испытаниям, которые состоятся в ближайшее время. New Glenn на стартовой площадке. Ист...

Nvidia не нравится, когда кто-то выпускает видеокарты и GeForce, и Radeon? ASRock заявила, что для неё сейчас сложно начать производство карт Nvidia Компания ASRock уже какое-то время производит видеокарты AMD и с недавних пор адаптеры Intel. А вот карты Nvidia она не выпускает и теперь стало известно, почему.  В интервью представитель ASRock ответил на вопрос, какие у компании планы и собирается ли она начать...

Будет не только RTX 4080 Super, но и RTX 4070 Ti Super. Появились характеристики новых видеокарт Nvidia Известный инсайдер kopite7kimi поделился свежими данными о видеокартах GeForce GTX 40 Super.  Согласно его информации, RTX 4080 Super останется на GPU AD103, но получит его в полной конфигурации со всеми 10 240 активными ядрами CUDA. Для сравнения, у обычной RTX 4...

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

ТНК Wyeth первой запускает в Китае выпуск молочной смеси 3-го уровня с ОГМ Транснациональная компания Wyeth Nutrition объявила о начале выпуска в Китае первой молочной смеси 3-го уровня illuma с добавлением двух олигосахаридов грудного молока (ОГМ). Этот продукт, предназначенный для детей старше 3-х лет, производится на соответствующем стандарту GM...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Федеральная торговая комиссия США (FTC) изучает партнёрство Microsoft и OpenAI Агентство Bloomberg со ссылкой на собственные источники сообщает, что Федеральная торговая комиссия США (FTC) изучает финансовое партнёрство между Microsoft и OpenAI на предмет нарушения антимонопольного законодательства. Отмечается, что речь идёт о предварительной проверке...

Альтернативный «Гелендваген». Представлен Ineos Fusilier, очень похожий на Mercedes-Benz G-класса Ineos Automotive представила свой третий автомобиль — это полностью электрический внедорожник под названием Fusilier (фузилёрами назывались пехотные солдаты французской армии 17 века). Как и первая модель бренда, Grenadier, Fusilier выполнен в ретро-дизайне, но на...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

В России представили первые наушники с аппаратным улучшением настроения Российская компания Neiry совместно с НМИЦ ПН им. В. М. Бехтерева представила свою новую разработку - прототип наушников Neiry Buds. Как отмечают в пресс-службе, это первые в России наушники-вкладыши со стимуляцией блуждающего нерва внутри ушного канала.  В компан...

Sony готовят PSVR2 для PC Последние годы Sony активно продвигала идею расширения своих продуктов на PC. Это касаестя множества различных игр, которые были эксклюзивами для PS5, однако теперь компания готова идти дальше и планирует адаптировать свой шлем виртуальной реальности PSVR 2 для компьюте...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Легендарный Toyota FJ Cruiser вернется как Land Cruiser FJ? Toyota запатентовала название для нового внедорожника В не очень далекой перспективе линейка внедорожников Toyota Land Cruiser может пополниться новой моделью под названием Land Cruiser FJ – компания зарегистрировала в Японии соответствующий товарный знак. Напомним, на премьере нового Land Cruiser Prado 250 ком...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Никаких дешёвых смартфонов с SoC Snapdragon 8 Gen 4. Эта платформа будет ещё дороже, чем и так очень дорогая Snapdragon 8 Gen 3 Похоже, с относительно доступными смартфонами на основе топовых платформ Qualcomm в скором можно будет попрощаться. Как сообщается, Snapdragon 8 Gen 4 будет ещё дороже, чем Gen 3.  Согласно недавним данным, Snapdargon 8 Gen 3 будет обходиться производителям смартф...

И швец, и жнец, и на дуде игрец. Samsung хочет сделать аппараты линейки Galaxy S24 самыми умными ИИ-смартфонами на рынке Похоже, компания Samsung собирается реализовать в линейке смартфонов Galaxy S24 все самые последние веяния и особенности. Мы уже слышали о титановом корпусе и плоском экране у S24 Ultra, а теперь вот сообщается, что корейский гигант хочет сделать из новой линейки самые ...

Samsung хочет по примеру Apple превратить свои складные смартфоны в игровые консоли. Для этого компания сотрудничает с Epic Games, Krafton и другими Компания Samsung, видимо, тоже хочет превратить свои смартфоны в более игровые решения по примеру Apple. Для этого корейский гигант заручилась поддержкой таких гигантов, как Epic Games и Krafton.  Со вчерашнего дня в разных странах начали работу команды Samsung Ga...

Apple впервые за 30 лет вернулась к практике лицензирования своей ОС. Речь о новом поколении CarPlay Компания Apple вернулась к практике лицензирования своей операционной системы. Впервые за 30 лет.  Речь идёт не о macOS или iOS, а о CarPlay. Как стало известно, система CarPlay нового поколения подразумевает распространение посредством лицензирования. А это означ...

Китай хочет заполучить 300 эксафлопс вычислительной мощности уже к 2025 году Китай хочет уже к 2025 году повысить свою вычислительную мощность на 50%. В данном случае речь идёт о суммарных вычислительных мощностях всех суперкомпьютеров в стране.  Если у Китая это удастся, речь будет идти примерно о 300 эксафлопс. На текущий момент Китай ра...

iPhone SE 4 может получить порт USB-C, Face ID и Action Button Сетевые источники утверждают, что сейчас купертиновцы активно работают над следующим смартфоном из линейки iPhone SE. Аппарат, который внутри компании называют как Ghost, будет кардинально отличаться от своих предшественников. Согласно имеющимся данным, бюджетная новинка пол...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Bloomberg: Компания OpenAI планирует создание сети заводов, производящих чипы В данный момент идут переговоры между генеральным директором OpenAI и потенциальными инвесторами

Если Apple не сможет запустить в этом году свой ИИ, Nvidia, вероятно, обойдёт её по капитализации. Таков прогноз известного аналитика  Компания Apple, как мы уже говорили, в последнее время теряет капитализацию за счёт снижения стоимости акций. Согласно прогнозу известного аналитика, компания может уступить по капитализации Nvidia.  создано DALL-E Аналитик Tianfeng International Минг-Чи Куо (Ming...

Apple собирается монетизировать свой ИИ Как известно сейчас компания Apple активно работает над собственным генеративным ИИ. Языковая модель под кодовым названием Ajax тестируется уже около года. При этом у Apple достаточно большие планы на эту разработку. Читать дальше... ProstoMAC.com.| Постоянная ссылка | No c...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Российский автопром покинул последний крупный западный инвестор: Daimler (Mercedes) продал свою долю в КамАЗе Немецкий концерн Daimler Truck продал свою долю в ПАО «КамАЗ», которая включала 15% акций, о чем заявила гендиректор КамАЗа Сергей Когогин в интервью «Ведомостям». На данный момент неизвестно, кто стал покупателем доли КамАЗа, ранее принадлежавши...

Рынок дошёл до того, что для SSD теперь выпускают отдельную жидкостную систему охлаждения. MSI показала свой Spatium M580 Frozr Liquid с такой СО Компания MSI показала на CES 2024 охладитель для SSD. Точнее, показан был SSD с охладителем, но тут интересен именно последний.  Дело в том, что речь о жидкостной системе охлаждения! Установлена она была на накопитель Spatium M580 Frozr Liquid Gen5, который предло...

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

Huawei может обойти Samsung и первой выпустить смартфон, который будет складываться в двух местах Компания Huawei уже активно отъедает у Samsung долю на рынке складных смартфонов, а в следующем году может и вовсе выпустить новый тип такого устройства раньше корейского гиганта.  фото: Samsung Речь о складном смартфоне с двумя шарнирами, который будет складывать...

Apple ARM и Intel Nova Lake станут первыми 2-нм чипами, производимыми на TSMC В ближайшие годы Apple и Intel вступят в новую эпоху вычислительной технологии, благодаря разработке и внедрению 2-нанометровых процессоров в партнерстве с TSMC

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Анонс Nokia XR21 Limited Edition – первая европейская Nokia за 10 лет HMD Global, финская фирма, производящая смартфоны под брендом Nokia, объявила, что начнёт производство 5G-смартфонов в Европе. Первым таким устройством станет прочный и водостойкий Nokia XR21 Limited Edition. Эта версия устройства будет производиться специально в Венгрии. …

В Берлине загорелся завод компании Diehl, производящей системы ПВО Iris-T С наибольшей вероятностью это было неосторожное обращение с огнём или же проделки изменения климата и связанного с этим напрямую повышения температуры окружающей среды

Samsung поделилась списком смартфонов, которые точно получат Android 14 с оболочкой One UI 6 Компани Samsung подтвердила, что обновит до Android 14 с оболочкой One UI 6 более 20 моделей смартфонов. Это первоначальный и явно не окончательный список.  Само собой, в первую очередь речь о флагманских моделях последних лет. В частности, все модели Galaxy S, на...

Samsung много лет сопротивлялась, но сдалась. Galaxy A55 первым среди смартфонов компании получил поддержку бесшовных обновлений Функция бесшовных или плавных обновлений, известная также, как Seamless Updates и A/B Updates, была добавлена в Android ещё несколько лет назад. Samsung была одной из компаний, кто не стал поддерживать эту функцию до последнего. Однако теперь компания сдалась и добавила...

Эти китайские процессоры смогут потягаться хотя бы с современными Core i3? Loongson представила CPU 3B6600 и 3B7000 Компания Loongson представила новые потребительские процессоры: 3B6600 и 3B7000. Это новое поколение, которое, к кроме прочего, приносит больше ядер.  Многих подробностей пока нет, так как анонс, видимо, предварительный. Но слайды с презентации позволяют понять, ч...

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Это самая дешёвая из современных видеокарт. Arc A310 вышла в розницу с ценой 110 долларов Похоже, в ближайшее время нас ждёт сразу две новых видеокарты Intel. Правда, если Arc A580 будет действительно новой моделью, то вот Arc A310, о которой речь пойдёт ниже, новой не является.  Она уже присутствует на рынке, но изначально была выпущена только для про...

Ford отзывает машины чаще всех, Volkswagen значительно улучшила своё положение, а Tesla «наградили» за крупнейший отзыв в этом году По данным Национальной администрации безопасности дорожного движения (NHTSA), по состоянию на 20 декабря, компания Ford произвела 54 отзыва автомобилей, которые потенциально могут затронуть 5 692 135 автомобилей в 2023 году, больше, чем у любого автопроизводителя. Ford ...

VBA, Windows 10: манипуляция файлами с длинными путями Недавно, работая в VBA, при попытке переименовать группу файлов, расположенных в длинных вложенных директориях я столкнулся с кучей ошибок. Оказалось, что в Windows (в данном случае была 10 версия) существуют ограничения на длину путей (см.к примеру https://learn.microsoft.c...

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Видеокарта GeForce RTX 5080, вероятно, получит 256-битную шину. Появились некоторые параметры GPU GB203 и GB205 До выхода видеокарт Nvidia поколения Blackwell ещё довольно далеко, но постепенно в Сети появляются новые подробности об этих решениях. Теперь вот стало известно, какую шину получат нетоповые решения.  Инсайдер kopite7kimi говорит, что адаптеры на основе GPU GB203...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Apple начала активно рекламировать «самое крепкое» стекло для iPhone 15 Австралийское подразделение Apple выпустило 30-секундный рекламный ролик с продвижением стекла, защищающего дисплей iPhone 15, которое компания назвала «самым крепким» стеклом для смартфонов. Это стекло Ceramic Shield, производимое компанией Corning.

Intel тоже хочет свою технологию создания «лишних» кадров в играх. Компания уже работает над ExtraSS Компания Intel достаточно успешно вышла на рынок дискретных настольных видеокарт. Её модели Arc пока не обрели какой-то заметной популярности, но постоянная доработка драйверов и снижение цен сделали их весьма привлекательными. Сейчас Intel работает над своей технологие...

АвтоВАЗ просит власти помочь сдержать цены на Lada Granta АвтоВАЗ обратился к властям за господдержкой для сдерживания роста цен на свою самую доступную модель Lada Granta в базовой комплектации, о чем заявил президент компании Максим Соколов. Он добавил, что соответствующий нормативный акт сейчас обсуждается. Активно работаем...

TSMC получит от США $12 млрд на строительство третьего завода в Аризоне Он будет производить передовые 2-нанометровые чипы.

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

HP начала производство доступных хромбуков в Индии Американская компания Google совместно с Hewlett Packard заключили партнерство, в рамках которой в Индии началось производство хромбуков. Это партнерство направлено на достижение цели по улучшению обеспечения органов образования, школ и учреждений экономически эффективн...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Meizu представит свой последний смартфон 29 февраля. Раскрыты характеристики Meizu 21 Pro Meizu запланировала на 29 февраля в Китае мероприятие, в рамках которого компания представит смартфон Meizu 21 Pro. Разработка этой модели началась до того, как компания сообщила о сворачивании работ над новым смартфонами и концентрации усилий на разработке решений ИИ, ...

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

Российская компания «Гравитон» начала выпуск отечественных SSD емкостью до 2 ТБ Уже сейчас «Гравитон» производит модели объемом от 256 ГБ до 2 ТБ.

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Китайцы в последний момент отказались от покупки завода Ford, который «дорого заплатит» за увольнение сотрудников Компания Ford надеялась, что сможет продать свой завод в Саарлуисе, Германия , но переговоры с потенциальным инвестором провалились, и сейчас завод находится на этапе тщательной реконструкции. Как пишет carscoops со ссылкой на собственные источники, Ford провел перегово...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Эксперт объяснил, почему сейчас почти нет компактных смартфонов Самый первый iPhone, выпущенный в 2007 году, имеет дисплей с диагональю 3,5 дюйма. Сейчас же вполне нормальным считается телефон с экраном 6,5-6,7 дюйма. Но почему большинство фирм стали производить именно такие «лопаты», а не компактные модели?

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Каждый десятый геймер в Steam владеет RTX 3060, а RTX 3070 уже выбралась на третье место. Опубликована свежая статистика сервиса Компания Valve опубликовала свежую статистику оборудования сервиса Steam. Как обычно, нас интересуют в первую очередь видеокарты, и тут ситуация довольно любопытная.  Фото: iXBT.com  GeFroce RTX 3060, которая давно закрепилась на месте лидера, всего за месяц ...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Что делать, если твой заказчик — весы, или Как заговорить на одном языке с бытовой техникой Меня зовут Алексей Плаксин, я системный аналитик в компании KODE и сейчас расскажу вам, как делал реверс-инжиниринг бытовой техники.Однажды к нам пришел крупный бренд бытовой техники, который в том числе производит и продает «умную» технику для дома. Нам нужно было в коротки...

Если клиенты будут просить больше машин с классическими бензиновыми моторами, то Stellantis не откажет им У Stellantis есть амбициозные планы по созданию полностью электрической линейки пассажирских автомобилей в Европе к 2030 году. В Соединенных Штатах цель составляет 50%. Но это не означает, что компания не будет производить автомобили с двигателями внутреннего сгорания, ...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Пять новых телевизоров Nokia на подходе StreamView GmbH является одним из многих лицензиатов бренда Nokia. Компания продает смарт-телевизоры и приставки под брендом Nokia в Европе, на Ближнем Востоке и в Африке. Эта австрийская компания собирается обновить линейку смарт-телевизоров Nokia. NokiaMob обнаружил в...

Электронная отрасль в Китае развивается, но есть и проблемы: важные компании банкротятся. Остальные продолжают работать На днях стало известно о том, что в Китае уходит с рынка один из крупнейших разработчиков чипов. За годы своей работы компания получила сотни патентов и стала одним из стимулов развития отрасли. Речь идёт об Huaxia Chip, у которой возникли непреодолимые сложности, приведшие ...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

В России начали производить запчасти для Renault, Nissan и Mitsubishi Компания «Резинотехника» запустила на предприятии в Балакове опытное производство запасных частей для автомобилей иностранных брендов, включая Renault, Nissan и Mitsubishi. Об этом сообщила пресс-служба министерства промышленности и энергетики Саратовской об...

Незаметно для многих на рынке активно растёт «новая Xiaomi». Благодаря отличным продажам Tecno холдинг Transsion занял четвёртое место на рынке смартфонов Компания Transsion Holdings многим может быть неизвестна, но именно ей принадлежат активно набирающие обороты бренды Tecno и Infinix. И благодаря их растущим продажам в третьем квартале Transsion нарастила свою выручку на 39%, до 18 млрд юаней (2,52 млрд долларов). ...

Cтарые ядра Corte-A75, поддержка только Wi-Fi 5 и eMMC. Представлена формально новая платформа MediaTek Helio G91 Компания MediaTek представила новую однокристальную систему семейства Helio без поддержки 5G. Новинка называется Helio G91.  Это решение для недорогих смартфонов без поддержки сетей пятого поколения. К сожалению, ядра в основе новой платформы лежат вовсе не новые....

Безопасность CI/CD. Часть 2. Давайте рассмотрим как защитить ваши пайплайны Приветствую, читатели! Меня зовут Моисеев Андрей, в ИБэшечке  я уже в совокупности более 5 лет, а сейчас работаю DevSecOps в компании Bimeister. За время своей рабочей деятельности у меня получилось сформулировать некоторые полезные паттерны безопасности, которыми я хот...

Ракета SpaceX Starship станет ещё больше, а её запуски будут дешевле, чем Falcon 1 на старте Ракета SpaceX Starship, которая и так сейчас является самой большой среди используемых, станет ещё больше. Илон Маск рассказал, что в конце концов Starship будет иметь высоту около 150 метров, что на 20% больше, чем сейчас. Тут имеется в виду вся ракета, состоящая из ко...

Apple производит изменения в своих магазинах, готовясь к анонсу новых продуктов Компания Apple, как сообщается, готовится к запуску каких-то новых продуктов. Компания якобы проинформировала розничные команды о том, что они проведут обновление физических магазинов в дополнение к проведению брифингов для СМИ.    В частности, вносятся измен...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Резидент «Сколково» увеличил производство продукции для электродвигателей и робототехнических систем Компания разрабатывает и производит компонентную базу современных мехатронных и робототехнических систем.

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Kia показала свой первый пикап — Tasman Kia показала свой первый пикап под названием Kia Tasman в красочном камуфляже, который был создан при участии художника Ричарда Бойда-Данлопа. Подробностей о модели пока что нет. В Kia заявили, что новый пикап воплощает в себе приверженность Kia дизайну и инновациям, а ...

На выбор Core i7, Core Ultra 5 или Ryzen 7. Анонсирован первый мини-ПК компании Colorful — CMNH01-12450 В последнее время всё больше компаний выходит на рынок мини-ПК. Известная своими видеокартами Colorful анонсировала свой первый подобный продукт под названием CMNH01-12450.  В названии сокрыто сердце новинки — процессор Core i7-12450H. Напомним, он имеет чет...

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Realme показывает, что лучше установить в смартфон перископный «телевик», чем 200-мегапиксельную основную камеру Компания Realme продолжает активно рекламировать свой выбор в пользу перископных камер.  Теперь компания создала отдельную страничку, где показывает, что перископный «телевик» лучше, чем кроп даже с 200-мегапиксельного датчика, а датчиков для смартфоно...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Ещё один очень дешёвый складной смартфон для России? Infinix готовит свой первый подобный аппарат под названием Zero Flip Компания Infinix решила выпустить свой первый складной смартфон.  Tecno Phantom V Flip; фото: Tecno Устройство будет называться Zero Flip, то есть это будет раскладушка. Это, в свою очередь, даёт надежду на очень невысокую, по меркам класса, цену, ведь Infinix спе...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Современные процессоры Intel запретили продавать в Германии. Компания проиграла патентный спор, касавшийся некоторых линеек CPU Компания Intel проиграла патентный спор в Германии. Из-за этого теперь там запрещены продажи некоторых процессоров компании.  DALL-E Региональный суд в Дюссельдорфе постановил, что Intel нарушила патент компании R2 Semiconductor, который касается технологии регули...

Новые смарт-часы Honor: AMOLED-дисплей, звонки и мониторинг здоровья всего за $60 Honor представила Haylou Watch — динамичное дополнение к своей линейке смарт-часов. Эти часы с 1,95-дюймовым AMOLED-дисплеем производят отличное впечатление благодаря своей начальной цене в 60 долларов.

[Перевод] Взлёт TSMC Семь-восемь лет назад TSMC производила процессоры, которые отставали от аналогичных процессоров Intel на несколько поколений. Пятнадцать лет назад выпускала дешёвые чипы на заказ, которые не ставили рекордов производительности. А тридцать лет назад едва появилась на свет. &n...

Apple тестирует улучшенную версию Siri с помощью ChatGPT Компания Apple активно совершенствует свой голосовой помощник Siri, добавляя в него функции генеративного искусственного интеллекта.

Первый автомобиль Xiaomi показали во всех цветах Компания Xiaomi уже завтра, 28 декабря, проведёт пресс-конференцию, на которой представит свой первый автомобиль Xiaomi EV. Теперь же у нас появились изображения новинки в шести цветах. Руководитель Xiaomi Лей Цзюнь (Lei Jun) подтвердил, что презентация будет идти 3 час...

Apple отчиталась за первый квартал 2024 финансового года Компания Apple поделилась данными о результатах своей деятельности в первом квартале 2024 финансового года. Напомним, что он соответствует последнему календарному кварталу 2023 года. Если говорить коротко, то, несмотря падение продаж носимых устройств, iPad и Mac, чистая при...

Samsung улучшит Bixby за счёт ИИ Сегодня появилась информация, указывающая на то, что компания Samsung не готова отказываться от своего голосового помощника Bixby. Компания планирует внедрить функции генеративного искусственного интеллекта в свой инструмент и в отличие от других слухов, которые сейчас доста...

После трагического пожара BYD заявила о своем намерении производить безопасные и надежные аккумуляторы для двухколесных транспортных средств BYD — второй по величине производитель аккумуляторов для электромобилей в мире, с долей мирового рынка в 2023 году 15,8%. Аккумуляторы используются в электромобилях и стационарных хранилищах, но массового использования в электрических мотоциклах, велосипедах и дру...

Эти новые ИИ-функции появятся в крупном обновлении Windows 11 24H2 Компания Microsoft раскрыла новые детали о новшествах, которые появятся в крупном обновлении Windows 11 24H2.  Одна из новых функций, где появится интегрированный искусственный интеллект, это PowerToys. Называться она будет PowerToys Advanced Paste with Local AI, ...

Представлен первый ПК на основе процессоров AMD Ryzen 8000. Планшет Minisforum V3 будет очень большим Несмотря на то, что до официального анонса процессоров Ryzen 8000 ещё явно минимум несколько месяцев, компания Minisforum уже представила первый продукт на основе таких CPU.  Речь о планшете, который пока что называется Minisforum V3, но это вряд ли окончательное ...

Один из первых на Ryzen 9 8945HS. В Сети засветился новый ноутбук Asus ROG Zephyrus G14 В Сети засветился один из первых ноутбуков, основанных на новых мобильных процессорах AMD Ryzen 8000.   Модель Asus ROG Zephyrus G14, вероятно, будет доступна в разных конфигурациях с разными APU, но засветилась с флагманским в своей линейке Ryzen 9 8945HS.  ...

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

20 лет этот Mercedes-Benz E430 Touring 4Matic служил в президентской резиденции на Валдае, а сейчас его продают В России на продажу выставили универсал Mercedes-Benz E430 Touring с историей. Дело в том, что это автомобиль из ФСО – Федеральной службы охраны. Около 20 лет он служил в президентской резиденции на Валдае. Интересно, что в феврале текущего года именно этот а...

Российский завод Hyundai планируют продать к 28 декабря Как мы уже писали, южнокорейская компания Hyundai Motor решила продать свой завод в Санкт-Петербурге российской компании «Арт-Финанс», связанной с дилером «Авилон». Именно «Арт-Финанс» ранее приобрела активы Volkswagen в России. По за...

Sapphire выпускает не только видеокарты. Компания представила системную плату B650I Nitro+ Mini-ITX. Компания Sapphire известна в первую очередь своими видеокартами, а многим только ими. Это самый крупный партнёр AMD на этом рынке. Но на самом деле Sapphire производит и другую продукцию, и её новая новинка — системная плата B650I Nitro+ Mini-ITX.  Она предн...

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Colorful выпустила свой первый мини-ПК на базе Core i5-12450H В настоящее время компания работает над другими моделями с процессорами Intel и AMD последнего поколения

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Unisoc сделала то, что пока не могут сделать Samsung, MediaTek и Qualcomm. Компания обеспечила поддержку Android 14 на всех своих смартфонных платформах с модемами 5G и LTE Платформы Unisoc – простые и маломощные – используются в бюджетных смартфонах, которые не могут похвастаться выдающимися характеристиками. До недавнего времени и переход на новую версию Android для этих устройств был чем-то очень редким. Но сейчас все измени...

Ветеран Tesla, запустивший Tesla Cybertruck и Model S Plaid, раскритиковал Илона Маска и увольнения, которые «подрывают моральный дух компании», и ушёл сам Директор по запуску продуктов Tesla Рич Отто объявил, что покинул Tesla. Позже он опубликовал сообщение, в которой поделился подробностями своего ухода из Tesla. Отто заявил, что «великие компании состоят из великих людей и замечательных продуктов, а отличные прод...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

Китайская Tencent накопила такое количество ускорителей Nvidia, что ей хватит на пару поколений вперёд Американское правительство не ленится расширять санкции, запрещая поставлять в Китай всё новые ускорители Nvidia. Под запрет попали ещё три модели Санкции США, которые запрещают поставку в Китай новейших (и не самых новейших) ускорителей Nvidia, похоже, беспокоят не вс...

Сенатор Вэнс: США производят снарядов в месяц столько, сколько Россия производит за сутки По мнению сенатора, это повод задуматься. В том числе о том, что статистика ВВП не отражает объективную реальность.

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Intel то ли соврала, то ли напутала. Представленные процессоры Core 14-го поколения не имеют поддержки Thunderbolt 5 Компания Intel на днях представила первые процессоры Core 14-го поколения. Эта линейка будет актуальной, как ожидается, около года до момента выхода Arrow Lake. К сожалению, вопреки ожиданиям и первоначальным слухам, новинки Intel не поддерживают интерфейс Thunderbolt 5...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

Позитивная новость с двухлетней задержкой: в Lada Granta Cross появилась мультимедийная система с сенсорным экраном и сервисами Яндекса АвтоВАЗ начал оснащать универсалы Lada Granta Cross в исполнении Quest более современной мультимедийной системой. Как сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте», данная мультимедийная система получила 7-дюймовый сенсорный дисплей и пред...

Таких больше не делают: смотрим на шедевральный BlackBerry Priv. Как ежевичная компания сделала крутейший смартфон? Давние читатели знают, что в моём блоге особое место отведено девайсам от компании BlackBerry. Канадский бренд в своё время делал очень интересные смартфоны с уникальными инженерными решениями, которые не были похожими ни на один современный смартфон. Пожалуй, только «ежев...

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Landspace готовится к третьему запуску своей метановой ракеты Zhuque-2 Китайская космическая компания Landspace активно готовится к третьему запуску своей метановой ракеты Zhuque-2. Старт запланирован на начало декабря, целью станет доставка спутников на орбиту для демонстрации возможностей в запуске операционных нагрузок. Ракета-носитель...

Rocket Lab переоборудовала бывшуб штаб-квартира Virgin Orbit в центр разработки двигателей 4 октября состоялась церемония открытия центра разработки двигателей Rocket Lab. В здании площадью 13 400 квадратных метров будут производить двигатели Rutherford, используемые на ракете Electron, а также более крупные двигатели Archimedes для ракеты Neutron. Ранее здан...

Skoda может уйти из Китая, и это грозит дефицитом автомобилей Skoda в России Ранее автомобили Skoda поставляли из Европы и производили в самой России. Но прошлый год все изменил, и сейчас авто Skoda в основном ввозят из Китая по параллельному импорту. Однако этот ручей скоро может пересохнуть: по данным Automotive News Europe, чешский бренд може...

Примерно в 70 раз слабее RTX 4090. Китайская компания Loongson готовит свою видеокарту, которая будет на уровне Radeon RX 550 Китайская компания Loongson уже неплохо зарекомендовала себя на рынке процессоров, выпустив CPU Loongson 3A6000, который способен тягаться с Ryzen 3 3100 и Core i3-10100F, работая при этом на существенно меньших частотах. Теперь же, как сообщается, компания намерена вып...

Xiaomi собирается отказаться от бренда MIUI. Вместо него будет MiOS Компания Xiaomi может отказаться от своего бренда MIUI, с которого фактически и начался пусть компании на рынке.   создано DALL-E в Bing Инсайдер Digital Chat Station говорит, что MIUI 14 будет последней номерной версией оболочки. Само собой, Xiaomi не собирается ...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Китай не принимает платежи из России. Где заказать печатные платы в Китае в 2024 году Полгода назад я писал, как решал проблему с ушедшими JLCPCB. И вот с марта возникла новая проблема ахахах (истерический смех) —  китайские банки начали блокировать оплату от российских компаний за компоненты для сборки электроники. Оплаты не проходят даже у тех компаний...

На сборку этих трёх машин ушло 10 000 часов. Ringbrothers анонсировала уникальные Rolls-Royce, Dodge и Ford Компания Ringbrothers анонсировала три специальных версии автомобиля, созданные для выставки SEMA. Rolls-Royce Silver Cloud II 1961 года выпуска, который получил название Paramount, называют «отходом от нормы». Автомобиль получит специальное шасси и совреме...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

УАЗ «Патриот» вновь стал лучше. Доработанные машины производят с февраля УАЗ уже бегло рассказывал о новшествах, внесенных недавно в подвеску своих внедорожников «Патриот», «Пикап» и «Профи» флагманского внедорожника «Патриот», но сейчас завод в подробностях расписал изменения — и их нема...

Meizu 21x выйдет уже весной этого года Для компании, которая официально отказалась от рынка смартфонов, Meizu даже слишком активно работает над новыми моделями. Например, совсем недавно, в феврале текущего года, был представлен Meizu 21 Pro, а теперь появились слухи о новой модели, которая носит кодовое название ...

MSI выпустит свою портативную консоль Claw в продажу уже 8 марта Речь пока идёт о «первой волне» продаж.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Toyota теперь сотрудничает с Huawei Сегодня западные журналисты сообщили, что автомобильный гигант Toyota в ближайшем будущем интегрирует систему, разработанную совместно с Huawei, в свои новые автомобили — в том числе для глобального рынка. В компании считают, что это положительно скажется на общей безопаснос...

Почему компании стремятся производить зеленый аммиак Американская компания Starfire Energy работает над разработкой метода производства аммиака с использованием возобновляемой энергии. Они используют электролизеры для выделения водорода из воды, затем соединяют его с азотом для получения аммиака.

Сегодня Илон Маск запускает своего конкурента ChatGPT, который «в некоторых важных отношениях будет лучшим, что существует на данный момент» Илон Маск вчера сообщил, что его молодая компания xAI запустит свою систему искусственного интеллекта уже сегодня.  Завтра xAI выпустит свой первый ИИ для избранной группы. В некоторых важных отношениях это лучшее, что существует на данный момент  Jaap Arrien...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

Украине напомнили, чем для них чревато производство снарядов на их территории Политолог и военный эксперт Алексей Живов предупредил, что если Украина решит производить боеприпасы и военную технику на своей территории, то российские Вооруженные Силы будут рассматривать эти объекты как цели для атак. Его слова приводит Лента.ру.

Кейс: использование 3D-Принтера Volgobot A4 PRO компанией 3DREX Volgobot продолжает активно сотрудничать с различными организациями и предприятиями. Мы работаем не только с крупными, но и c молодыми развивающимися компаниями. Сегодня хотим рассказать об одном из таких клиентов – компания 3DREX. Познакомимся с деятельностью организации.К...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Мало кто знает об этом суперкаре. Редчайший Vector М12 с мотором Lamborghini V12, которым управлял Джереми Кларксон, выставлен на продажу Уже закрывшийся американский производитель суперкаров Vector наиболее известен своим первым автомобилем W8. Но компания также выпустила модель М12. В отличие от W8, в котором использовалось собственное шасси и трансмиссия, у M12 в основном была нижняя часть Lamborg...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Как США, ЕС, Китай и Россия собираются зарегулировать ИИ Вряд ли кто-то сомневается, что современные нейросети — одна из самых больших технореволюций за последние, наверное, лет 50. А может и в истории, кто знает? Но как говорил дядя Питера Паркера из «Человека-паука»: «С большой силой приходит и большая ответственность». Нед...

Intel уверена в своём доминировании на процессорном рынке, несмотря на активное наступление AMD и Arm Компания Intel, несмотря на активную потерю доли процессорного рынка во всех основных сегментах, уверена в своём доминировании в обозримом будущем.  Выступая на Intel Innovation Taipei 2023 Technology Forum, глава компании Пэт Гелсингер заявил, что Intel сохранит ...

В Курской области запустили первое полностью российское производство желатина В России начало свою работу первое предприятие, где производят желатин без использования импортных компонентов или техники

Xiaomi Mi 10T и Poco X3 пополнили «расстрельный список» Xiaomi: они больше не будут обновляться Компания Xiaomi в очередной обновила свой «расстрельный список» — перечень смартфонов, программная поддержка которых завершена. На этот раз, в список EOS (End of support) попали весьма популярные модели.  Xiaomi Mi 10T. Изображение: Xiaomi Речь и...

АвтоВАЗу не хватает комплектующих для Granta и Niva. На этот раз в дефиците подушки безопасности Инсайдерский паблик Avtograd News сообщил о том, что за субботу, 14 октября, с конвейера АвтоВАЗа сошла почти тысяча автомобилей. И это несмотря на нехватку комплектующих. «Сегодня в субботу, 14 октября, с конвейера ВАЗа сошло 590 автомобилей Granta за 12-часовую...

Samsung собирается массово производить микросхемы оперативной памяти LPDDR5T в 2024 году Samsung является крупнейшим в мире производителем памяти DRAM, однако в последнее время компания SK Hynix привлекает к себе повышенное внимание

Realme сделает то, что не предлагает больше никто на рынке. Недорогие смартфоны Realme 12 Pro и 12 Pro+ получат «телевики» В последние годы стало нормой отсутствие «телевика» даже в базовых версиях флагманов, не то что у среднебюджетных смартфонов. Однако, возможно, компания Realme решится изменить ситуацию. Как сообщается, в линейке Realme 12 старшие модели будут иметь камеру с...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Тим Кук, у нас отмена? Apple закрывает проект по созданию своего электромобиля, над которым работало почти 2 тысячи человек Слухи о том, что Apple работает над своим автомобилем, ходили много лет, но компания никогда официально не подтверждала своей заинтересованности в конкуренции с Tesla, Ford или Mercedes-Benz. А сейчас и подтверждать будет нечего: как сообщает Bloomberg со ссылкой на сво...

Объявлены кандидаты на звание «Автомобиль года в Европе 2024». Самые большие шансы на победу — у Китая Кандидатами на звание «Автомобиль года в Европе 2024» стали семь моделей китайского производства. Всего в списке 28 кандидатов. И 25% из них являются китайскими: BYD Atto 3 (Yuan Plus), BYD Han , BYD Dolphin, BYD Seal, Nio ET7, Smart #1 и Volvo EX30. Да, Sma...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Первый в мире планшет с Ryzen 7 8840U. Minisforum V3 представлен полноценно Компания Minisforum наконец-то полноценно анонсировала свой планшет V3. Это первый планшет компании, а также первый, который был анонсирован с новыми APU Ryzen 8000.  Сердцем новинки служит Ryzen 7 8840U, то есть на планшете, кроме прочего, можно будет и поиграть ...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Растёт новый конкурент для Nvidia и AMD? Китайская Moore Threads за год нарастила производительность своих видеокарт MTT S80 и S70 вплоть до 200% Китайские видеокарты Moore Threads MTT S80 и S70 никак нельзя назвать мечтой геймера, однако компания, как и Intel, взялась за этот сегмент впервые. И, снова, как и Intel, за прошедший год сумела существенно улучшить свои продукты посредством ПО.  Большое сравнени...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Xiaomi 14 получит новейшую MIOS из коробки, Snapdragon 8 Gen3, рекордно узкую рамку и новый цвет. Смартфон представят уже в октябре Грядущий флагманский смартфон Xiaomi 14 получит розовую версию, которая, как утверждает проверенный инсайдер Digital Chat Station, выглядит действительно красиво. Рамка экрана Xiaomi 14 уменьшится до 1 мм, установив новый отраслевой рекорд. Кроме того, Xiaomi 14 будет и...

АвтоВАЗ завалит россиян машинами с «автоматом»: выпуск Lada Vesta с МКПП сократят наполовину АвтоВАЗ решил наполовину сократить выпуск версий с Lada Vesta «механикой», значительно наращивая производство моделей с автоматической коробкой передач (вариатором), о чем сообщает Telegram-канал No Limits со ссылкой на собственный источник на заводе. Уже эт...

Nvidia уже работает над несколькими системами охлаждения для видеокарт GeForce RTX 50. СО рассчитаны на TDP от 250 до 600 Вт Компания Nvidia уже тестирует различные варианты кулеров для видеокарт GeForce RTX 50.   прототип топовой СО для RTX 40; фото: Gamer2live В работе находится несколько вариантов систем охлаждения, рассчитанных на TDP от 250 Вт до 600 Вт. При этом это не означа...

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

«Игра 2022 года» — Elden Ring — выйдет на смартфонах? Китайская Tencent работает над таким проектом Игра Elden Ring, фанаты которой ждут выхода в этом году первого дополнения, может выйти на мобильных платформах.  фото: FromSoftware Сообщается, что китайский технологический гигант Tencent уже работает над мобильной версией игры FromSoftware. Само собой, о п...

История запуска ракеты одним большим ребёнком Сейчас запуском ракет может похвастаться далеко не одна страна. В наше время в космос не летает только бедный. Конечно, в кризис летать стали меньше, но эта история произошла в 2021 году, рекордном по числу запуска ракет. Именно в том году 31 июля одна компания производ...

Илон Маск подал в суд на компанию OpenAI и её главу Сэма Альтмана Илон Маск неожиданно подал в суд на компанию OpenAI и её главу Сэма Альтмана.   Обвинения Маска тоже весьма нетипичны. Фактически иск обусловлен тем, что OpenAI перестала соответствовать тем идеалам открытости искусственного интеллекта, с которыми пришла на рынок ...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Google призывает пользователей отказаться от паролей Компания Google начала активно предлагать пользователям по умолчанию создавать «пароль без пароля». Речь идёт о так называемых ключах доступа, призванных обеспечить «более быстрый и безопасный вход» в учётную запись.  Начиная с сегодняшнего...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

AMD готовит новую видеокарту для профессионалов. Radeon PRO W7700 получит 16 ГБ памяти Кроме монструозных процессоров Ryzen Threadripper 7000 компания AMD представила также профессиональную видеокарту Radeon PRO W7700.  Точнее, компания упомянула такую модель, а полноценный анонс состоится когда-то позже. Зато уже сейчас известно, что новинка получи...

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

В iPhone 16 Pro будет новый телеобъектив со сложенным стеклом Согласно информации информационного издания MacRumors, источники, связанные с цепочкой поставок компании Apple, утверждают, что новый телеобъектив с передовыми функциями зума в будущем будет доступен на обеих моделях iPhone 16 Pro даже без индекса Max в названии. Это даёт до...

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

ViewModel + Kotlin Multiplatform. Пробуем нативное решение Всем привет! На связи Анна Жаркова, руководитель группы мобильной разработки в компании Usetech. Компания Google объявили о своем интересе к Koltin Multiplatform на прошлом Google I/O 2023. Следом был обозначен вектор развития имеющихся решений архитектурных библиотек Jetp...

Немецкий концерн Rheinmetall наладит первое производство бронетехники в Украине уже в 2024 году Компания планирует производить бронетранспортёры Fuchs и машины пехоты Lynx.

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Xiaomi снова занимается разработкой собственной платформы для своих смартфонов. Прошлый опыт компании был не особо успешным Компания Xiaomi работает вместе с Arm над некой однокристальной системой для смартфонов.  Об этом рассказал генеральный директор MediaTek, а также эту информацию можно найти в одном из отчётов Couterpoint.  Пару лет назад уже сообщалось, что с Arm над собстве...

Совместимость с Linux быстро развивается благодаря... игровой консоли Valve, разработчик Steam, сотрудничает с компанией Igalia для усовершенствования ОС на базе Linux для своей портативной игровой консоли Steam Deck. Она работает под управлением Steam OS 3.0, которая отличается от предыдущих версий Steam OS, основанных на Debian. Новая ОС пос...

Moondrop MIAD 01 — музыкальный смартфон, который получит не только разъём 3,5 мм, но и балансное подключение посредством разъёма 4,4 мм Компания Moondrop, известная своей Hi-Fi аудиотехникой, представила свой первый смартфон. Конечно же, музыкальный смартфон.  Анонс пока предварительный. Нет ни даты выхода, ни большей части параметров. Называется новинка MIAD 01, где первое слово расшифровывается,...

«Лаборатория Касперского» показала прототип магазина приложений для KasperskyOS «Лаборатория Касперского» представила прототип маркетплейса приложений для своей операционной системы KasperskyOS. Магазин приложений называется Appicenter, его внешний вид и работу продемонстрировали на шлюзе интернета вещей Kaspersky IoT Secure Gateway в х...

Сигнал работает, только если руль стоит прямо, на морозе не работает ABS, быстро изнашивается ремень ГРМ и ступичные подшипники. Перечень «косяков» Lada Vesta NG становится всё больше Около двух месяцев назад сайт Лада.онлайн начал составлять перечень «болячек» и недоработок Lada Vesta NG. На тот момент он насчитывал примерно полтора десятка пунктов. Практику продолжил паблик «Веста - Плюсы и Минусы», и за последнее время...

Турецкая компания Baykar, производящая БПЛА Bayraktar TB2, инвестирует $100 млн. в Украину Они будут поделены между тремя проектами.

В Орле запускается производство спутникового оборудования Компания Rubetek получила контракт от оператора РТКомм, на первом этапе будут производить абонентские модемы и центральную земную станцию спутниковой связи.

В основе нового чипа Google для ИИ будет лежать в том числе архитектура RISC-V. Предположительно, речь о ядре SiFive X390 Похоже, компания Google собирается использовать архитектуру RISC-V для своих будущих чипов TPU, нацеленных на вычисления с ИИ.  Компания SiFive, которая является разработчиком коммерческих процессоров RISC-V и IP-блоков для них на основе архитектуры набора команд ...

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Странные Ryzen GT, Ryzen 8000G, которые должны были бы быть Ryzen 7000G, и Ryzen 7 5700X3D. Появились данные о множестве разных новых CPU AMD В Сеть попали подробности о настольных процессорах Ryzen 8000G. Что интересно, попали они туда от иранского ресурса Sakhtafzarmag.  Как можно видеть, в списке источника шесть моделей и ещё шесть версий Pro, которые технически от обычных не отличаются.  Напомн...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

PlayStation 5 Pro уже готовится к официальному анонсу Буквально на прошлой неделе компания Sony официально анонсировала Slim-версию своей консоли нового поколения PlayStation 5, а уже сегодня появилась первая неофициальная информация о более производительной консоли PlayStation 5 Pro. Стоит сразу отметить, что данная информация...

OnePlus представила доступный планшет Pad Go Сегодня компания OnePlus представила свой новейший планшетный компьютер OnePlus Pad Go, который предлагает упрощённые характеристики по сравнению с OnePlus Pad, при этом имея гораздо более привлекательную стартовую цену. Начать стоит с того, что Pad Go оснащён 11,35-дюймовым...

Samsung возвращает к жизни старую линейку смартфонов, наделив первую модель старым дизайном и неновой платформой. В Сети засветилась модель Galaxy C55 Компании Samsung, видимо, мало имеющихся линеек смартфонов, поэтому она готовит новую — Galaxy C.  В базе Google Play Console засветился смартфон Galaxy C55, который, видимо, будет первенцем линейки.  На самом деле линейка Galaxy C у Samsung уже была, н...

BYD выбрала страну для своего первого европейского завода по производству автомобилей BYD планирует построить свой первый европейский автомобильный завод в Венгрии, что является частью плана китайской компании, которая планирует бросить вызов Tesla в новом регионе. Завод в южном городе Сегед будет производить электромобили и подключаемые гибриды для евро...

Нужно намного больше заводов по производству чипов для ИИ. Глава OpenAI ищет инвестиции для новых фабрик Несмотря на то, что искусственный интеллект в виде ChatGPT, других больших языковых моделей и прочих подобных вариантов на рынке фактически лишь год, уже сейчас дефицит ускорителей для ИИ таков, что очередь у Nvidia растянулась минимум на год. Ситуация явно будет лишь у...

Это как если бы Nvidia заявила, что её инженеры уже активно работают над линейкой GeForce RTX 60. Intel завершила аппаратную разработку архитектуры Battlemage Компания Intel рассказала, что её команда по разработке графических архитектур уже полностью сосредоточена на работе над поколением видеокарт Celestial.  Напомним, это поколение выйдет после Battlemage, которое, в свою очередь, ожидается в этом году. Intel говорит...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Никогда ещё Apple так долго не оснащала свои базовые Mac таким объёмом ОЗУ. В iMac основа в 8 ГБ сохраняется уже 12 лет Компания Apple уже несколько лет не увеличивает объём оперативной памяти в базовых версиях свои ПК Mac. Можно было бы списать это на типичную, по мнению многих, жадность компании, но история показывает, что на самом деле это не норма.  фото: Apple На графиках ниже...

Создан чехол для трекера Apple AirTag для бутылок Для тех, кто раскошеливается на дорогие бутылки с водой, разочарование от того, что они потерялись, может быть вполне реальным. Компания Nomad, производящая аксессуары для телефонов, предложила оригинальное решение: Hydro Flask Tracker. Этот чехол работает в паре с меткой Ap...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

FSP представляет новую серию источников питания VITA GM Компания FSP Group, производящая блоки питания ODM, а также модифицированные STD-модели и компоненты для ПК, представила новую серию блоков питания VITA GM.

Hyundai H-1 снимут с производства. Представлено прощальное издание Final Edition Компания Hyundai прощается с минивэном H-1, представив финальное издание Final Edition (FE). Компании подтвердили, что это последняя партия минивэнов, который снимут с производства в следующем году. Hyundai H-1 Final Edition получит 9-дюймовую мультимедийную систему, бе...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Google платит Apple 18-20 млрд долларов в год, но эта сделка под угрозой. Возможно, поисковик Google перестанет быть решением по умолчанию в iPhone Не секрет, что Google платит Apple за то, чтобы поисковая система первой оставалась активной по умолчанию в браузере Safari на iPhone. Судя по всему, выплаты от Google растут каждый год. И хотя официальных данных на этот счёт никогда нет, сейчас речь идёт о 18-20 млрд д...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

АвтоВАЗ уже не остановить. Компания готовит новые моторы объемом 1,8 и 1,6 л – на 130 и 120 л.с. Как стало известно ресурсу Motor.ru, АвтоВАЗ работает над новыми двигателями для Lada Vesta и Lada Granta. Их объем составит 1,8 и 1,6 л. Фото: АвтоВАЗ Двигатель объемом 1,8 л станет улучшенной версией представленного в понедельник двигателя 1.8 Evo. Его мощность будет...

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

Байден заставил саудовскую венчурную компанию продать свою долю в американском стартапе Rain AI США не намерены позволять принимать участие в проектах, связанных с ИИ, сотрудничающим с Китаем компаниям

Это нетипично для Apple, но компания хочет сделать новый базовый iPad дешевле текущего поколения Компания Apple хочет снизить стоимость своего базового планшета iPad.   Как сообщается, компания сейчас работает над обычным iPad нового поколения, и задача — сохранить все основные особенности текущего устройства, но снизить цену.  Сейчас базовый iPad ...

Google проиграла и признана монополистом. Epic Games удалось доказать свою позицию в суде Компания Epic Games выиграла суд у Google. Согласно решению суда, Google превратила свой магазин приложений Google Play в монополиста. При этом пока что суд не вынес никаких решений относительно того, что должна теперь сделать Google, пока принято не было — их при...

Мощи Snapdragon 8 Gen 4 достаточно, чтобы конкурировать даже с Apple M2. В Сети появились первые тесты Пока на рынке только начинают появляться первые смартфоны с SoC Snapdragon 8 Gen 3, в Сети уже появились результаты тестирования Snapdragon 8 Gen 4.  Само собой, речь не может идти о серийных платформах, так что к результатам стоит относиться соответствующе. Но он...

Глава Intel заявил, что Nvidia просто повезло занять трон на рынке ИИ, и сама Intel вполне могла бы быть там Компания Intel решила снова словесно напасть на Nvidia. Недавно глава Intel Пэт Гелсингер (Pat Gelsinger) заявил, что вся индустрия хотела ликвидировать CUDA, а теперь тот же Гелсингер заявил, что все текущие достижения Nvidia — это просто везение.   Дженсен...

Бот для автоматической торговли криптовалютой В наши дни торговые биржи пользуются заслуженной популярностью. Очень многие люди пользуются их услугами. К слову, самих бирж сегодня функционирует довольно много. Некоторые из них достаточно популярные. Есть и те, о которых знают немногие. Как всегда, есть и что-то среднее....

Яндекс Браузер для организаций. Опыт QIWI Привет! Меня зовут Сергей, я ведущий системный администратор службы эксплуатации в QIWI. Так сложилось, что в нашей компании довольно большое число сотрудников, и часть из них работает в специфических системах.Например, есть люди, которые в своей работе часто взаимодействуют...

Geely рассекретила кроссовер Okavango L 2024. У него новая передняя часть, 7-нанометровый процессор и место длиной 2,2 м для ночёвки Geely опубликовала изображения кроссовера Haoyue L 2024 модельного года (за пределами Китая Haoyue носит название Okavango), а также рассказала о ключевых отличиях от нынешней модели. Внешне Okavango L 2024 можно будет отличить по новой более монументальной решетке рад...

На калужском заводе «ПСМА Рус» появились новые иномарки Peugeot и Citroen. Их выпуск могут наладить на предприятии Мы уже сообщали об активных работах по перезапуску производства на заводе «ПСМА Рус» в Калужской области, а сейчас Autonews заметил на предприятии новые иномарки. Вероятно, их-то и будет выпускать предприятие. Peugeot 4008. Изображение: Peugeot Одним из так...

HarmonyOS Next, которая откажется от поддержки приложений Android, уже работает так же плавно, как HarmonyOS. Первый смартфон ожидается в этом году Huawei усердно работает над HarmonyOS Next, новой версией операционной системы, которая полностью отказывается от поддержки приложений Android. Известный инсайдер Digital Chat Station, который уже опробовал HarmonyOS Next, утверждает, что текущая разрабатываемая версия ...

Купил Samsung Galaxy S24 — заплати ещё и за искусственный интеллект. Стало известно, сколько будет стоить подписка на функции ИИ в Китае Компания Samsung выпустила смартфоны Galaxy S24 с различными функциями искусственного интеллекта. При этом, в отличие от всех остальных компаний, Samsung заявила, что эти функции будут бесплатны временно. Теперь же стало известно, сколько придётся за них платить.  ...

Кейс Top 3D Group: реверс-инжиниринг для морских буксиров с помощью Shining 3D FreeScan UE Pro Осенью мы ездили в Выборг, проводили 3D-сканирование с последующим реверс-инжинирингом для компании «Северный Альянс». Компания занимается морской буксировкой, буксирным обеспечением швартовых операций, сопровождением танкеров, а также доставкой лоцманов.Представительства «С...

Камера как сканер штрих-кодов: проблемы, инструменты и эксперименты Всем привет, меня зовут Никита, я старший разработчик в компании Озон и работаю над iOS-приложением «Пункт Озон».«Пункт Озон» — это мобильное приложение для работников и менеджеров пункта выдачи заказов (далее просто ПВЗ). В приложении множество различных разделов для повсед...

США могут добавить в «чёрный список» поставщиков Huawei США рассматривает возможность внесения в «чёрный список» ряда китайских полупроводниковых компаний, связанных с Huawei Technologies, после того, как в прошлом году телекоммуникационный гигант совершил значительный технологический прорыв, о чем пишет Bloomber...

Сотрудники Apple чаще всего переходят работать в Google, а в саму Apple больше всего людей перешло из Intel Аналитики компании Switch on Business, на отчёт которой ссылается ресурс 9to5mac, провели исследование и обнаружили, что сотрудники, уходящие из Apple, чаще всего переходят на работу в Google.  Исследование было проведено на основе данных Linkedin, и относилось в п...

Intel сдалась и просит производителей системных плат очень сильно ограничить все современные CPU Core i9 Похоже, вскоре все процессоры Intel Core i9 двух последних поколений станут намного медленнее без выбора со стороны пользователей. Как сообщается, Intel обратилась к производителям системных плат с просьбой установить специальные настройки Intel Default Settings в качес...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Nvidia, это же лучшая антиреклама RTX 4090. Компания показала результаты тестов в игре Alan Wake 2 Компания Nvidia поделилась производительностью некоторых своих видеокарт в игре Alan Wake 2. Получилось весьма странно, и это можно назвать антирекламой Nvidia.  Всё дело в том, что игра действительно крайне требовательная. В 4K при максимальных настройках качеств...

Вся производимая Micron память HBM3E идёт в ускорители ИИ Память экран Micron HBM3E распродана на этот и большую часть следующего года

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Компания KleinVision продала свою технологию летающих автомобилей Китаю Китайская группа Jianxin заявляет, что хочет использовать технологии словацкой компании KleinVision для пересмотра транспортных стандартов в Китае. Поднебесная активно работает над решениями для летающего транспорта.

На российском заводе Peugeot-Citroen возобновилось производство. Первая модель – кроссовер Сегодня в Калуге состоялся перезапуск завода «ПСМА Рус», на котором ранее выпускали автомобили Peugeot и Citroen для России. Заведует всем новая компания «Автомобильные Технологии», ранее она занималась оптовыми поставками автомобилей, запасных ч...

Ledger уволит 12% сотрудников Ledger, производящая аппаратные «кошельки» для криптоинвесторов, уволит 12% своей рабочей силы, поскольку она сохраняет ресурсы, чтобы пережить затяжной спад в отрасли

TSMC откроет самое современное предприятие по производству полупроводников в Японии Первоначально завод будет производить технологии класса 28 нм.

Такое могут терпеть тайские работники, но не американские. Инженеры TSMC на заводах в Аризоне жалуются на 12-часовые смены и ужасное отношение Компания TSMC сейчас строит новые заводы в США и, как сообщается, в процессе этого столкнулась с проблемами, касающимися отношением к американским сотрудникам.  Американские инженеры были привезены на Тайвань в 2021 году для обучения производственным процессам TSM...

NVIDIA представила суперкомпьютер Eos Сегодня компания NVIDIA официально представила свой суперкомпьютер Eos, который представляет собой высокопроизводительную систему уровня центра обработки данных, ориентированную на приложения искусственного интеллекта. Важность суперкомпьютеров значительно возросла в совреме...

Porsche построила свой двухмиллионный автомобиль в Лейпциге. Что это за машина? Менее чем через две недели после дебюта Porsche Panamera 2024 года у автопроизводителя появился еще один повод для праздника. Со сборочного конвейера в Лейпциге сошел двухмиллионный автомобиль, которым оказался Panamera Turbo E-Hybrid в цвете Madeira Gold Metallic. Он у...

Складной планшет Apple выйдет не раньше 2026 года В прошлом некоторые сетевые источники и аналитики сообщали о том, что компания Apple может выпустить свой первый складной планшет в 2024 году. Однако сейчас подобные прогнозы были скорректированы. Согласно последним данным подобный гаджет может появиться не раньше 2026 года....

И технологично, и с изюминкой в дизайне. Представлены жидкостные СО DeepCool Mystiqe AIO Компания DeepCool представила жидкостные системы охлаждения Mystiqe AIO, которые выделяются и внешним видом, и технологиями.  Касательно первого тут всё просто: новинки получили ЖК-экраны диагональю 2,8 дюйма с разрешением 640 х 480 пикселей. Как говорит сама комп...

Apple начнет массово производить складной Mac Book в 2025 году, а складной iPhone — в 2026 году Если Apple выпустит складной смартфон, она станет последним из крупных производителей мобильных телефонов, выпустившим такое устройство

Что известно о новой ОС Microsoft, которая станет конкурентом Google Chrome OS По имеющимся сведениям, компания Microsoft разрабатывает новую версию Windows, известную как Windows 12, которая будет основана на браузере Edge, работающем на платформе Chromium. Ожидается, что эта облачная операционная система (ОС) будет ориентирована на образовательные уч...

У Apple пока не получается избавиться от зависимости от Qualcomm. Теперь компания планирует выпустить собственный модем 5G только в 2026 году Компании Apple снова придётся переносить свои планы относительно замены модемов Qualcomm в iPhone на собственные.  Как сообщается, купертинский гигант всё ещё сталкивается с техническими трудностями при разработке собственного модема 5G. Компания уже переносила ср...

Базовый iPhone 17 будет производиться в Индии Компания Apple начала производство iPhone в Индии еще в 2016 году, стараясь с каждым годом снижать свою зависимость от Китая.

Сможет ли AMD повторить свой прорыв, который совершила в настольном сегменте с переходом на чиплеты? Мобильные APU компании тоже станут такими Возможно, следующие мобильные процессоры AMD перейдут на чиплетную компоновку, как это реализовано в настольных Ryzen уже много лет.  В Сети появились новые подробности о линейках Strix, Sarlak и Kracken, и для всех указано наличие кристалла ввода-вывода, причём у...

В iPhone 16 появятся функции на базе ИИ Если вы следите за рынком высоких технологий, то заметит, что в последнее время крупные компании делают акцент не на производительности своих смартфонов, а на технологиях, которые основываются на искусственном интеллекте. Например, у Samsung появилось приложение, которое мож...

Apple получила образец подэкранной фронтальной камеры для iPhone По данным источников компания Apple уже получила образец нового модуля фронтальной камеры, который можно разместить под дисплеем iPhone. Однако имеющееся решение не устроило купертиновцев. Сейчас такие компании как LG Innotek работают над более совершенным решением.Читать да...

Центр обработки данных Amazon будет питаться от ядерного реактора напрямую Компания Amazon будет питать свой центр обработки данных энергией, получаемой не из сети, а непосредственно от атомной электростанции.

«Откажитесь от пароля с помощью лучших в своем классе ключей безопасности». Представлены новые ключи безопасности Google Titan Security Key Компания Google представила новую версию аппаратного ключа безопасности Titan Security Key. Новинка уже доступна для покупки по цене 30 долларов. В своём пресс-релизе Google с первых же строк акцентирует внимание на то, что такое решение позволяет отказаться от паролей...

По собственному ядерному реактору для каждого ЦОД с ИИ. Правительство США обсуждает с IT-гигантами подобные идеи Ускорители для ИИ потребляют весьма немало энергии, а современные суперкомпьютеры оснащены тысячами таких адаптеров. Это дополнительная нагрузка на системы энергообеспечения, и в США считают, что вопрос можно решить посредством ядерной энергии.  создано DALL-E Адм...

Honor показала каким будет Magic 6 Ultimate — новый смартфон с лучшей камерой Honor готовит к выпуску новый смартфон из своей последней серии Magic. После глобального анонса Honor Magic 6 Pro компания готова представить Magic 6 Ultimate в Китае. Если верить имеющимся изображениям, смартфон должен получиться очень необычным. Тизер демонстрирует новый ...

Титановые винты по $70, крыша за $140 000 и монокок за $1 млн. Ремонт Ferrari LaFerrari влетит в копеечку Владельцы, который разбили свой Ferrari LaFerrari, могу отремонтировать автомобиль. Если речь идёт о повреждении карбонового монокока, который невозможно отремонтировать, его необходимо заменить. По данным Scuderia Car Parts, новый монокок от Ferrari можно купить за 1 0...

Windows 11 версии 21H2 больше не поддерживается 10 октября знаменует собой окончание поддержки первоначальной версии Windows 11. Версия 21H2 больше не поддерживается, поэтому Microsoft не будет выпускать ежемесячные обновления с исправлениями и исправлениями безопасности. Если вы используете Windows 11 версии 21H2, пришло...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Российский автопроизводитель будет сам производить подушки безопасности Российский автомобильный производитель "Соллерс" ведет подготовку к запуску производства ключевых компонентов системы пассивной безопасности для автомобилей. Подушки безопасности, электронные блоки управления, рулевые колеса и ремни безопасности будут производиться на базе У...

 В 2024 году Huawei «перепишет историю отрасли». Компания выпустит «передовые, инновационные и революционные продукты» Уже почти пять лет Huawei находится под жесткими американскими санкциями, и все это время компания работала не покладая рук. Теперь, возможно, настало время для серьезного рывка. По крайней мере слова Ю Чэндона (Yu Chengdong), генерального директора потребительской бизн...

[Перевод] Как мы управляем контейнерной платформой: история adidas о прошлом, настоящем и будущем 10 мая 2022 года компания adidas начала переводить конфигурацию своей платформы на GitOps. Спустя почти два года в блоге компании опубликовали цикл статей об эволюции контейнерной платформы adidas, которые мы перевели и объединили в один материал. В этих статьях инженер комп...

Как обманывают IT-компании при трудоустройстве. Развод сотрудников в «Лайк Центре» Всем привет, я продакт в IT. С 2018 года работала на должности менеджера продукта в банках, логистике, маркетинге, онлайн-образовании. Мне есть чем поделиться из трудового опыта.Рынок труда последние два года сильно изменился. Хотя много кто из компаний и СМИ говорит о кадро...

Китайская Луна: КНР активно развивает свою программу освоения спутника Земли. Перспективы проекта Естественный спутник Земли привлекает внимание не только учёных. Целые государства развивают специализированные космические программы, направленные на освоение Луны. Похоже, что Китай действует активнее всех. Сейчас команда учёных и инженеров КНР запустила в космос новый спу...

Бывшая жена Илона Маска представила первые в мире детские игрушки с ChatGPT, обладающие собственными «личностями» Похоже, детские игрушки выходят на новый уровень и кардинально изменятся. Певица Граймс (Grimes), известная в том числе тем, что несколько лет была замужем за Илоном Маском, совместно со стартапом Curio и при поддержке OpenAI представила детские игрушки с ChatGPT. ...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Генератор кадров Nvidia никогда таким похвастаться не сможет. AMD Fluid Motion Frames теперь доступен в любой современной игре Компания AMD добавила поддержку генератора кадров Fluid Motion Frames сразу для всех игр с поддержкой DirectX 11/12. Но это не полноценная поддержка.  Это реализация генератора посредством драйвера, которая не требует от разработчиков внедрения технологии в их игр...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

«Мы ждём отечественный, нижегородский, бренд», — «Волга» может вернуться в недалеком будущем Губернатор Нижегородской области Глеб Никитин сообщил, что власти региона планируют перезапустить производство автомобилей под собственным брендом. «Автопром, безусловно, окреп, я это абсолютно ответственно говорю. Он был более зависим от конъюнктуры, от различных...

Xiaomi выпустит моноблок без вырезов и отверстий, а также новый Xiaomi Mix со сгибающимся экраном Компания Xiaomi тестирует новый флагман на базе однокристальной системы семейства Snapdragon 8, о чем сообщил инсайдер Digital Chat Station. В новом смартфоне используется решение с двумя камерами под экраном, он получит современный дизайн с очень узкой рамкой с четырех...

И термометр, и пульсоксиметр, и стетоскоп, и электрокардиограф в одном маленьком устройстве. Представлен мультископ Withings BeamO Компания Withings привезла на CES 2024 необычное устройство под названием BeamO.   Если просто на него посмотреть, то сложно догадаться, для чего оно предназначено. Между тем, это весьма полезное устройство, сочетающее в себе сразу несколько функций. Это и термоме...

Вслед за Dacia Duster представлен Renault Duster третьего поколения Европейская премьера Dacia Duster нового третьего поколения состоялась на прошлой неделе, а сейчас представлен Renault Duster третьего поколения. Разница между ними – только в логотипах. У Renault на решетке радиатора соответствующая крупная надпись, а на крышке ...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Первый ИИ-ноутбук от Samsung выйдет раньше первого ИИ-смартфона. Премьера Galaxy Book 4 состоится 15 декабря 15 декабря Intel выпустит процессоры Core Ultra для мобильных компьютеров, и в этот же день Samsung представит новую линейку своих ноутбуков – Galaxy Book 4. Устройства будут преподноситься как ИИ-ноутбуки. Известно, что Samsung зарегистрировала название «И...

Большой круглый экран OLED в салоне, 201 л.с. и никакого электричества. Представлены Mini Cooper 2025 и Mini Cooper S 2025 BMW представила новый Mini Cooper с традиционными моторами в США. Машины будут предлагаться как в обычной версии, так и в «подогретой» – Cooper S. Интересно, что раскрыта мощность только топовой версии – она составляет 201 л.с. Такую мощность сн...

Глава Toyota боится, что компания вернётся к созданию скучных автомобилей Акио Тойода, председатель правления Toyota, испытывает беспокойство по поводу возможного возвращения компании к стереотипной скучности. В отличие от типичных автомобильных руководителей, Тойода не только управляет компанией, но и искренне любит автомобили. Он активно уч...

Samsung Galaxy A35 получит улучшенную основную камеру Компания Samsung продолжает развивать свою линейку смартфонов Galaxy A и уже работает над преемником модели Galaxy A34, который получит название Galaxy A35.

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

Новый Nissan Armada будет намного лучше Land Cruiser 300. Так считают в самом Nissan Пока Toyota занимается запуском продаж нового Land Cruiser Prado 250 в США, Китае и Европе, Nissan готовит свой флагманский внедорожник Armada для рынков США и Ближнего Востока. И в компании уверены в своем новом автомобиле. Nissan Armada 2025 сейчас активно тестируют ...

Apple платит Arm менее 30 центов за каждый произведённый процессор, и это меньше любого другого клиента Arm Компания Apple уже давно разрабатывает собственные платформы для смартфонов, а последние несколько лет и для ПК. Эти SoС построены на CPU собственной разработки Apple, но в основе лежит архитектура Arm. Как сообщается, Apple платит Arm менее 30 центов за каждый произвед...

В России будут выпускать флагманский кроссовер Chery Tiggo 9. Производство наладят на бывшем заводе Mercedes-Benz в Подмосковье Слухи о том, что автомобили Chery могут получить российскую прописку, ходят давно, причем в разных утечках фигурировали разные площадки. Но бывший российский завод Mercedes-Benz не упоминали — до сегодняшнего дня. О том, что на подмосковном заводе, который ранее ...

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года Возможно, некоторые процессоры Intel, которые мы ожидали в этом году, выйдут лишь в следующем.  Согласно данным инсайдера Golden Pig Upgrade, в этом году не будет новых высокопроизводительных CPU Core-HX. Речь о поколении Arrow Lake, которое должно выйти в конце т...

«Возрождение легенды. Новое имя знакомых шин». На заводе Nokian Tyres в Ленинградской области начали выпуск шин Ikon Tyres Компания Ikon Tyres запустила в серийное производство летние шины под одноименным брендом на бывшем заводе Nokian Tyres в Ленинградской области. «Летние шины, которые поступят в розничную продажу весной 2024 года, будут первыми шинами, на которых нанесен бренд Iko...

Hyundai строит первый южнокорейский автомобильный завод на Ближнем Востоке Южнокорейская компания Hyundai Motor Group построит автомобильный завод в Саудовской Аравии совместно с Государственным инвестиционным фондом (PIF), суверенным фондом благосостояния Саудовской Аравии, о чем заявил президент Южной Кореи Юн Сок Ёль. Юн выступал в Эр-Рияде...

Беспрецедентная забастовка в США расширяется. Она охватила уже 43 автозавода в 21 штате В конце прошлой недели профсоюз UAW расширил свою забастовку, нанеся новые удары по компаниям Ford и General Motors. Президент UAW Шон Фейн объявил, что призвал еще 7000 членов UAW выйти на забастовку. Для новой забастовки UAW выбрал заводы Ford в Чикаго и сборочные зав...

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Netpliance i-Opener: как DIY-умельцы разрушили интернет-бизнес 90-х В 1999 году доступ в интернет был далеко не у всех. Немногие обычные пользователи, да и компании тоже могли себе позволить потратить полторы-две тысячи долларов на то, чтобы купить компьютер для доступа к информационной супермагистрали (Information superhighway), а именно та...

Huawei хочет сделать HarmonyOS популярнее, чем iOS и Android На Аналитическом саммите 2024 года председатель Huawei Сюй Чжицзюн официально объявил о планах компании по расширению своего глобального присутствия на мировых рынках электроники. Глава компании сделал акцент на том, чтобы сделать HarmonyOS третьей по популярности операционн...

Apple A17 Pro оказался дешевле топового чипа Snapdragon 8 Gen 2 A17 Pro – это первая 3-нм SoC от Apple, производимая на архитектуре нового поколения TSMC, и доступная только в iPhone 15 Pro и iPhone 15 Pro Max. Естественно, улучшений, которые последний процессор несёт в себе по сравнению с A16 Bionic, достаточно, чтобы сделать его дороже...

AMD теснит Intel по всем фронтам. На рынке серверных процессоров AMD занимает уже почти четверть, забирая почти треть всей выручки Согласно данным аналитиков Mercury Research, в четвёртом квартале прошлого года компания AMD смогла существенно нарастить свою долю на рынке процессоров.  В целом на рынке CPU в количественном выражении компания теперь занимает 20,2% против 17,1% за год до этого. ...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Что делать, если партнёр постоянно говорит о другом человеке Всё зависит от того, о ком именно идёт речь и почему вас это беспокоит.

Great Wall показала свой восьмицилиндровый оппозитный мотор для аналога Honda Gold Wing Great Wall добавила в свою копилку новый бренд, на этот раз мотоциклетный — Souo. Именно под этим брендом выйдут первые мотоциклы Great Wall, ну а первой моделью станет большая туристическая модель формата Honda God Wing: это не скрывает уже ни сама Great Wall, об...

6000 мА·ч, никакого AMOLED, не самый большой экран и американский бренд. Представлен недорогой Motorola Moto G64 Компания Motorola представила свой очередной недорогой смартфон, который должен порадовать своей автономностью.  Модель Moto G64 получила аккумулятор ёмкостью 6000 мА·ч. Зарядка тут далеко не самая быстрая — 33 Вт, но зато стоит новинка всего от 180 д...

Стартап Voodin Blade Technology производит ветряную турбину с деревянными лопастями Благодаря лопастям, изготовленным из клееного бруса, ветряные турбины производят на 78% меньше выбросов CO2. Есть возможность вторичной переработки лопастей.

Алмазные батареи из ядерных отходов — смогут ли они заменить литий-ионные аккумуляторы Ученые разработали технологию создания батарей из ядерных отходов В настоящее время сразу две компании, Arkenlight и NDB, работают над технологией, позволяющей производить алмазы из ядерных отходов и впоследствии их использовать для создания батарей. Впервые об этих технол...

Reuters: Иностранные компании понесли убытки на $107 млрд. из-за ухода с российского рынка Речь идёт о средствах, которые компании могли заработать, работая в России.

Новый смартфон Huawei будет иметь улучшенную модель прошлогоднего процессора Ранее в США надеялись, что Китай не в состоянии производить данные чипы самостоятельно и лишь использует старые запасы

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)