Социальные сети Рунета
Среда, 22 мая 2024

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Apple работает над вторым поколением Vision Pro Несмотря на то, что первое поколения Vision Pro пока официально еще не продается, компания Apple уже активно работает над второй версией своего шлема. По словам авторов ресурса MacRumors, устройство имеет кодовое название Project Alaska и идентификатор N109. Читать дальше......

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Раскрыты подробности второго поколения еще невышедшего AR-шлема Apple Vision Pro Apple работает над вторым поколением своего AR-шлема Vision Pro, который ожидается в 2027 году.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Первые 3-нм процессоры Samsung второго поколения появятся в составе умных часов и смартфонов серии Galaxy S25 Они будут выпускаться по второму поколению 3-нм технологии Samsung.

Lenovo выпустит второе поколение «убийцы Steam Deck» — Legion Go 2 Компания Lenovo официально подтвердила разработку второго поколения портативной игровой консоли Legion Go, который позиционируется как конкурент Steam Deck.

Планшет iQOO Pad 2 получит SoC Dimensity 9300 Китайский информатор Digital Chat Station раскрыл подробности о характеристиках второго поколения планшета iQOO Pad 2, который еще не был представлен официально. По данным источника, аппарат получит топовую 4-нанометровую платформу Mediatek Dimensity 9300 и крупный LCD-экран...

BAIC официально представила кроссовер Beijing BJ30 второго поколения Второе поколение Beijing BJ30 дебютировало с гибридным полным приводом.

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Intel Xeon W9-3595X активно тестируют перед релизом Следующий поколение процессоров Intel Xeon-W, вероятно, уже совсем скоро отправится в релиз, потому что модель W9-3595X удалось обнаружить в базе данных Geekbench с 60 ядрами. Стоит напомнить, что ранее инсайдеры опубликовали различные данные о процессорах нового поколения и...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Xiaomi 14 Pro победил всех, кроме iPhone 15 Pro Max. Сравнение автономности показывает, что до флагмана Apple новинка не дотягивает На рынок уже вышли первые смартфоны с SoC Snapdragon 8 Gen 3, а это значит, что пришла пора многочисленных сравнений. В том числе сравнения автономности. В новом поучаствовали Xiaomi 14 Pro и iPhone 15 Pro Max с его 3-нанометровой платформой. Также для разнообразия были...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

В 2023 году Apple заметно активнее тестировала свои беспилотные авто Несмотря на сложности, и в очередной раз перенесенные сроки выхода яблочного авто, компания Apple продолжает тестировать свою систему автоматического управления транспортными средствами. Причем делает это достаточно активно.Читать дальше... ProstoMAC.com.| Постоянная ссылка...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Криптосмартфон Solana Saga 2 оказался на 100% востребованнее первой модели Второе поколение криптосмартфона Solana Saga от компании Solana Mobile всего за 30 часов после анонса побило рекорды предшественника. Чуть более чем за сутки количество проданных предзаказов на вторую модель превысило продажи первого поколения за всё время.

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

iQOO Z9 получит OLED-экран и мощный процессор Авторитетный инсайдер Digital Chat Station поделился первыми подробностями о смартфоне iQOO Z9, дата выхода которого пока не раскрывается. Утверждается, что аппарат получит OLED-экран с разрешением 1,5K и производительный 4-нанометровый процессор MediaTek Dimensity 8300 с та...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Apple активно тестирует сразу два прототипа складных iPhone Тем не менее они выйдут не раньше 2026 года.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Samsung интегрирует ИИ от Google в Galaxy S25 Совсем недавно компания Samsung официально представила собственную версию генеративного искусственного интеллекта вместе с релизом серии смартфонов Galaxy S24 — новая фишка получила название Galaxy AI. А теперь появилась информация о том, что корейский гигант начинает более ...

OPPO Find X7 Ultra со спутниковой связью появился в продаже Компания OPPO дала старт продажам топового смартфона OPPO Find X7 Ultra с поддержкой спутниковой связи. Данная версия доступна в одной конфигурации с 16 ГБ оперативной и 1 ТБ флеш-памяти. Её цена составляет 7500 юаней или около 1055 долларов. Смартфон также оснащается 4...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Geely рассекретила кроссовер Okavango L 2024. У него новая передняя часть, 7-нанометровый процессор и место длиной 2,2 м для ночёвки Geely опубликовала изображения кроссовера Haoyue L 2024 модельного года (за пределами Китая Haoyue носит название Okavango), а также рассказала о ключевых отличиях от нынешней модели. Внешне Okavango L 2024 можно будет отличить по новой более монументальной решетке рад...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Apple отложила выпуск нового поколения радиометок AirTag 2 до 2025 года Apple не выпустит в обещанные сроки второе поколение популярных радиометок AirTag. Рынок буквально завален текущей версией.

Intel отходит от устаревшего техпроцесса, оставляя AMD доминировать на рынке Intel завершает производство 14-нанометровых серверных процессоров, уступая позиции AMD, которая активно развивает более современные технологии.

США тестируют автономные беспилотники на базе F-16 с ИИ на борту Подразделение Минобороны США DARPA активно внедряет технологии ИИ и машинного обучения для модернизации вооружений.

Apple тестирует улучшенную версию Siri с помощью ChatGPT Компания Apple активно совершенствует свой голосовой помощник Siri, добавляя в него функции генеративного искусственного интеллекта.

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Sony Xperia 10 VI показали на первых рендерах Авторитетный информатор Эван Бласс опубликовал официальные изображения смартфона Sony Xperia 10 VI, релиз которого запланирован на 17 мая. Отметим плоские грани корпуса, сдвоенную основную камеру, а также белую, черную и голубую расцветки. По данным источника, аппарат оснаст...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Google Chrome начнёт подменять IP-адреса пользователей для защиты их от слежки Компания Google активно тестирует в Chrome новую функцию, направленную на повышение конфиденциальности пользователей через автоматическое скрытие их IP-адресов.

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Представлен планшет ZTE nubia Pad 3D II Компания ZTE пополнила ассортимент планшетов вторым поколением модели ZTE nubia Pad 3D, которая выводит объемное изображение без специальных очков. Новинка также характеризуется возможностью преобразования 2D-контента в 3D посредством технологии Neovision 3D Anytime, 3D-съём...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Чип Snapdragon 8 Gen 4 с собственными ядрами Oryon Phoenix пока ... Qualcomm уже активно тестирует процессор Snapdragon 8 Gen 4 с собственным дизайном Oryon и кодовым названием Phoenix. Чип очень производительный, но пока испытывает проблемы с потреблением энергии.

Meta* тестирует интеграцию ИИ в поиск Instagram* наподобие Perplexity AI Компания активно интегрирует инструменты на базе технологий ИИ для решения проблем Instagram* с релевантностью поиска и персонализированными рекомендациями.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

США тестирует ударный БПЛА XQ-58 Valkyrie - аналог российского С-70 "Охотник" Дрон XQ-58 Valkyrie морской пехоты США завершил вторые лётные испытания

Microsoft анонсирует новый собственный AI-чип Athena уже в следующем месяце Первое поколение проекта Athena может быть произведено с использованием 5-нанометрового процесса TSMC.

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

В основе нового чипа Google для ИИ будет лежать в том числе архитектура RISC-V. Предположительно, речь о ядре SiFive X390 Похоже, компания Google собирается использовать архитектуру RISC-V для своих будущих чипов TPU, нацеленных на вычисления с ИИ.  Компания SiFive, которая является разработчиком коммерческих процессоров RISC-V и IP-блоков для них на основе архитектуры набора команд ...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

«Выкатим нечто новое»: Яндекс уже тестирует следующую «Алису» Команда Яндекса начала тестировать фирменный голосовой помощник следующего поколения. Об этом рассказал журналистам Дмитрий Масюк, руководитель бизнес-группы «Поиска» и рекламных технологий Яндекса.  Сгенерировано нейросетью Midjourney Компания планиру...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Apple создала умную колонку с сенсорным дисплеем: утечка прототипа, раскрыты характеристики Утечка изображений и инсайдеры говорят о том, что Apple тестирует HomePod нового поколения со встроенным сенсорным ЖК-дисплеем.

SMIC, как сообщается, наращивает производственную линию по выпуску 5-нанометровых микросхем в Шанхае Согласно свежему сообщению Reuters, крупнейший контрактный производитель чипов в Китае связан с разработкой SoC следующего поколения Huawei

Intel и UMC объединяют усилия для разработки 12-нанометровых чипов Intel Foundry и тайваньская компания UMC объявили о стратегическом партнёрстве для разработки 12-нанометровой технологии полупроводников.

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Apple первой в мире выпустит смартфоны и компьютеры на процессорах нового поколения Apple станет первой компанией, которая получит чипы, изготовленные на новейшем 2-нанометровом процессе от TSMC, сообщает DigiTimes.

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

OnePlus тестирует Ace 3 Pro с 8 процессорами третьего поколения и 24 ГБ оперативной памяти OnePlus 12R, который по сути является международным вариантом OnePlus Ace 3, выпущенного в Китае, произвел в целом хорошие впечатления при запуске. Поэтому OnePlus уже тестирует Ace 3 Pro.

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Оборонные компании Европы разрабатывают лазерное оружие второго поколения на основе DragonFire Ведущие оборонные компании Европы, включая MBDA, Leonardo и QinetiQ, работают над проектами "второго поколения" лазерных систем вооружений, основанных на технологиях прототипа DragonFire. Эти передовые лазерные системы смогут использоваться как на море, так и на суше, открыв...

Samsung и Google готовят к выпуску Wear OS 5 на основе Android 14 Возможно, следующее поколение Wear OS выйдет уже в этом году. Samsung и Google активно ведут работы над обновлением Wear OS 5 на основе Android 14.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

25 лет подряд на вершине рынка. Toyota Corolla стал самым продаваемым автомобилем за всю историю и подтвердил свое первенство в 2023 году Рейтинг самых продаваемых компактных автомобилей в мире в 2023 году был опубликован на сайте Focus2move. Toyota Corolla 25-й год подряд лидирует по продажам, вдвое превышая продаж ближайших конкурентов — Honda Civic и Hyundai Elantra. В 2023 году прдажи Toyota Cor...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Samsung активно закупает оборудование для упаковки памяти, рассчитывая получить заказы NVIDIA Ускорители B100 начнут выпускаться во втором квартале следующего года.

Яндекс начал тестировать Алису с ИИ нового поколения среди желающих Команда Яндекса объявила о запуске бета-тестирования нового поколения своего умного помощника — Алисы.

Приватные аукционы за доменные зоны могут запретить ICANN продолжает активно готовиться к старту второго раунда регистрации новых gTLD.

Новые Renault Duster 2024 предлагают в России за 3 млн рублей Автомобиль Renault Duster, который продолжает оставаться одним из самых популярных кроссоверов в России, можно купить пи помощи параллельного импорта. Мультибрендовый автосалон предлагает автомобили 2024 года за 2 999 000 рублей. Автосалон находится в Новосибирске, диле...

The Asia Times: Китай может обойти США в количестве истребителей пятого поколения В КНР активно наращивают производство новейших образцов техники

Microsoft начала выгонять пользователей из программы Windows Insider за слив новых функций системы В последнее время Microsoft активно работает над улучшением своей программы Windows Insider, которая позволяет пользователям тестировать новые функции системы до их официального выпуска.

Почти Honda Civic по цене Lada Vesta. В России появились новые садены Honda Crider В России появилось второе поколение седана Honda Crider, который в Китае считается доступной альтернативой модели Civic. Данный среднеразмерный автомобиль, выпускаемый на совместном предприятии GAC-Honda, предлагается дилером из Санкт-Петербурга по цене 2 231 970 рублей...

Регулятор Wi-Fi случайно раскрыл скорый анонс игровых смартфонов Red Magic 9 и 9 Pro Компания Nubia активно работает над новым поколением своих флагманских смартфонов Red Magic.

Компания CybSafe: Наибольшему риску в интернете подвергаются не бумеры, а поколение Z Поколение Z очень активно в интернете, но безопасность в сети представляет для них низкий приоритет. Таковы данные нового исследования.

NVIDIA и AMD активно работают над графическими процессорами с новым типом видеопамяти GDDR7 Будут использованы кристаллы GDDR7 первого поколения емкостью 16 Гбит, объемом 2 ГБ и скоростью 32 Гбит/с.

Nvidia уже работает над несколькими системами охлаждения для видеокарт GeForce RTX 50. СО рассчитаны на TDP от 250 до 600 Вт Компания Nvidia уже тестирует различные варианты кулеров для видеокарт GeForce RTX 50.   прототип топовой СО для RTX 40; фото: Gamer2live В работе находится несколько вариантов систем охлаждения, рассчитанных на TDP от 250 Вт до 600 Вт. При этом это не означа...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Ноутбук Qingyun L540 от Huawei с китайским процессором: что за девайс? Компания Huawei представила пользователям новый девайс — ноутбук Qingyun L540 с 5-нанометровым процессором Kirin 9006C. Устройство продолжает серию лэптопов L410, в которых ранее использовался восьмиядерный чипсет Kirin 990. Насколько можно судить, Huawei, несмотря на санкц...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Samsung будет выпускать "3-нм" процессоры второго поколения под видом "2-нм" А спустя несколько лет реально перейдет к разработке "2-нм" процессоров.

Суперпопулярный Geely Atlas второго поколения получил обновление Пока только на внутреннем рынке.

Надо брать: ультратонкий пауэрбанк Baseus Blade 2 за 4 270 рублей Второе поколение аккумулятора доступно в трёх новых цветах.

Lenovo уже работает над вторым поколением портативной консоли Legion Go Ее анонс может состояться уже в 2024 году

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

TSMC запустит 6-нм полупроводниковый завод в Японии По имеющимся данным, Taiwan Semiconductor Manufacturing Company (TSMC) планирует к 2027 году начать производство 6-нанометровых полупроводников на своем втором заводе в Кумамото (Япония). Для поддержки этого предприятия японское правительство рассматривает возможность предос...

Инсайды: iPhone 16 Ultra получит три дополнительные камеры и новую кнопку на корпусе Apple только что выпустила серию iPhone 15, но в сети уже активно появляется информация о следующем поколении флагманов.

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Тестируем отечественную систему виртуализации: РЕД Виртуализация Привет, Хабр!Пришло время продолжить сагу об импортозамещении и российской виртуализации. И сегодня я буду разбирать по винтикам РЕД Виртуализацию. В опросе он занял почетное второе место, посмотрим, оправдает ли решение надежды проголосовавших за него читателей. Читать дале...

ASUS может представить в этом году второе поколение игровых консолей ROG Ally Точной информации о том, каким оно будет, пока нет.

До конца года ожидается появление новых MacBook Pro с панелями mini-LED второго поколения Про процессоры Apple M3 не сообщается

Nintendo Switch 2 может подорожать вместе с играми Цена на второе поколение Nintendo Switch может немного увеличиться

Web3-компании станут двигателем второго раунда регистрации New gTLD Сейчас ICANN активно готовится к старту второго раунда регистрации новых доменных зон. В связи с этим возникает вопрос, какова в них реальная потребность на данный момент?

AMD активно готовит Linux к GPU нового поколения Компания AMD продолжает развивать поддержку новейших технологий в Linux, на этот раз добавив код для GPU RDNA 3+

Таким будет сердце GeForce RTX 5090. GPU GB202 приписывают 24 576 ядер CUDA С первенцем Nvidia в рамках поколения Blackwell мы уже ознакомились. Это GPU для ускорителей для ИИ с чудовищными параметрами. Но поколение Blackwell также будет включать и игровые решения, и сегодня появились подробности о топовом GPU GB202, который послужит сердцем дл...

Intel ищет решение проблемы стабильности у процессоров 13-го и 14-го поколения Компания Intel активно расследует проблемы, связанные со стабильностью и неполадками у процессоров 13-го и 14-го поколения

ЛиАЗ тестирует городской автобус нового поколения Citymax 12 Ликинский автобусный завод (ЛиАЗ) готовится начать выпуск городского автобуса следующего поколения, о чем пишет «Российская газета». В данный момент на заводе осуществляется модернизация производства, происходит монтаж новой линии сварки каркаса кузова, заку...

CES 2024: показано второе поколение мониторов Alienware QD-OLED Технология дисплеев QD-OLED демонстрирует потрясающее качество изображения

TikTok тестирует платную подписку без рекламы – цена ... Популярное приложение TikTok начало тестировать новую функцию – платную подписку, которая устраняет рекламу из ленты.

В Петербурге начнут тестировать оплату по биометрии в метро На центральных станциях метрополитена Петербурга, таких как «Невский проспект», «Гостиный двор» и «Площадь Восстания», начнут внедрять технологию оплаты по биометрии, известную как Face Pay. Планируется, что новые турникеты будут установлены или модернизированы для тестирова...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Google начала тестировать Картах функцию, которая уже несколько лет есть в 2ГИС Google Карты могут стать еще более удобным: компания тестирует новую функцию, которая показывает вход и выход из зданий.

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Илон Маск пообещал выпустить Tesla Roadster второго поколения в следующем году Перенос запуска осуществляется уже третий раз.

Nintendo Switch 2 выйдет в первом квартале 2025 года Первоначально выход консоли Switch второго поколения планировался на конец текущего года

Snapdragon 8 Gen 4 может стоить дорого Ожидается, что Snapdragon 8 Gen 4 будет использовать собственные ядра Oryon и основываться на 3-нм технологии второго поколения от TSMC.

Samsung Electronics запустила опытное производство чипов по 3-нм технологии второго поколения Первое не позволило привлечь большого количества клиентов.

Как легко сделать подмену данных на вебе? Привет, Хабр!Хочу поделиться интересным приложением, которое значительно упрощает процесс веб-тестирования.В роли QA-инженера, активно тестирующего веб, я часто сталкиваюсь с необходимостью изменения запросов/ответов API, статус кода ответа и других параметров. Иногда возник...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Apple выпустит сразу четыре модели AR-шлема Vision Pro 2 Apple готовится представить второе поколение своего AR-шлема Vision Pro, разделив его на четыре различные модели.

Легендарный iPhone SE и iPad Pro 2 внесен в винтажный список ... Компания Apple объявила оригинальный iPhone SE 2016 года и 12,9-дюймовый iPad Pro второго поколения 2017 года "винтажными" продуктами – что изменится для этих устройств?

Владельцы японских машин активно пересаживаются на Tank 300 и Tank 500 Глава бренда Tank в России Александр Чеховский в интервью ресурсу Kolesa.ru рассказал, что большинство автомобилистов, покупающих автомобили Tank в России по trade-in (а таких примерно 80% от общего числа покупателей), сдают дилерам японские машины. На втором месте &nda...

DARPA публикует видео испытаний своего 12-тонного тяжелого гусеничного беспилотника нового поколения Армия США тестирует 12-тонный роботизированный гусеничный беспилотник, способный самостоятельно маневрировать в самых сложных условиях. Агентство DARPA опубликовало видео испытаний нового аппарата под названием RACER Heavy Platform.

Краштест HUAWEI Mate 60: прострел из пистолета, каток, автобус, падения Напомним, что не так давно мы дивились живучести устройств HUAWEI, когда пришли новости из Китая о том, что женщина сильно погнула Mate 50, но он остался в рабочем состоянии, хотя, казалось бы, не должен. Кроме того, недавно на примере Mate 60 Pro уже тестировали стекло Ku...

Шпаргалка для QA: как тестировать Flutter-приложения Привет! Меня зовут Юлия Андреева, я QA-специалист в AGIMA. Мы пилим и тестируем много Flutter-приложений. И недавно я заметила, что не все коллеги понимают специфику их тестирования. В этой статье расскажу про основные инструменты и подходы, которые мы используем. Сосредоточ...

У часов Google Pixel Watch 2 появились проблемы с зарядкой Второе поколение умных часов Pixel Watch было представлено в прошлом месяце, и управляются они операционной системой WearOS 4.

Apple Vision Pro 2 не выйдет в ближайшие 1.5 года Apple не планирует выпускать второе поколение своего шлема виртуальной реальности Vision Pro в ближайшие полтора года, сообщает издание Bloomberg.

Индустрия высокоскоростной памяти HBM может удвоить доходы к 2025 году Недостаток компонентов HBM создает возможности для малых производителей, однако ожидается, что крупные производители активно будут заниматься разработкой следующего поколения моделей

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

НАСА тестирует технологию следующего поколения марсианских вертолетов В то время, как марсианский вертолет Ingenuity продолжает добиваться впечатляющих успехов, НАСА уже тестирует технологии для аппаратов следующего поколения

Второе поколение игровой консоли Nintendo Switch покажут уже в марте Инсайдерский подкаст Nate the Hate сообщил, что, судя по серии заявлений компании Nintendo, презентация консоли Nintendo Switch 2 состоится весной, в марте.

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Анонсирован планшет Legion Y700 Super Control Edition с улучшенным экраном В июле 2023 года было представлено второе поколение ноутбука Lenovo Legion Y700, а теперь компания работает над обновленным изданием Legion Y700 Super Control Edition.

Nvidia тестирует видеокарты Blackwell мощностью до 600 Вт Ранее мы стали свидетелями того, как NVIDIA тестировала 900-ваттную версию Ada Lovelace AD102, которая так и не увидела свет, поэтому не обязательно в продажу поступит 600-ваттная видеокарта RTX 5090.

Узнал сам — расскажи другим: Мария Осина учит мир тестировать приложения на платформе iOS Талантливая тестировщица выпустила бесплатную книгу, которая стала настольным руководством профессионалов. В конце августа 2023 года в IT-сфере России случилось событие: вышла книга «Тестируем яблоко: смартфоны, планшеты и часы», в которой собрана самая ...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Apple теряет рынок смартфонов Китая Западные журналисты сообщают о том, что компания Apple активно теряет рынок Китая — там смартфон гиганта из Купертино продаются очень плохо, что негативно сказывается в том числе на стоимости акций производителя. Например, до недавнего времени компания Apple уверенно занимал...

Не стоит переживать, что гарнитура Apple Vision Pro быстро устареет. Второе поколение выйдет не раньше конца 2026 года Некоторые продукты Apple сменяют поколения каждый год, а порой и чаще, из-за чего пользователи, купившие новинку, быстро оказываются в ситуации, когда на рынке есть уже что-то новее и лучше. Но с гарнитурой Vision Pro такого не будет. Согласно свежим данным, второе поко...

Apple ускоряет разработку второго поколения устройства виртуальной реальности Более бюджетный вариант гарнитуры Apple Vision Pro может получить экран вполовину дешевле

Изменения BMW 4 серии после рестайлинга понравятся не всем В обновление второго поколения BMW 4 Series вошли новые фары, "причудливые" задние фонари, два больших "телевизора" и скошенное рулевое колесо. Неужели это тот фейслифтинг, которого так ждали поклонники марки?

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

Рассекречен кроссовер Geely Okavango L 2024, который официально приедет в Россию. У него двигатель от Geely Monjaro и 7 раздельных мест В Китае полностью рассекретили кроссовер Geely Okavango L 2024 – автомобиль, судя по всему, уже появился у местных дилеров, а в следующем году этот автомобиль появится и у официальных дилеров Geely в России. Okavango – это среднеразмерный семейный кроссовер...

Планшет iQOO Pad 2 на Dimensity 9300 всё ближе к релизу iQOO представит второе поколение планшета с внушительным экраном 13,1 дюйма

Состоялся глобальный выпуск электросамоката Xiaomi Electric Scooter 4 Lite Компания Xiaomi представила на глобальной арене второе поколение электросамоката Xiaomi Electric Scooter 4 Lite.

Представлена мгновенная камера Leica Sofort 2 с принтером Leica наконец выпустила второе поколение мгновенной камеры, которая получила имя Sofort 2.

MIT захотела заменить второго пилота искусственным интеллектом Лаборатория компьютерных наук и искусственного интеллекта MIT (CSAIL) разрабатывает второго пилота для самолетов, получившего название Air Guardian, который активно взаимодействует с пилотами-людьми для повышения безопасности полетов.

«КАМАЗ» тестирует полностью беспилотный грузовик «Атлант 49» Будущее из фантастических фильмов постепенно наступает. Сначала появились летающие автомобили, а теперь и беспилотные. Ранее в некоторых странах уже тестировали беспилотные такси и грузовики, а в России с этого года пока только грузовики, и то в присутствии испытателя. Но не...

Вы никогда не сократите Тime Тo Мarket, если будете тестировать все фичи на одном сервере Привет, это Максим Павлов из KTS. Мы создаём IT-продукты для бизнеса.Все твердят про важность Time To Market — времени от появлении идеи фичи до её релиза для пользователей. При этом почему-то тестируют все фичи на одном сервере. В статье рассказываю, как ускорить Time To Ma...

Представлен новый 3D-планшет ZTE nubia Pad 3D II Компания ZTE привезла на выставку MWC 2024 в Барселону второе поколение своего 3D-планшета ZTE nubia Pad 3D.

Apple выпустит новый AirTag с улучшенным чипом в 2025 году Второе поколение AirTag может быть оснащено новым чипом, возможно, чипом U2, который используется в iPhone 15-й серии, Apple Watch 9-й серии и Apple Watch Ultra 2.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

GeForce RTX 4060 активно набирает обороты, несмотря на критику. Опубликована свежая статистика Steam Компания Valve обновила статистику сервиса Steam. Теперь первая десятка самых популярных видеокарт включает шесть моделей GeForce xx6x.   фото: Gigabyte Первое место уверенно удерживает RTX 3060, набрав уже почти 7%, далее следуют RTX 2060 и GTX 1650. Однако стоит...

Новые Nissan Qashqai подешевели в России до 2,5 млн рублей Сегодня в России предлагается несколько десятков Nissan Qashqai второго и третьего поколений по цене от 2 560 000 рублей. Столько стоит версия LE+ 2023 года выпуска, которая оснащена 2,0-литровым 144-сильным мотором без турбонаддува, вариатором и передним приводом. Авто...

В России появилось второе поколение рамного внедорожника BAIC BJ40 — новинка оказалась дешевле оригинала, который собирают на «Автоторе» Новейший внедорожник BAIC BJ40 второго поколения недавно дебютировал на китайском рынке и уже появился в России. Автомобиль 2024 года выпуска выставили на продажу по цене 4 200 000 рублей. BAIC BJ40 первого поколения запустили в производство на калининградском заводе &l...

Хитовые Geely Monjaro и Geely Emgrand подешевели в России Если кроссовер BelGee X50 подорожал в России на 20 тыс. рублей, а полноприводный Atlas Pro прибавил почти 300 тыс. рублей из-за исключения из прайс-листа базовых версий, то другие автомобили Geely в России подешевели. Речь о самых популярных моделях — бюджетн...

Выпуск процессорного кулера Noctua NH-D15 второго поколения снова задерживается Обновленная дорожная карта Noctua теперь показывает, что появление долгожданного воздушного кулера перенесено на второй квартал 2024 года.

Valve выпустит Steam Deck 2 как минимум через два года из-за дефицита чипов На 16 ноября Valve анонсировала презентацию консоли Steam Deck OLED. Но это не полноценная новая версия устройства. Поэтому в плане компании начать работу над вторым поколением консоли.

Mui представит второе поколение деревянной панели для умного дома Компания Mui, известная своими продуктами для умного дома, на выставке CES 2024 представит второе поколение деревянной панели для умного дома Mui Board, которое должно поступить в продажу к концу текущего года.

В России стартовали продажи новых «неубиваемых» часов Apple Watch Ultra 2 Представители DNS сообщили о запуске продаж второго поколения умных часов Apple Watch Ultra в России.

Lenovo планирует представить второе поколение консоли Legion GO Менеджер категории Gaming компании Lenovo, Клиффорд Чонг, сообщил, что компания намерена анонсировать второе поколение Legion GO.

Стартовали продажи восстановленных часов Apple Watch Ultra второго поколения Умные часы Apple Watch Ultra второго поколения были представлены в сентябре прошлого года.

Qualcomm представила процессоры Snapdragon XR2 Gen 2 для ... Qualcomm анонсирует второе поколение процессоров для гарнитур виртуальной реальности и умных очков. На чипах Snapdragon работает уже более 80 похожих устройств.

Илон Маск сообщил о возрождении проекта Tesla Roadster - спортивном электромобиле второго поколения По словам миллиардера, слухи о том, что Roadster якобы будет разгоняться с 0 до 60 менее чем за секунду, - "наименее интересная" особенность в электрическом спорткаре.

Так выглядит новый Volkswagen Transporter T7, разработанный Ford. Живые фото машины В Европе тестируют Volkswagen Transporter T7 нового поколения — машина, «обернутая» в камуфляж, засветилась на дорогах общего пользования. В профиль такой Transporter здорово напоминает Ford Transit Custom, и в этом нет ничего удивительного: в соответс...

ASUS готовит к выходу игровую консоль ROG Ally 2 Точная дата и характеристики пока не сообщаются, но выход второго поколения подтвержден руководством компании

Google Pixel Watch 3 может получить Bluetooth-соединение UWB для разблокировки часов Оригинальные Pixel Watch и часы второго поколения уже могут быть разблокированы через Bluetooth-соединение со смартфоном

OnePlus Ace 3V снова лидер рейтинга AnTuTu Создатели популярного бенчмарка AnTuTu опубликовали очередной список самых производительных субфлагманских смартфонов. Как и месяц назад, лидером стал OnePlus Ace 3V на базе 4-нанометровой однокристальной системы Qualcomm Snapdragon 7+ Gen 3. Он набрал 1441870 баллов. Второе...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Это Haval H7, который выйдет в России. Первое изображение и подробности о новинке Haval раскрыл название своего нового кроссовера, который ожидается на российском рынке. Это второе поколение модели DaGou, известной в Китае с прошлого года, которая за пределами КНР будет именоваться H7. Хотя первое поколение DaGou уже продаётся на экспорт, включая Рос...

iQOO Neo10 Pro получит SoC Snapdragon 8 Gen 3 Китайский инсайдер Digital Chat Station поделился подробностями о смартфоне iQOO Neo10 Pro, который еще не был представлен официально. Утверждается, что аппарат оснастят топовой 4-нанометровой платформой Qualcomm Snapdragon 8 Gen 3, плоским дисплеем, созданным по технологии ...

Архитектура AMD RDNA 3.5 придёт, чтобы остаться надолго. Компания будет использовать её минимум до 2027 года Уже в ближайшие месяцы компания AMD представит первые мобильные процессоры с iGPU на основе обновлённой архитектуры RDNA 3.5. Согласно свежим данным, эта архитектура будет использоваться компанией ещё несколько лет.  Инсайдер Golden Pig Upgrade утверждает, что RDN...

Смартфон HTC U24 Pro получит SoC Snapdragon 7 Gen 3 В базе Google Play Console обнаружились сведения о смартфоне HTC U24 Pro, который еще не был представлен официально. Итак, подтверждено наличие 4-нанометровой однокристальной системы Qualcomm Snapdragon 7 Gen 3 с тактовой частотой до 2,63 ГГц и графическим ускорителем Adreno...

В Европе такого ещё не делают: Яндекс первым тестирует беспилотные авто вообще без водителя – на городских дорогах Команда Яндекса объявила о запуске третьего этапа тестирования фирменных беспилотных автомоблей. Как сообщила пресс-служба, Яндекс первым в Европе начал тестировать беспилотный транспорт без присутствия водителя в салоне. Испытания автомобилей без водителя проходят в И...

Citroen представила второе поколение кроссовера C3 Aircross Представлено второе поколение Citroen C3 Aircross, городского кроссовера длиной 4,39 метра, и увеличенной колёсной базой. Французы показали миру первые фотографии и видео новой модели.

Xiaomi назначила дату запуска своих новых умных очков Mijia Smart Audio Glasses Компания Xiaomi представила официальный постер второго поколения Mijia Smart Audio Glasses - новой пары "умных" очков

Илон Маск: Roadster разгоняется от 0 до 100 км/ч менее чем за секунду Среди поклонников электромобилей начался переполох. Элон Маск объявил в социальных сетях, что он ускоряет работу над запуском второго поколения Tesla Roadster, характеристики которой будут лучше, чем у болидов Формулы-1.

Это как если бы Nvidia заявила, что её инженеры уже активно работают над линейкой GeForce RTX 60. Intel завершила аппаратную разработку архитектуры Battlemage Компания Intel рассказала, что её команда по разработке графических архитектур уже полностью сосредоточена на работе над поколением видеокарт Celestial.  Напомним, это поколение выйдет после Battlemage, которое, в свою очередь, ожидается в этом году. Intel говорит...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

OPPO Find X7 возглавил рейтинг AnTuTu Команда бенчмарка AnTuTu опубликовала свежий рейтинг самых производительных смартфонов под управлением операционной системы Android. Неожиданно первое место занял OPPO Find X7 на 4-нанометровой однокристальной системе MediaTek Dimensity 9300. На втором месте расположились iQ...

Микрофон DJI Mic 2 умеет записывать 32-битный звук на расстоянии до 250 м Спустя более чем два года с момента выхода DJI Mic состоялся анонс второго поколения беспроводного микрофона китайской компании

Тестируем отечественную систему виртуализации: SpaceVM Привет, Хабр!Сегодня будем внезапно тестировать SpaceVM. Внимательный читатель заметит, что этой системы в списке лидеров не было. Как же она стала участником эксперимента? Причина проста — мне банально не удалось достать дистрибутив ПК «Звезда», поэтому я решил заменить ее ...

Смартфон Moto G64 5G получит 12 ГБ ОЗУ В базе Google Play Console обнаружились сведения о смартфоне Motorola Moto G64 5G, который еще не был представлен официально. Итак, аппарат получит экран с разрешением 2400:1080 пикселей, 6-нанометровую однокристальную систему MediaTek Dimensity 7020 с тактовой частотой до 2...

Смартфон HTC U24 засветился в сети В базе регулятора Bluetooth SIG появилось упоминание смартфона HTC U24, который еще не был представлен официально. Ведомство подтвердило наличие поддержки Bluetooth 5.3 благодаря чипу Qualcomm FastConnect 6700. Последний используется в 4-нанометровых однокристальных системах...

В России появился планшет TCL TAB 10 Gen2 — для работы, учебы и развлечений Бренд TCL расширил в России линейку планшетов TCL TAB. Модельный ряд пополнился вторым поколением планшета — TCL TAB 10 Gen2.

Red Magic 9 Pro+ возглавил бенчмарк AnTuTu Команда бенчмарка AnTuTu опубликовала новый рейтинг самых производительных флагманских Android-смартфонов. Первое место занял новенький Red Magic 9 Pro+, который набрал 2188631 балл. Он основан на топовой однокристальной системе Qualcomm Snapdragon 8 Gen 3. На втором месте р...

MediaTek расширяет линейку Wi-Fi 7 новыми чипсетами для различных устройств Чипсеты Filogic второго поколения обеспечивают скорость Wi-Fi 7, максимальную производительность и постоянную надежность и подходят к смартфонам, ПК, телеприставкам и прочим

iQOO Z9 Turbo получит 80-Вт зарядку В базе данных китайского регулятора 3C появилась информация о смартфоне iQOO Z9 Turbo, который еще не был представлен официально. Агенство подтвердило наличие поддержки 80-Вт проводной зарядки, модема для сотовых сетей пятого поколения и адаптеров питания V8073L0A1-CN и V807...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Тестируем отечественную виртуализацию: итоги и выводы Привет, Хабр!Вот и закончился мой цикл статей, посвященных российским решениям для виртуализации. В этом материале мы посмотрим, как показали себя участники эксперимента и подведем итоги.Я решил отказаться от классической рейтинговой системы — сегодня не будет однозначных по...

OPPO Find X7 Ultra не выйдет в Европе Компания Oppo объявила на выставке MWC 2024, что её новые смартфоны вернутся на европейский рынок после конфликта с Nokia. Китайский производитель заключил соответствующее соглашение с испанской компанией Telefonica. Что касается конкретных смартфонов, то представители компа...

Новый Nissan Armada будет намного лучше Land Cruiser 300. Так считают в самом Nissan Пока Toyota занимается запуском продаж нового Land Cruiser Prado 250 в США, Китае и Европе, Nissan готовит свой флагманский внедорожник Armada для рынков США и Ближнего Востока. И в компании уверены в своем новом автомобиле. Nissan Armada 2025 сейчас активно тестируют ...

Смартфон iQOO Z9 получит батарею на 6000 мАч Авторитетный информатор Digital Chat Station поделился подробностями о смартфоне iQOO Z9, релиз которого ожидается уже в следующем месяце. Итак, устройству приписывают наличие 4-нанометровой однокристальной системы Qualcomm Snapdragon 7 Gen 3 с тактовой частотой до 2,63 ГГц ...

Китайский сверхсекретный бомбардировщик-невидимка H-20 активно обсуждается в США В американских СМИ стало появляться в последнее время все больше комментариев на разрабатываемый КНР бомбардировщик шестого поколения Xi'an H-20, являющийся ответом Пекина на американские бомбардировщики-невидимки B-2 и B-21.

Смартфон Oppo K12 получит 12 ГБ ОЗУ Известный инсайдер Digital Chat Station поделился новыми подробностями о смартфоне Oppo K12, который еще не был представлен официально. Итак, аппарат получит 6,7-дюймовый экран, производительную 4-нанометровую платформу Qualcomm Snapdragon 7 Gen 3, 12 ГБ оперативной и 512 ГБ...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Samsung Galaxy F15 показали на рендере Профильное издание 91mobiles опубликовало первое изображение смартфона Samsung Galaxy F15 5G, который еще не был представлен официально. Аппарат запечатлен в черной, фиолетовой и мятной расцветках. По данным источника, устройство получит аккумуляторную батарею ёмкостью 600...

Honor протестировала свой новый смартфон на морозостойкость... запустив его в открытый космос В январе этого года компания Honor представила свою долгожданную серию Magic 6, в числе которой оказался технологический шедевр – смартфон с батареей второго поколения Qinghai Lake.

TECNO POVA 6 Pro 5G засветился в Google Play Supported В базе Google Play Supported появилось упоминание смартфона TECNO POVA 6 Pro 5G, официальная презентация которого состоится в рамках выставки MWC 2024 в конце февраля. Устройство фигурирует под модельными обозначением TECNO-LI9. Ранее стало известно, что линейка TECNO POVA 6...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

В коде iOS 17.1 нашли упоминания Apple Pencil с USB-C Компания Apple активно работает над первым крупным обновлением для iOS 17. Недавно разработчикам стала доступна уже вторая бета-версия iOS 17.1, в коде которой было найдено несколько намеков на будущие устройства Apple. В частности, на обновленный Apple Pencil.Читать дальше....

OnLeaks раскрыл дизайн Samsung Galaxy S24 Ultra Авторитетный информатор OnLeaks опубликовал качественные рендеры флагманского смартфона Samsung Galaxy S24 Ultra, который представят в начале 2024 года. Судя по изображениям, внешне новое поколение почти не будет отличаться от Galaxy S23 Ultra. Из новшеств отметим титановую ...

Телескоп Vaonis Vespera II сам снимает космические красоты Французская компания Vaonis представила второе поколение умного телескопа Vespera. Утверждается, что он позволяет получать беспрецедентные изображения космоса в формате 4K, а всё управление реализовано посредством мобильного приложения

Oppo Reno11 распродан в первый день продаж Компания Oppo похвасталась успехами линейки смартфонов Reno11, которая вчера появилась в продаже. Утверждается, что за первый день была раскуплена вся стартовая партия. По данным производителя, продажи нового поколения оказались на 288% выше, чем у линейки Reno10 за аналогич...

AMD концентрируется на серверных и мобильных процессорах? Компания пообещала в первую очередь именно их во второй половине года Комментируя результаты прошедшего финансового квартала, глава AMD Лиза Су (Lisa Su) рассказала о планах компании на текущий год.  В частности, нас точно ждут новые процессоры на архитектуре Zen 5, причём сразу в нескольких сегментах. Во втором полугодии AMD выпуст...

Redmi 13C 5G будет медленнее Redmi 12C 5G Сетевые источники поделились информацией о бюджетном смартфоне Redmi 13C 5G, который еще не был представлен официально. Утверждается, что аппарат будет основан на 6-нанометровой однокристальной системе MediaTek Dimensity 6100+. Этот процессор демонстрирует производитель в 42...

Samsung Galaxy S24 FE получит 12 ГБ ОЗУ В сети появились подробности о смартфоне Galaxy S23 FE, релиз которого может состояться во второй половине этого года. Итак, устройству приписывают наличие 6,1-дюймового AMOLED-экрана, 12 ГБ оперативной памяти LPDDR5X, 128 ГБ флеш-памяти UFS 3.1 и 256 ГБ памяти UFS 4.0, бат...

Asus пообещала выпустить карманную консоль ROG Ally 2 уже в 2024 году Asus намерена в скором времени порадовать поклонников выпуском второго поколения своей карманной игровой консоли ROG Ally.

Apple выпустила лимитированную версию AirPods Pro стилизованную под китайский Новый год В честь предстоящего китайского Нового года, который отмечается 10 февраля, Apple выпустила ограниченную серию второго поколения AirPods Pro с гравировкой «Год Дракона».

Новейшая Skoda Superb 2024 не получила легендарную функцию, которая была во втором поколении — TwinDoor Сегодня ночью была представлена новейшая Skoda Superb 2024, которая будет доступна в кузовах лифтбэк и универсал. Поклонники марки помнят, что второе поколение Superb получило необычную систему Twindoor, которая позволяла открывать отдельно багажник или всю заднюю панел...

Redmi 13 получит 33-Вт зарядку В сети появились новые подробности о смартфоне Redmi 13, который еще не был представлен официально. Устройство с кодовым наименованием Breeze оснастят 4-нанометровой однокристальной системой Qualcomm Snapdragon 4 Gen 2 с тактовой частотой до 2,2 ГГц, батареей ёмкостью 5000 м...

Часы OnePlus Watch 2 порадуют автономностью Компания OnePlus опубликовала несколько тизеров умных часов OnePlus Watch 2, официальный релиз которых состоится уже 26 февраля. Производитель подтвердил защиту в соответствии с военным стандартом MIL-STD и время автономной работы до 100 часов. Ранее сообщалось, что часы пол...

OnePlus 12 мгновенно стал бестселлером Компания OnePlus похвасталась успехами флагманского смартфона OnePlus 12, который сегодня появился в китайской продаже. По данным производителя, уже в первые пять минут новинка стала вторым самым продаваемым устройством на крупнейших платформах Tmall и JD.com. Напомним,...

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Xiaomi Civi 4 Pro пользуется популярностью Смартфон Xiaomi Civi 4 Pro буквально пару дней назад появился в китайской продаже, но производитель уже успел отчитаться об успехах новинки. Утверждается, что продажи первой партии Xiaomi Civi 4 Pro оказались в два раза выше по сравнению с предыдущем поколением (Civi 3). В К...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Tecno Camon 30 Premier 5G получил SoC Dimensity 8200 Ultimate Компания TECNO пополнила ассортимент смартфонов моделью CAMON 30 Premier 5G, которая основана на производительной 4-нанометровой платформе MediaTek Dimensity 8200 Ultimate с тактовой частотой до 3,1 ГГц. Новинка также характеризуется тройной основной камерой с модулями разре...

Представлен смартфон Tecno Camon 30 Pro Компания Tecno представила в рамках выставки MWC 2024 смартфон Camon 30 Pro, который работает под управлением операционной системы Android 14. Новинка характеризуется 6,78-дюймовым AMOLED-дисплеем с разрешением 2436:1080 пикселей и статичной частотой обновления 144 Гц, 4-нан...

iPhone 16 Pro смогут работать в сетях 5G Advanced Несмотря на то, что iPhone 15 вышли совсем недавно, в сети уже активно обсуждают различные особенности и фишки следующего поколения яблочных смартфонов. По словам аналитика Джеффа Пу, iPhone 16 Pro будут оснащены новейшим сотовым модемом Qualcomm X75.Читать дальше... Prosto...

Realme GT Neo 6 SE получит экран LTPO Авторитетный информатор Digital Chat Station поделился подробностями о смартфоне Realme GT Neo 6 SE, который еще не был представлен официально. Итак, аппарат оснастят OLED-дисплеем с разрешением 1,5K с технологией LTPO для динамической смены частоты обновления. Ранее сообщал...

Intel «убила» одну из разработок поглощенной Habana Labs ИИ-оборудование Greco компании Habana Labs, анонсированное в прошлом году вместе с Gaudi2, находится в состоянии неопределенности, поскольку упоминания о Greco удаляются из документации, пишет Phoronix. Greco должен был стать преемником процессора Goya AI, ориентированным на...

Google Pixel 8 Pro протестирован в бенчмарке В базе данных популярного бенчмарка GeekBench обнаружились результаты тестирования флагманского смартфона Google Pixel 8 Pro, официальный релиз которого состоится уже завтра, 4 октября. Итак, бенчмарк подтвердил наличие 4-нанометровой 9-ядерной платформы Google Tensor G3 с м...

Смартфоны Samsung Galaxy S25 получат новый дизайн Авторитетный информатор Ice Universe поделился подробностями о флагманских смартфонах линейки Samsung Galaxy S25, хотя до релиза Galaxy S24 остается еще больше месяца. Утверждается, что серия Galaxy S25 наконец-то получит серьезные изменения в дизайне, ведь последние несколь...

Samsung Galaxy S24 показали на рендерах Авторитетный информатор Onleaks поделился качественными изображениями флагманского смартфона Samsung Galaxy S24, официальный релиз которого ожидается в начале следующего года. Судя по рендерам, новинка будет отличаться от предыдущего поколения плоской рамкой корпуса вместо з...

OnePlus 12 полностью рассекречен Известный своей осведомленностью инсайдер Digital Chat Station раскрыл основные параметры флагманского смартфона OnePlus 12, официальный релиз которого ожидается до конца этого года. Итак, устройству приписывают наличие дисплея нового поколения от компании BOE с разреше...

Google улучшила ПО своих смарт-часов Google представила обновления для ПО смарт-часов первого поколения Pixel Watch, включая функции мониторинга здоровья, ранее доступные во втором поколении. Теперь старые устройства могут автоматически определять, находитесь ли вы в процессе тренировки, начинать отслеживание а...

Новый 11-дюймовый планшет iPad Air оценен в $600 Компания Apple представила новое поколение планшетов iPad Air, которое состоит из версий с диагональю экрана 11 и 13 дюймов. Новинки характеризуются панелями Liquid Retina, фирменным 5-нанометровым процессором Apple M2, который на 50% производительнее в сравнении с M1, тонки...

Бывшая Facebook* решила бросить вызов Nvidia в производстве ИИ-ускорителей Meta* ставит перед собой амбициозные цели, планируя в этом году установить в своих дата-центрах новый, собственный ИИ-ускоритель. Этот чип второго поколения под кодовым названием «Artemis», призванный уменьшить зависимость от дорогостоящих графических процессоров Nvidia и ко...

Kodein DI для Android. KMP и Compose Быть в авангарде в разработке — жизненная необходимость. Поэтому многие проекты уже переходят на Jetpack Compose, а самые смелые и продвинутые даже выпускают приложения на KMP. Мы в проекте Дринкит тоже активно переходим на Jetpack Compose (с KMP пока не сделали подход).Ну и...

Планшет Samsung Galaxy Tab S9 FE получил защиту от воды Компания Samsung представила планшеты Galaxy Tab S9 Fan Edition и Galaxy Tab S9 Fan Edition Plus, которые оценены в Европе от 520 и от 700 евро соответственно. Варианты с модемом для сотовых сетей пятого поколения обойдутся на 100 евро дороже. Базовую модель оснастили ...

Redmi K80 Pro получит продвинутый аккумулятор Авторитетный информатор Digital Chat Station сообщает, что компания Xiaomi начала тестирование некоего флагманского смартфона Redmi. Речь идет о Redmi K70 Ultra или, источник склоняется к этому варианту, о Redmi K80 Pro. Устройство получит основную камеру с главным модулем н...

Samsung уже тестирует One UI 6.1 для Galaxy S21, Galaxy S21 FE, Galaxy S22, Galaxy A54, Galaxy A34 и ряда других моделей Первыми смартфонами Samsung под управлением Android 14 с новейшей версией интерфейса One UI – One UI 6.1 – станут Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra, ну а следом One UI 6.1 должен выйти для других моделей компании. И уже очерчен их примерный пере...

Смартфоны Moto G24 Power и Moto G34 показали на рендерах Сетевые источники опубликовали изображения смартфонов Motorola Moto G24 Power и Moto G34, которые еще не были представлены официально. Судя по рендерам, новинки получат привычный дизайн с вертикально ориентированным блоком тыльной камеры и врезанной селфи-камерой. Сообщается...

Флагман HONOR Magic6 Pro с AI-камерой и морозоустойчивой батареей доступен для предзаказа с выгодой до 50 000 рублей Новинка оснащена тройной камерой HONOR Falcon, ярким экраном с защитой HONOR NanoCrystal Shield, вторым поколением устойчивой к морозу кремний-углеродной батареи и флагманским процессором Snapdragon® 8 Gen 3.

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Это совершенно новый Skoda Kodiaq. Опубликованы качественные изображения перед анонсом Официальная премьера нового Skoda Kodiaq состоится уже на этой неделе, а пока что издание Колеса.ру создало рендеры новинки, используя официальные изображения, ранее опубликованные Skoda. Kodiaq 2024 года не станет радикально новым, если сравнивать с текущей моделью. Вм...

Google приготовилась к релизу часов Pixel Watch 3 в нескольких размерах По сообщениям, Google планирует выпустить часы Pixel Watch 3 в двух размерах в этом году. Как сообщается, компания прислушивается к отзывам пользователей и отвечает на главную претензию к дизайну первого и второго поколений носимых устройств: маленький размер. Новые Pixel Wa...

Когда выйдет AirTag 2 и каким он будет. Здесь все слухи про новую смарт-метку Apple Здесь все слухи про AirTag 2 Одним из самых незаметных, но в то же время универсальных гаджетов от Apple на сегодняшний день объективно является AirTag. Низкий спрос на устройство вкупе с его долговечностью позволяют ему продаваться по той же цене, что и в момент выхода R...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Представлен смартфон Infinix Note 40 Pro 5G Компания Infinix пополнила ассортимент смартфонов с поддержкой сотовых сетей пятого поколения моделями Note 40 Pro 5G и Note 40 Pro+ 5G, который появятся в продаже 19 марта по цене в 285 и 310 долларов соответственно. Первую оснастили 6,67-дюймовым изогнутым экраном AMOLED с...

Смартфон OnePlus Nord N30 SE 5G получил всего 4 ГБ ОЗУ Компания OnePlus пополнила ассортимент недорогих смартфонов моделью Nord N30 SE 5G, которая предназначена для глобального рынка. Новинку оснастили 6,72-дюймовым IPS-дисплеем c разрешением Full HD+, 4-нанометровой платформой MediaTek Dimensity 6020 с тактовой частотой до 2,2 ...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Из-за плохих продаж Porsche отказалась выпускать новое поколение Panamera Sport Turismo Wagon Porsche исключает вариант универсала Sport Turismo из модельного ряда Panamera, возвращаясь к линейке только седанов. Те, кто надеялся на выпуск универсала Porsche Panamera 2024, будут разочарованы, поскольку слабые продажи существующей модели не оправдали разработку пр...

Новейшие iPhone 15 невзлюбили: какими устройствами Apple больше всего довольны пользователи Команда популярного бенчмарка AnTuTu опубликовала свежий рейтинг мобильных устройств Apple на основе операционной системы iOS, которые получили самые высокие оценки удовлетворённости пользователей. По итогам октября 2023 года можно отметить, что новейшие смартфоны iPhon...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Названы самые продаваемые автомобили представительского класса. Рейтинг по данным из 160 стран мира Мировой рейтинг самых продаваемых автомобилей представительского класса в 2023 году возглавил третий год подряд BMW 5 Series с продажами 263 993 машин, что на -13,6% меньше, чем годом ранее. Рейтинг был составлен Focus2Move на основании данных о продажах в 160 странах м...

YouTube тестирует загадочную кнопку, которая запускает воспроизведение случайных видеороликов Команда видеоплатформы YouTube начала тестировать в своём приложении нововведение, позволяющую легко получать доступ к произвольному контенту.  Иллюстрация: Shubham Dhage / Unsplash Кнопка Play (Воспроизвести) позволяет запустить воспроизведение случайных  ко...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Смартфон iQOO 12 засветился на фото В сети появилась первая фотография флагманского смартфона iQOO 12, презентация которого ожидается в следующем месяце вместе со старшей моделью iQOO 12 Pro. Отметим крупный блок тыльной камеры, выступающей за пределы корпуса, металлическую рамку и белую расцветку. По пр...

Huawei уже тестирует свою систему спутникового интернета Довольно известная на весь мир компания Huawei является одной, кто активно тестирует спутниковую интернет-связь на низкой околоземной орбите, создавая собственного конкурента спутниковой системе связи Starlink от SpaceX. Нужно понимать, что на текущий момент Starlink — крупн...

Urbanista представила обновленные наушники на солнечных батареях Urbanista анонсировала обновление своих аудиоустройств. Второе поколение полноразмерных наушников Los Angeles и вкладышей Phoenix получили значительные улучшения: модернизированные внутренние компоненты, адаптивное шумоподавление и дизайн, при этом сохранив свою способность ...

"Покемон с оружием", Persona 3 Reloaded и многие другие игры, которые скоро появится на Game Pass Уже объявлена линейка игр на вторую половину января, а вторая волна игр появится в начале февраля.

RedMagic 9 Pro занял первое место в тесте батареи Xiaobai, OnePlus 12 на втором OnePlus 12 занял второе место благодаря своему дисплею разрешением 2K+120 Гц и емкому аккумулятору на 5400 мА*ч.

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Встречи с командой PVS-Studio, митапы, сотрудничество Команда PVS-Studio в целом и я в частности активно участвуем в различных конференциях, подкастах, митапах и других мероприятиях. Нового в этом нет, но есть пара причин сделать маленькую заметку на эту тему. Первая причина — предложить тем, кто интересуется нашим блогом на Х...

Один из самых популярных автомобилей в Китае переходит в новое поколение: представлен Changan CS75 Plus 2024 Changan CS75 Plus нового поколения был представлен при помощи публикации материалов китайского Минпромторга. Это уже четвертое поколение данной модели. Changan CS75 Plus – один из самых популярных автомобилей на рынке Китая. В прошлом году он попал в топ-10 самых ...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Стартовало производство совершенно новой Skoda Octavia — с новыми светодиодными матричными фарами второго поколения и интеграцией ChatGPT Официальная премьера рестайлинговой Skoda Octavia состоялась в середине февраля, а сейчас компания сообщила о запуске автомобиля в серийное производство. Изображение: Skoda Выпускают автомобиль на заводе в Млада-Болеславе с четырьмя бензиновыми и двумя дизельными двига...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Экономия до 6 млрд евро: Mercedes отказалась от создания новой платформы роскошных машин из-за плохих продаж EQE и EQS Mercedes-Benz приостановила разработку платформы для роскошных электромобилей из-за плохих продаж EQE и EQS, о чем пишет IT Home со ссылкой на несколько источников. Первоначально платформу планировалось запустить в 2028 году, и она должна была использоваться для новых м...

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Тестируем российское «железо». Обзор сервера INFERIT RS208 Продолжаем распаковывать и тестировать серверы от российских вендоров. Сегодня на столе в инфраструктурной лаборатории К2Тех INFERIT RS208. Если судить по спецификациям, то это рабочая лошадка — сервер, предназначенный для классических задач вроде размещения баз данных и фай...

Новая социальная сеть Cheelee позволит зрителю получать деньги за просмотры За просмотр ленты теперь можно получать деньги благодаря новой социальной сети Cheelee. Фактически постоянный скроллинг ленты позволяет активно участвовать в бизнес-процессе. В пределе рекламодатели и бренды будут обращаться к такому блогеру нового поколения с интересными пр...

Заменитель Toyota Alphard официально едет в Россию вместе с двумя другими новинками от GAC Китайская компания GAC Motor, известная в России благодаря среднеразмерному кроссоверу GS5 и полноразмерному кроссоверу GS8 второго поколения, готовится значительно расширить свой модельный ряд. Планы на 2024 год включают в себя выпуск двух новых кроссоверов и минивэна....

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

Samsung запустила промышленное производство памяти V-NAND 9-го поколения Новое поколение Samsung V-NAND станет на треть быстрее

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Европейцы полюбили внедорожники и кроссоверы: в прошлом году их продажи составили более 6,6 млн единиц, заняв более половины рынка Европейские покупатели, когда-то активно критиковавшие, любовь американцев к внедорожникам и кроссоверам всех мыслимых типов и форм, теперь сами предпочитают эти машины традиционным хетчбэкам, седанам, универсалам и минивэнам. Как мы уже сообщали, в прошлом году 51% про...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

В следующем поколении Apple Watch не будут совместимы со старыми ремешками Поколения Apple Watch скорее всего представят 7 сентября 2024 года.

В России подорожали все автомобили Geely. Сколько теперь стоят популярные Monjaro, Emgrand и Coolray? Как сообщают «Автоновости дня», в России подорожали абсолютно все автомобили Geely. И даже белорусский BelGee X50, который являет собой Geely Coolray первого поколения. Geely Monjaro. Фото Geely Цены повысились не сильно – на 0,3-0,7% в зависимости от...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Представлены процессоры Intel 14-го поколения Брендирование, вопреки слухам, не изменилось, они по-прежнему называются Core i, цены в сравнении с прошлогодним поколением тоже не поменялись, а производительность традиционно выросла.

Apple может отложить релиз Apple Watch Ultra 3 до 2025 года Есть мнение, что Apple Watch Ultra второго поколения продаются не слишком хорошо. Пользователей расстроило небольшое количество нововведений. По словам известного аналитика Минг-Чи Куо, Apple может учесть негативный опыт и отложить анонс новых флагманских умных часов до 2025...

АвтоВАЗ тестирует Chery Tiggo 7 Pro, сборку которого наладили в Санкт-Петербурге Старт продаж в России автомобилей XCITE X-Cross 7 (Chery Tiggo 7 Pro) откладывается, но это не значит, что работы по проекту стоят на месте. Так, машины тестирует сам АвтоВАЗ, правда, это не XCITE, а именно оригинальные Chery — с оригинальными логотипами на кузове...

Qualcomm уже проектирует Snapdragon X Elite Gen 2 Компания Qualcomm и её партнёры из сегмента ноутбуков активно работают над тем, чтобы мобильный процессор Snapdragon X Elite с довольно приличной производительностью появился в портативных компьютерах уже во второй половине 2024 года. Кроме того, уже ходят слухи о том, что в...

Восхождение Monjaro. Geely Monjaro уже в топ-3 самых поуплярных кроссоверов и внедорожников в России Появился рейтинг самых популярных кроссоверов и внедорожников в России в марте 2024 года. И тут есть одно важное новшество: недешевый Geely Monjaro пробился в топ-3! Раньше в топ-3 стабильно входили Lada Travel и Lada Legend, но сейчас Monjaro сместил «легендарную...

SK hynix форсирует разработку перспективной памяти HBM 7-го поколения Разработчики высокоскоростной памяти HBM не стоят на месте и уже планируют выпуск очередных поколений HBM.

В процессорах Apple поколений M1, M2 и M3 обнаружена серьёзная уязвимость, которую не получится оперативно устранить Если вообще получится. Но зато её точно исправят в поколении M4.

Исследование: микробы хранят воспоминания и передают их из поколения в поколение Оказалось, что безмозглые (в прямом смысле) бактерии, в частности, обычная кишечная палочка, обладают памятью, которая определяет их поведение, и, заметьте, она передается по наследству.

Яндекс анонсировал тестирование Алисы следующего поколения Яндекс анонсировал активную работу над новым поколением своего голосового помощника Алиса.

Приложение Intel APO получило поддержку 12 новых игр и может работать с ЦП Core от 12-го поколения Но результаты включения Intel APO на процессорах предыдущих поколений непредсказуемы.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Neuralink нашла второго добровольца на вживление нейрочипа: операция пройдёт летом Газета The Wall Street Journal со ссылкой на людей, знакомых с ситуацией, сообщила, что компания Neuralink Илона Маска получила разрешение на имплантацию нейроинтерфейса второму добровольцу.

Defense News: компании Lockheed Martin и Boeing будут биться за проект истребителя 6-поколения NGAD В 2024 году ВВС США планируют заключить контракт на проектирование боевого самолёта шестого поколения

Haval выпустит свой Bugatti Veyron Бренд Haval компании Great Wall Motor (GWM) в следующем году выпустит новую модель внедорожника под названием Veyron. Внедорожник будет позиционироваться выше, чем недавно выпущенный Haval Raptor, и конкурировать с Aito M7, BYD Tang DM и другими моделями в сегменте 27 0...

В Китае проводят ЗБТ MMORPG Jade Dynasty 2 — по качеству игра даст фору WoW и Tarisland Китайские геймеры тестируют MMORPG Jade Dynasty 2. Закрытый бета-тест проходит с 23 апреля по 6 мая. Игроки могут выбрать пол своего аватара и выбрать 1 из 4 классов. Разработчики потрудились над кат-сценами, которые выглядят порой как видеовставки для World of Warcraft или ...

Samsung Galaxy S25 получит Snapdragon 8 Gen 4, а Galaxy S26 – Snapdragon 8 Gen 5. Qualcomm продлила многолетнее соглашение с Samsung на поставку SoC Snapdragon для флагманов Несмотря на то, что Samsung вернула свою однокристальную SoC Exynos в текущем поколении флагманских смартфонов (Exynos 2400 применяется в Galaxy S24 и Galaxy S24 Plus для некоторых регионов), компания не собирается отказываться от американских однокристальных систем для...

24 ядра, частота 6,2 ГГц, потребление 410 Вт и температура свыше 100 градусов Цельсия. Характеристики топового Core i9-14900KS В Сети появились характеристики будущего топового процессора Intel семейства Raptor Lake-S. Core i9-14900KS сможет работать на частоте до 6,2 ГГц – на 200 МГц больше, чем у Core i9-13900KS. Но топовый процессор потребляем много и прилично греется. В составе Core ...

Обзор электронной книги ONYX BOOX Kant 2: Привычный или необычный формат для читалки? Новый тренд в эволюции электронных букридеров. И если к первому поколению ONYX BOOX Kant я присматривался, то вторую версию взял без раздумий. Особенностью модели является форм-фактор смартфона, что не типично для E-Ink ридеров, но делает использование читалки удобным наряду...

В Малайзии завершилось строительство второго по высоте небоскреба в мире Merdeka 118 Верховный правитель Малайзии Абдулла II провел в Куала-Лумпуре церемонию открытия небоскреба Merdeka118. Он стал вторым самым высоким зданием в мире после «Бурдж-Халифы» в Дубае.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Выпуск AirTag 2 снова перенесен, теперь на 2025 год В прошлом году авторитетный аналитик Минг-Чи Куо утверждал, что Apple будет готова представить AirTag второго поколения к концу 2024 года. Однако в октябре 2023 года Куо сдвинул свой первоначальный прогноз. По его словам AirTag 2 может появиться только в 2025 году. Сегодня т...

Новое поколение видеокарт Intel может выйти в ноябре текущего года Однако, есть вероятность, что компания вновь перенесёт запуск продаж, как это было с первым поколением

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

Apple перенесла дату анонса AirTag 2 Ранее известный аналитик Минг-Чи Куо утверждал, что массовое производство AirTag второго поколения начнется во второй половине следующего года. Это означало, что Apple могла представить свой обновленный трекер в конце 2024 или начале 2025 года. Однако теперь Куо скорректиров...

Asus протестировала китайский 8-ядерный процессор Zhaoxin KX-7000, и он даже обошел Core i5-7500 Топ-менеджер китайского офиса Asus Тони Ю (Tony Yu) протестировал свежий китайский процессор Zhaoxin KX-7000 и поделился своими наблюдениями на платформе Bilibili. Следует сказать, что Zhaoxin KX-7000 — это один из самых свежих и передовых китайских процессоров дл...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Пять моделей новых Haval, Wey и Tank отправились в испытательный пробег по России Компания Haval в данный момент активно тестирует в российских реалиях сразу пять моделей, о чем сообщает Telegram-канал «Автопоток». Haval в настоящее время проводит испытательный пробег пяти новых моделей, которые планирует начать продавать в РФ в 2024 году...

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Всё ближе к YouTube: в TikTok тестируют 15-минутные ролики среди избранных пользователей Команда популярного сервиса коротких видеороликов TikTok начала тестировать среди избранных пользователей нововведение, которое приближает его к званию «конкурента YouTube». Как объявили в компании, в неокторых регионах появилась опция загрузки видеороликов ...

Это очень неожиданно, но первым после Google Pixel новую Android 14 получил смартфон Tecno Финальная версия Android 14 вышла вчера и изначально, как обычно, стала доступна для смартфонов Pixel. Но удивительно то, какой смартфон стал вторым после аппаратов Google, получившим новую ОС.  Если ранее это нередко были модели OnePlus, то в этом году лидером ст...

Android Headlines: Nothing Phone (3) может дебютировать во втором квартале 2024 года Запуск флагмана Phone (3) от американской компании Nothing ожидается в конце второго квартала 2024 года.

Пентагон рассматривает возможность передислоцирования второго авианосца в Средиземном море Пентагон начал изучать необходимость во втором авианосце в Средиземном море

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Недорогой Honor X50 GT оказался на втором месте по плавности работы среди Android-смартфонов В мире Android-смартфонов произошло нечто удивительное: недорогой Honor X50 GT занял второе место по плавности работы, обойдя множество флагманских моделей.

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Пассажиры начали тестировать виртуальную «Тройку» на Android-смартфонах В Москве стартовало тестирование виртуальной карты «Тройка» с участием пассажиров. Как рассказал заместитель мэра столицы по вопросам транспорта Максим Ликсутов, 100 новых участников тестирования уже активно пользуются сервисом в метро, на Московском централ...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Запуск в Палау второго этапа пилотной программы CBDC на базе XRPL Палау приступила ко второму этапу своей пилотной программы цифровой валюты центрального банка (CBDC) на базе XRPL после успешного завершения этапа 1

Пять причин, почему новое поколение консолей Xbox провалилось Всего за 3 года со старта последнего поколения консолей разрыв между конкурентами удвоился. 47 млн шт. проданных консолей против 22 млн. шт. Фиаско у которого есть веские причины

Видеокарты Radeon в ноутбуках никому не интересны? На CES 2024 не было ни единой такой новой модели Текущее поколение видеокарт AMD не может соперничать с GeForce RTX 40 по разнообразию, причём в мобильном сегменте ситуация хуже, чем в настольном. И как оказалось, на выставке CES 2024 не было показано ни одного ноутбука с Radeon RX 7000M.  Более того, модели, ко...

В Wildberries тестируют перенос карточек между личными кабинетами продавцов Команда крупнейшей российской торговой онлайн-площадки Wildberries начала тестировать новый инструмент для продавцов – перенос карточек товаров между личными кабинетами.  Сгенерировано нейросетью Dall-E В период тестирования перенос одной карточки будет стои...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

В Китае представлен «санкционно устойчивый» ноутбук Qingyun L540 – на базе 5-нанометрового процессора Huawei Kirin 9006C Huawei вывела на домашний рынок новый ноутбук – Qingyun L540. Несмотря на название (обычно «пятеркой» обозначаются 15-дюймовые модели) устройство наделено 14-дюймовым экраном. При этом панель IPS вполне современная – с разрешением 2160 х 1440 пик...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Nvidia не собирается сдаваться по давлением санкций США. Компания тестирует сразу два новых ускорителя для ИИ, созданных для китайского рынка Компания Nvidia не собирается терять китайский рынок, несмотря на санкции США. Как сообщил глава компании, сейчас она тестирует сразу два новых чипа для ускорителей для ИИ.  Эти новые продукты создаются именно для китайского рынка в рамках актуальных правил США. П...

Пентагон начал изучать необходимость во втором авианосце в Средиземном море Пентагон продолжает оценку вариантов развертывания американских сил в Средиземном море, сообщил представитель ведомства на фоне вопросов о возможности отправки в регион второго авианосца. Его слова приводит РИА Новости.

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Представлен планшет Huawei MatePad Air PaperMate Edition Компания Huawei представила планшет MatePad Air PaperMate Edition, который обойдется в Европе в 650 евро. Новинку оснастили 11,5-дюймовым дисплеем с разрешением 2800:1840 пикселей, частотой обновления 144 Гц, сертификатами TÜV Rheinland и SGS Premium Performance, а также мат...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

DDR6 может предложить скорость до 21 Гбит/с. JEDEC раскрыла подробности о новом стандарте памяти Оперативная память DDR5 пока ещё даже не стала однозначным стандартом, так как те же Core 14-го поколения поддерживают и DDR4, но организация JEDEC уже поделилась подробностями о DDR6.  Итак, память LPDDR6 предложит скорости от 10 667 до 14 400 Мбит/с и пропускную...

Apple начала продавать уценённые AirPods Pro 2 Компания Apple впервые начала продавать восстановленные наушники AirPods Pro второго поколения в некоторых европейских странах, включая Великобританию, Германию, Швейцарию и Польшу, Нидерланды, Ирландию, Бельгию и Австрию.  Важно отметить, что речь идёт о AirPods ...

Процессоры Intle 14-го поколения без индекса К уже продают в Китае Процессоры 14-го поколения Intel, только без индекса К, то есть без поддержки разгона по множителю, теоретически не должны быть доступны до начала 2024 года, потому что компания их официально ещё даже не анонсировала, не говоря уже про запуск полноценных продаж. Но сегодня п...

Тестируем инфраструктуру и приложение на устойчивость к DDoS-атакам Сегодня расскажу, как можно протестировать свою ИТ-инфраструктуру или приложение на предмет устойчивости к DDoS-атакам на уровнях L4 и L7. Сразу скажу, что это нельзя назвать серьезным нагрузочным тестированием, это простой и бесплатный метод теста. У него две задачи: 1. Узн...

Рынком правит Кинг-Конг. Great Wall Poer KingKong — самый популярный пикап в России, а УАЗ все никак не может вернуть утраченное Если ещё относительно недавно самым популярным пикапом в России был УАЗ «Пикап», то сейчас, по итогам мартовских продаж, он находится на втором месте, и это место скоро может отвоевать JAC T8 Pro. Изображение: Great Wall Самым продаваемым пикапом в России в...

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Android Authority: В Pixel Fold 2 добавят Tensor G4 и 16 ГБ ОЗУ Издание Android Authority передаёт, что смартфон Pixel Fold 2 покажут позже в 2024 году. Пока что Google тестирует чипсет Tensor G4 с 16 ГБ оперативной памяти; такое количество ОЗУ обычно ставят в премиальные и геймерские мобильные устройства. Отметим, что в Google Pixel 8 ...

В России начнут выпуск новой коробки передач — на это выделено более полумиллиарда рублей «Соллерс» запустит производство 6-тиступенчатой механической коробки передач (МКПП) на индустриальной площадке Заволжского моторного завода. Для этого дочернее общество ПАО «Соллерс» — ООО «Соллерс Производство Трансмиссий» &mda...

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

NVIDIA представила ИИ-чип нового поколения Компания NVIDIA представила новое поколение фирменных чипов для ИИ-суперкомпьютеров, которые, вероятно, сыграют значительную роль в будущих прорывах в данной сфере.

Процессор Intel Core i5-14600 65 Вт получил прирост кэша на несколько поколений В среднем сегменте серии процессоров Intel Core 14-го поколения для настольных ПК наконец-то наметилось оживление

Новое поколение Apple Vision Pro отложено до 2027 года Аналитики прогнозируют, что Apple, возможно, еще даже не приступила к разработке нового поколения своей гарнитуры виртуальной реальности, известной как Vision Pro

Новое поколение длиннобазного VW Tiguan было представлено на китайском рынке Цены моделей нового поколения пока не названы.

Совершенно новый Nissan Leaf выйдет в следующем году, он будет полноприводным. Все подробности Nissan Leaf – один из самых популярных электромобилей в России на вторичном рынке, но если говорить о глобальном рынке новых авто, то под натиском современных моделей продажи Leaf существенно просели: по данным Dataforce, в октябре текущего года в Европе было прод...

Intel, тут ведь даже и 5% прироста нет. Опубликованы тесты Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF Вчера компания Intel представила процессоры Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF поколения Raptor Lake Refresh. Сегодня в Сети появились их обзоры. Напомним, только модели Core i7 получили больше ядер, чем их предшественники, тогда как все остальные...

Создатели ноутбуков и планшетов Microsoft Surface займутся созданием нового Xbox Новое поколение игровой консоли Xbox разрабатывается не той командой, которая была за ответственна за предыдущие поколения консолей.

Обновление улучшило работу камеры в Oppo Find X7 Ultra Компания Oppo выпустила обновление для недавно представленного флагмана Find X7 Ultra, которое серьезно улучшает работу основной камеры. Апдейт добавляет переключение модулей при съёмке в режиме 4K/60 к/с, поддержку макросъемки для 6-кратного перископа, удобное управление зу...

За «зумерами» не заметили импульсных покупок: как тратит деньги поколение Z Представители поколения Z — потребители, родившиеся в период с 1997 по 2012 год, — имеют большое значение для мировой экономики, их покупательная способность оценивается в 450 миллиардов долларов. Однако их подход к тратам далеко не импульсивный.

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Первая китайская SoC автомобильного класса Geely Dragon Eagle-1 установлена на более чем 200 000 автомобилей 25 декабря компания SiEngine объявила, что ее 7-нанометровый чип автомобильного класса Dragon Eagle-1 теперь установлен на более чем 200 000 транспортных средствах с момента первого выпуска чипа в декабре 2021 года. На данный момент Dragon Eagle-1 можно найти в автомоби...

Центры обработки данных нуждаются в водяном охлаждении Можно смело заявить, что видеокарты поколения Blackwell от NVIDIA продемонстрируют значительное повышение производительности в центрах обработки данных, но стоит понимать, что эти графические ускорители также потребляют большое количество энергии — вплоть до 1200 Вт на одну ...

Представлен Renault Duster 2024 Компания Renault представила третье поколение кроссовера Duster, которое оказалось копией румынской модели Dacia Duster, которая была представлена в конце ноября прошлого года. Внешне новый Renault Duster практически идентичен Dacia Duster, за исключением логотипов и н...

Apple работает над новым поколением процессоров M4 с функциями ИИ Компания Apple ускоряет разработку своих новых процессоров следующего поколения M4, которые будут обладать продвинутыми возможностями искусственного интеллекта.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Как возникают и развиваются стандарты систем связи: рассматриваем на примере 6G Все слышали о поколениях мобильной связи, и сокращения 4G, 5G, а может быть даже и 6G уже у всех на слуху. Но чем определяется смена технологических поколений, кто решает, что новое поколение уже наступило? Как так вышло, что вроде бы 5G массово еще не используется, а уже на...

Toyota Highlander, Toyota Land Cruiser и Toyota Sequoia – в числе самых надежных внедорожников, способных проехать 800 тыс. км. Но в топ-10 есть и неожиданные модели Американский ресурс HotCars назвал самые надежные внедорожники и кроссоверы, способные проехать 500 тыс. миль (это чуть более 800 тыс. км). Наличие в рейтинге трех моделей Toyota понятно и объяснимо, но вот, что интересно: в топ-5 только две модели японской компании. T...

В ОАЭ начались работы по строительству второго по высоте здания в мире В ОАЭ стартовал масштабный проект, реализовать который авторы идеи намерены в ближайшие четыре года. Речь идет об очередном небоскребе, который, будет украшать Дубай. Новая башня станет вторым по высоте зданием в мире.

Немного о сварочных аппаратах в быту обычного человека и зачем оно надо Картинка Vwalakte, Freepik Сварка является одним из уникальных способов соединения металлических деталей. В мировых масштабах объём сварочных работ и получение с их помощью производных изделий растёт быстрее, чем увеличивается производство металла на заводах. Маленький сп...

Новое поколение процессоров AMD Zen 5 обещает революционный прирост производительности Предварительные данные указывают на то, что процессоры AMD следующего поколения на архитектуре Zen 5 могут предложить рекордный прирост в однопоточной производительности

Ryzen 7 9700X будет намного мощнее предшественника? Новый восьмиядерный CPU AMD имеет TDP 170 Вт, как актуальные модели с 12 и 16 ядрами Вчера мы говорили о том, что процессоры AMD на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании. Это были исключительно мобильные APU, а теперь такая же информация появилась и относительно настольных моделей.  Новое поколение настольных Ryze...

Сбер завез 50 000 ноутбуков и планшетов. Их уже тестируют в отделениях Сбер тестирует в отделениях около 50 000 ноутбуков и планшетов под собственным брендом, пишут «Ведомости» со ссылкой на двух источников. В банке подтвердили, что ввезли устройства для внутренних нужд. Поставки ноутбуков и планшетов с азиатских фабрик ст...

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Высокотехнологичная система жидкостного охлаждения. Обзор AORUS WATERFORCE II 360 Ранее мы проводили тестирование жидкостной системы охлаждения AORUS WATERFORCE 240, продемонстрировавшей отличные результаты по эффективности отвода тепла и уровню шума. Не так давно производитель провел обновление линейки, выпустив второе поколение с модернизированной помпо...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Складной смартфон Google Pixel Fold 2 получит 16 ГБ ОЗУ Профильное издание Android Authority поделилось подробностями о втором поколении складного смартфона Google Pixel Fold. Утверждается, что Pixel Fold 2 будет представлен осенью этого года вместе с флагманом Pixel 9. Смартфон оснастят фирменной однокристальной Tensor G4, 16 ГБ...

Apple готовит к релизу процессор М4 Компания Apple, по информации сразу нескольких инсайдеров, активно работает над тем, чтобы выпустить процессор М4 нового поколения уже в этом году. На текущий момент эта информация официально никем не подтверждается и на самом деле сложно сказать будет ли производитель идти ...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Илон Маск показал испытания нового космического корабля. Запуски Starship могут стать такими же обыденными, как старты Falcon 9 Миллиардер Илон Маск перепостил сообщение, опубликованное его компанией SpaceX, в котором опубликовано видео со статическими испытаниями нового космического корабля Starship. Полноценные статические огневые испытания всех шести двигателей Raptor на Flight 4 Starship. S...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Путь развития Frontend разработчика (Вторая часть) Это вторая часть roadmap frontend разработчика, Если вы не читали первую часть, то настоятельно рекомендую ознакомится, Первая часть. В этой статье я продолжу вам рассказывать про мое представление современной карты развития frontend разработчика. Читать далее

Лучшие игры на PS5: топ-10 ярких представителей поколения Скоро консоли PlayStation 5 исполнится 4 года с момента выхода на рынок, а люди до сих пор не знают, во что сыграть на PS5, чтобы почувствовать некстген. В этой статье мы решили собрать 10 игр, которые наглядно демонстрируют улучшения в графике и геймплее, по сравнению с пре...

Google представит в октябре Pixel 9 Pro Fold Похоже, компания Google активно работает над тем, чтобы изменить восприятие своих новых устройств в области складных смартфонов — в умах пользователей компания выпускает простые гаджеты без изюминки и с достаточно низкой производительностью, что сильно вредит продажам. Многи...

Intel обновила дорожную карту, включив в неё 1-нанометровый процессор Судя по всему, работа над ним завершится к концу 2027 года

Apple первая получит доступ к 2-нанометровым чипам TSMC По различным сообщениям, TSMC планирует начать производство 2-нм чипов в конце 2025 года.

Корпорация Intel и United Microelectronics Corporation (UMC) объявили о сотрудничестве Корпорация Intel и United Microelectronics Corporation (UMC) объявили о сотрудничестве в области разработки платформы 12-нанометровых полупроводниковых процессов

Наушники Soundcore Liberty 4NC: честный обзор Беспроводные наушники с шумоподавлением Soundcore Liberty 4NC стали вариацией в четвертом поколении TWS-затычек от Anker. С одной стороны, они позиционируются как самый дешевые в линейке - стоимость меньше 100 долларов, с другой стороны, в них используется новое поколение те...

One UI 6.1 тестируют на смартфонах Galaxy S22, S21 FE, A54, Fold 4, Flip 5 и других Samsung начала тестирование One UI 6.1 на разных устройствах.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)