Социальные сети Рунета
Воскресенье, 12 мая 2024

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

[Перевод] Миграция с Vue 2 на Vue 3: Новые Фичи В этой статье погрузимся с головой в захватывающий мир новых возможностей Vue 3.Эта поистине революционная версия горячо любимого всеми нами JavaScript-фреймворка стремится стать переосмыслением подхода к созданию веб-приложений, предлагая множество улучшений, оптимизаций и ...

Приложение Tome создаст презентацию за вас, используя для этого ИИ Tome, инструмент для создания презентаций с искусственным интеллектом, выпустил новые функции, призванные удержать существующих 10 млн пользователей. Инструмент позволяет пользователям вводить запросы для создания слайд-шоу, а новая версия предлагает более широкий контроль н...

Пластины большего размера в модулях Astronergy ASTRO N7 удешевят солнечные электростанции Компания Astronergy, первопроходец в области производства фотоэлектрических модулей TOPCon n-типа, объявила о пополнении серии модулей ASTRO N7 TOPCon новыми линейками изделий на 66 прямоугольных ячейках, которые готовы к запуску в серийное производство и предлагают клиентам...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

R-Vision представила новую версию продукта R-Vision Endpoint 1.8 Компания R-Vision, разработчик систем кибербезопасности, объявила о расширении функциональных возможностей R-Vision Endpoint. Новые функции призваны улучшить защиту корпоративных сетей от актуальных киберугроз и сделать процесс мониторинга ИТ-инфраструктуры более эффективным...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Российские ученые разработали ИИ для ускоренного создания лекарств Ученые ИТМО представили новый алгоритм на основе искусственного интеллекта (ИИ) с помощью которого будет происходить генерация фармацевтических сокристаллов, используемых в разработке будущих лекарств. Эта технология обещает значительное ускорение и удешевление процесса созд...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Adobe представляет GenStudio - платформу для создания рекламы на основе ИИ Новая платформа GenStudio от Adobe, основанная на генеративных нейросетях, призвана революционизировать процесс создания и продвижения рекламного контента, предлагая инновационные инструменты для маркетологов и дизайнеров.

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

В России «возродят» советскую технологию производства микросхем Российские учёные из Новосибирска запустят советскую технологию, которая удешевит производство микросхем

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

MSI представили новые ноутбуки серии Prestige 16 AI Компания MSI, лидер в производстве ноутбуков премиум-класса, объявила о выпуске новой серии Prestige 16 AI, что знаменует собой значительный шаг в эпоху технологий искусственного интеллекта.

Производство OLED-панелей для iPad Pro приведет к изменениям в iPhone 16 Apple сделала значительные улучшения в своей технологии производства OLED-панелей для своих устройств, включая iPad Pro и iPhone.

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Астраханский ученый разработал новую технологию сварки металлов Старший преподаватель кафедры технологии материалов и промышленной инженерии Астраханского госуниверситета имени В. Н. Татищева Радмир Рзаев создал инновационную технологию сварки разнородных металлов. Она позволит получать сварные швы с улучшенными характеристиками и удешев...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Microsoft представила новый дизайн веб-версии OneDrive Microsoft анонсировала крупное обновление веб-версии OneDrive, которое сделает работу с облачным хранилищем более эффективной и интуитивно понятной. Это одновременно и визуальное, и функциональное обновление, призванное упростить доступ к файлам и упорядочить содержимое раз...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Canon бросает вызов ASML и планирует в несколько раз удешевить процесс производства микрочипов Не исключено, что ASML просто так не сдаст позиции на рынке

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Google представил новое приложение Vids на базе искусственного интеллекта в Workspace Google представил новое приложение под названием Vids, которое предназначено для создания и обмена совместными видеоматериалами. Оно призвано облегчить процесс создания видео для профессиональных целей, таких как презентации, командные обновления и объяснение сложных концепц...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Google Gemma — ИИ, который призван помочь разработчикам создавать другой ИИ более ответственно. Компания Google представила — семейство легких современных открытых языковых моделей.  Новая языковая модель, которая доступна в двух вариантах — Gemma 2B и Gemma 7B — предназначена для разработчиков. Google говорит, что Gemma создана для ответст...

Это официальный кабриолет Peugeot 208. Такая машина — одна во всём мире Единственный существующий кабриолет Peugeot 208 был впервые показан в Музее приключений Peugeot в Сошо, Франция. Разработка проекта «А97» началась в 2007 году, за пять лет до дебюта оригинального хетчбэка Peugeot 208, который появился в 2012 году. В отличие...

Технология уничтожения медицинских отходов прошла государственную экспертизу В пресс-службе российской компании «Эфир», которая входит в контур группы «Роснано», представила новый метод уничтожения опасных медицинских и ветеринарных отходов, который получил положительное заключение государственной экспертизы. Технология, основанная на плазмохимическо...

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

В России создали новую технологию производства анимации с помощью ИИ Российские разработчики из Московского физико-технического института (МФТИ) представили инновационную нейросеть, позволяющую упростить и ускорить процесс создания анимированных роликов и мультфильмов. Эта технология, названная Anix, обладает способностью создавать все промеж...

Забудьте об универсальном подходе: ученые призвали к подбору доз витамина D Новое исследование ставит крест на существующих рекомендациях по приему витамина D. Исследование, проведенное Тринити-колледжем в Дублине, выявило значительные различия в потребностях в витамине D у разных групп населения.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Meta выпустила новый генератор изображений с ИИ для веб и своих приложений Meta представила Imagine with Meta, передовой независимый генератор изображений AI, сделав значительный шаг вперед в области технологий искусственного интеллекта.

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Смартфоны iPhone 17 могут получить совершенно новые аккумуляторы, которые будут более ёмкими, выносливыми и с более быстрой скоростью зарядки Смартфоны Apple в последние годы могут порадовать автономностью, но не могут скоростью зарядки. Возможно, в обозримом будущем всё изменится. Как сообщается, компания работает над технологией, которая сделает аккумуляторы более выносливыми, плотными, а заодно увеличит ск...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Apple представила новую языковую модель MM1 — это не просто обновление существующих систем, а новая архитектура, способная анализировать и интерпретировать данные на беспрецедентном уровне. С использованием разнообразных обучающих данных и сложных моделей, MM1 может понимать запросы пользователей, опираясь на соче...

TSMC анонсировала новую технологию производства микросхем CoW-SoW TSMC представила прорывную технологию создания сверхбольших микросхем методом 3D-интеграции всей кремниевой пластины.

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Vipe представил новые беспроводные колонки для вечеринок серии Nitro Бренд аудиоустройств Vipe объявил о расширении своей линейки беспроводных колонок для вечеринок серии Nitro, представив две флагманские модели: Vipe Nitro X8 и Vipe Nitro X8 Pulsar. Новинки, сохраняя узнаваемый форм-фактор и дизайн бренда, получили обновленную светодиодную п...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Новый автозавод по сборке Chery, Changan и Haval откроют в Казахстане: по полному циклу будут выпускать Tank 300 Крупный казахстанский автодилерский холдинг Astana Motors объявил о планах запустить в 2025 году мультибрендовое автосборочное предприятие. На новом заводе будет налажена сборка автомобилей трех китайских марок: Chery, Changan и Haval. Как сообщает издание Tengri Auto, ...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

В MIT на 3D-принтере впервые напечатали электромагнит Представьте, что вы можете создавать сложную электронику, например аппараты для диализа, используя только 3D-принтер. Такой подход может не только снизить затраты и устранить отходы, но и сделать важнейшие медицинские технологии более доступными в регионах с ограниченными ре...

Скоро на видеокартах GeForce будет написано «создано ИИ»? Nvidia создала искусственный интеллект NeMo, призванный помогать в разработке чипов Вполне вероятно, вскоре мы будем покупать видеокарты Nvidia, разработанные искусственным интеллектом. Или как минимум при его активной помощи. Nvidia представила ИИ NeMo (также его называют ChipNeMo), который призван помогать инженерам компании проектировать новые чипы....

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Российские HSM модули: Новый этап в защите данных платежных систем В начале 2024 года российские банки столкнулись с важным решением: перейти на оборудование отечественного производства для защиты транзакций по пластиковым картам. Этот шаг в рамках программы импортозамещения не только повышает безопасность финансовых операций, но и открывае...

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Apple добавила на старые iPhone поддержку Qi2 Когда речь идет о беспроводной зарядке, компания Apple, и это вполне логично, предпочитает использовать свою собственную технологию MagSafe. И все новые модели iPhone действительно предлагают эту технологию, но Apple хочет изменить ситуацию, чтобы предоставить поддержку этой...

100 000 часов речи, 980 млн параметров: ИИ-модель преобразования текста в речь Технологический гигант Amazon сделал значительный шаг вперед в создании естественной речи благодаря своей новейшей модели преобразования текста в речь (TTS) — BASE TTS. Эта модель, обладающая 980 миллионами параметров и обученная на 100 000 часах речевых данных, демонстрируе...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

На MWC2024 представлено решение Intelligent Factory от Huawei     В ходе Международной выставки мобильных технологий MWC 2024, на заседании, посвященном решениям Huawei для производства и крупных предприятий под девизом «Погружение в отраслевую специфику для создания более обеспеченного, экологичного и умного будущего», компания Hua...

Нет денег на новую карту RTX 40? Генерация кадров появилась на старых GPU Nvidia Новый мод «DLSSG to FSR3» переносит технологию генерации кадров, ранее появившуюся в новейших GPU серии RTX 40, на старые карты Nvidia серий RTX 20 и 30. Хотя это и не идеальный вариант, он обеспечивает значительный прирост производительности и более плавный игровой процесс ...

Nvidia готовит урезанную GeForce RTX 3050 с 6 ГБ памяти и 96-битной шиной Пока Intel собирается наконец-то выпустить представленную более года назад видеокарту Arc A580, которая будет конкурировать с GeForce RTX 3050, Nvidia собирается обновить линейку RTX 30, представив самую дешёвую модель. Такой будет RTX 3050, но с 6 ГБ памяти.  RTX...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Gemini AI теперь доступен в Google Chrome для создания эффективного контента Google Chrome получил новую функцию  — генератор письма с искусственным интеллектом. По своей сути этот инструмент на базе Gemini, он представляет собой функцию «Помоги мне написать», встроенную в Gmail, но расширенную на всю сеть и основанную на ...

В России разработали новую технологию создания деталей для квантовых технологий Российские учёные разработали технологию производства микросхем для квантовых технологий

От новичка до эксперта в области 3D: 20 лет цифровой стоматологии в компании Dental-Technik Hamm За последние тридцать лет рабочие процессы в зуботехнических лабораториях претерпели значительные изменения, но лаборатория полного цикла Фолькера Хамма, Dental-Technik Hamm, не только не отстает от всех новых разработок, но и использует новые методы и процессы для создания ...

ASUS представила два профессиональных монитора ProArt PA32KCX и PA32UCDM ASUS ProArt PA32KCX и PA32UCDM, разработанные для профессионального использования, предлагают новейшие технологии в сфере производства мониторов.

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Яндекс показал электровелосипед собственной разработки Велосипед предназначен для курьеров и призван сделать процесс доставки более комфортным, быстрым и безопасным.

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Microsoft разрабатывает собственную сетевую карту Создание собственного высокоскоростного сетевого оборудования поможет Microsoft ускорить и удешевить процесс обучения моделей для искусственного интеллекта (ИИ)

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

В России освоили новую технологию производства прочных стекол для поездов и истребителей В России освоили уникальную малозатратную технологию изготовления стекол для транспорта, в том числе для поездов и истребителей. Об этом рассказал начальник сектора научно-исследовательской лаборатории предприятия Дмитрий Чечин, который добавил, что технологией создания...

Свет сделал обычные материалы магнитными: новый квантовый скачок Значительный прорыв в области квантовых технологий совершили международные ученые. Впервые в истории им удалось успешно вызвать магнитные свойства у немагнитного материала при комнатной температуре с помощью света. Это открывает путь в будущее, где появятся более быстрые ком...

«Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона». Появились первые фотографии, сделанные на новые смартфоны Эффектные фотографии, которые сделаны на камеры Vivo X100 и X100 Pro, опубликовал китайский инсайдер Ice Universe. «Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона», — так прокомментировал снимки Ice Universe. Стоит отметит...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

Ученые предложили новую технологию для создания более эффективных лекарств Немецкие ученые разработали новую технологию производства наночастиц для лекарств, которая позволит создавать более эффективные препараты на основе нуклеиновых кислот, такие как mRNA-вакцины.

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

В Mailion 1.6 реализованы новые технологии для комфортного перехода с иностранных почтовых решений МойОфис выпустил релиз корпоративной почты нового поколения Mailion 1.6. В этой версии основной акцент сделан на системных возможностях, которые упрощают процессы перехода организаций с иностранных решений и обеспечивают более тесную интеграцию Mailion с инфраструктурой зака...

Разработка Coreshell удешевит электрокары и уменьшит зависимость от Китая Новая разработка компании Coreshell, специализирующейся на производстве аккумуляторных материалов, способна значительно снизить стоимость электромобилей (EV).

Intel и Siemens объединяют усилия для создания более устойчивого производства чипов Intel и Siemens объединяют свои усилия для создания более экологичного и эффективного процесса производства полупроводников.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

BYD планирует построить завод для производства электромобилей в Северной Америке Производство автомобилей в Мексике удешевит для BYD продажу автомобилей в США.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

"Росатом" разворачивает новую эру в аддитивных технологиях с запуском промышленных 3D-принтеров "Росатом" запустил в серийное производство девять среднегабаритных 3D-принтеров для промышленного использования, использующих технологию SLM. Улучшенная модель RusMelt 310M, внесенная в реестр Минпромторга как отечественный товар, обещает покрыть значительную долю потребност...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Volvo инвестирует в технологию Breathe Battery, позволяющую на 30% ускорить зарядку электромобилей Стратегические инвестиции Volvo Cars в технологии Breathe Battery Technologies направлены на значительное сокращение времени зарядки электромобилей, что сделает электромобили более привлекательными.

Microsoft впервые за десять лет изменила дизайн установки Windows В недавней Insider-сборке Microsoft представила значительную переработку процесса установки Windows 11, представив новый вид и улучшив функции доступности для пользователей.

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Bybit объявила о сотрудничестве с компанией The Open Network (TON) Bybit, третья по посещаемости криптобиржа в мире, сообщила о сотрудничестве с компанией The Open Network (TON). Она предлагает своему сообществу возможность зарабатывать и копить монеты TON со значительной выгодой. Это совместное мероприятие продлится с 8 ноября 2023 года по...

Китай прорывает блокаду в битве за чипы? Страна планирует удвоить производство в течение пяти лет Масштабная конкуренция между производителями микросхем усиливается из-за весьма активного наращивания производственных мощностей Китаем. Поднебесная прикладывает серьезные усилия для достижения ведущей роли в индустрии разработки и производства электроники. Потребители от т...

Nvidia представила графические процессоры нового поколения Blackwell Новое поколение графических ускорителей получат кратное увеличение производительности и значительное снижение энергопотребления по сравнению с текущими моделями H100

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

GigaChat достиг нового уровня: теперь ИИ распознает жесты и создает музыку Создатели нейросети рассказали о её новых возможностях, призванных сделать работу с ИИ более комфортной для пользователей

NVIDIA выпустит удешевлённую 6 ГБ версию RTX 3050 NVIDIA готовится представить новую версию своей видеокарты RTX 3050 в 2024 году.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

CD Projekt RED выпустила обновление 2.01 для Cyberpunk 2077: Phantom Liberty Студия CD Projekt RED продолжает работать над усовершенствованием своей культовой игры Cyberpunk 2077: Phantom Liberty, и недавно они выпустили обновление 2.01, которое обещает значительные улучшения и исправления для игроков, особенно в Пёсьем городе. Этот патч призван сдел...

NVIDIA перевыпустит GeForce RTX 3050 с урезанными характеристиками Видеокарта NVIDIA GeForce RTX 3050 была представлена ​​в январе 2022 года. Согласно новым слухам NVIDIA выпустит новый вариант GeForce RTX 3050 в следующем году, который получит более низкие характеристики, чем существующие модели. Предполагается, что новая версия видеокарты...

[Перевод] Новое в SQL Server 2022: Обзор использования Intel QuickAssist Технология Intel® QuickAssist (Intel QAT) в SQL Server 2022 призвана обеспечить аппаратное ускорение и возможности разгрузки процессоров от функций сжатия и распаковки резервных копий баз данных. Использование этой технологии даёт заметное снижение нагрузки на проц...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

TCL представила линейку сверхбольших телевизоров QD-Mini LED и умной бытовой техники Являясь одним из мировых лидеров по производству бытовой электроники, компания TCL понимает важность создания комфортной и тщательно продуманной домашней обстановки, улучшающей общее жизнеощущение. В «черную пятницу» компания TCL предлагает богатый выбор сверхбольших телевиз...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

На CIIE 2023 подписан договор Shanghai Electric с Johnson Controls о создании лаборатории Shanghai Electric объявила о подписании на международной выставке China International Import Expo (CIIE) 2023 в Китае договора о стратегическом сотрудничестве по созданию совместной лаборатории «Carbon & Digital» (цифровые технологии для снижения углеродного следа) с ком...

Итоги 2023 года Volgobot Год стремительно движется к концу, и мы хотим подвести итоги уходящего 2023. В этом году наша компания претерпела значительные изменения и сильно выросла по многим показателям. Для того чтобы более комплексно взглянуть на итоги и результаты уходящего года, мы решили сделать ...

Adobe представил новую платформу с ИИ для создания рекламы Adobe объявила о запуске новой платформы для создания рекламы, основанной на искусственном интеллекте (ИИ), которая призвана упростить использование инструментов генеративного ИИ компании для создания маркетинговых кампаний.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Авторы ChatGPT захотели сделать ИИ более настраиваемым Главный операционный директор OpenAI Брэд Лайткэп заявил, что 2024 год станет «годом предприятий». Теперь стало известно, что компания предложит набор новых функций, призванных сделать его мощные инструменты генеративного ИИ более точными и настраиваемыми.

Новая функция Gmail призвана упростить отписку от надоедливых писем Многие рады тому, что Gmail предлагает более крупную кнопку отказа от рассылок

Белорусские разработчики представили систему, конкурирующую со Starlink Белоруссия представила новую технологию, которая может стать альтернативой системе Starlink Илона Маска. Эксперты считают, что подобный подход может значительно удешевить разработку и запуск интернет-спутников.

"Северсталь" инвестировала более 13 млрд рублей в снижение выбросов Генеральный директор компании «Северсталь», Александр Шевелев, объявил о значительных инвестициях в проекты, направленные на снижение выбросов парниковых газов и природоохранную деятельность. В рамках первой стратегии по декарбонизации, инвестиции компании в эти направления ...

НАСА: у нового самолета самые тонкие крылья в мире Радикально новый тип конструкции крыла модернизированного самолета НАСА призван сделать коммерческую авиацию будущего более экологичной.

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Эксперт перечислил основные причины переподчинения бойцов ЧВК «Вагнер» российскому МО Передовые российские подразделения развернуты в районе Пальмиры и Хомс. Процесс развертывания частей, призванных заменить отряды ЧВК «Вагнер», полностью завершен к концу октября. Минобороны РФ планирует полностью заменить кадровыми военнослужащими «вагнеровцев», которые воюю...

Нарушение кибербезопасности Okta удешевило компанию более чем на $2 млрд Okta, один из важнейших игроков в области кибербезопасности, столкнулась со значительными потерями на рынке, превышающими $2 млрд, к чему привело нарушение безопасности в ее системе поддержки. В пятницу компания сообщила, что неизвестная хакерская группа получила доступ к фа...

[Перевод] Почему я не буду использовать Next.js Представьте, что вы планируете начать новый проект или использовать в существующем более современный подход. А, может, вас просто не устраивает используемый фреймворк, и вы подумываете об альтернативах. В любом случае вам нужно что-то выбрать. Существует множество «совреме...

Microsoft разрабатывает серверное оборудование для ИИ, чтобы снизить зависимость от NVIDIA Агентство The Information сообщает, что Microsoft разрабатывает новую сетевую карту, которая должна повысить производительность серверного чипа Maia AI и снизить зависимость компании от решений NVIDIA. По информации источника, руководит проектом Прадип Синду (Pradeep Sindhu...

ВС РФ предпочитают использовать Т-90, нежели Т-14 «Армата» из-за высокой стоимости последнего Новейший танк Т-14 "Армата" превосходит по характеристикам все существующие модели, однако из-за высокой стоимости и сложности производства ВС России предпочитают использовать более доступный и проверенный Т-90.

Росатом представил концепцию полной цепочки производства постоянных редкоземельных магнитов Усилия Росатома и научных партнеров направлены на создание магнитов с замещением дефицитных редкоземельных металлов на более доступные и дешевые, получение магнитов сложной формы с использованием технологий 3D-печати, а также разработку технологий переработки отходов.

Продажи iPhone в Китае очень сильно обвалились. Как ситуацию может исправить iOS 18, рассказали в DigiTimes Функции искусственного интеллекта в iOS 18 могут оживить продажи iPhone в Китае, о чем говорится в свежей статье издания DigiTimes. Продажи iPhone в Китае с начала 2024 года ослабли на фоне роста популярности Android-флагманов, которые предлагают функции генеративного и...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

«Самые энергоэффективные нейроны во Вселенной». Стартап Extropic представил принципиально новый подход к вычислениям ИИ и создал прототип алюминиевого процессора Возможно, на рынке вычислений ИИ в обозримом будущем произойдёт ещё одна революция. Как минимум стартап Extropic говорит, что стремится именно к этому.  Заявления у компании действительно весьма серьёзные. В Extropic говорят, что они создали подход к вычислениям, к...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Стартап использует древесные щепки для производства графита для аккумуляторов Графит — самый тяжелый компонент литий-ионных аккумуляторов. С учетом потерь в процессе производства для изготовления аккумуляторов требуется более чем в 30 раз больше графита, чем лития, и большая его часть поступает из Китая. Стартап из Новой Зеландии использует остатки ...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

МФТИ готовится к запуску серийного производства 3D-печатных протезов Группа студентов кафедры технологического предпринимательства Московского физико-технического института ставит на поток производство механических тяговых протезов рук с применением аддитивных технологий. Использование 3D-печати позволяет сокращать сроки производства в четыре...

Герман Греф: Развитие ИИ призвано создать человекоцентричную экономику Глава Сбербанка, Герман Греф, выступив на Дне инвестора, подчеркнул, что акцентированная работа над искусственным интеллектом призвана сделать экономику более ориентированной на человека, то есть человекоцентричной, пишет РИА Новости..

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Ещё один тайваньский вендор откроет завод по производству чипов с ИИ Ещё один тайваньский чипмейкер, компания Powerchip Semiconductor Manufacturing Corp. (PSMC) построит новый завод по производству чипов и микросхем памяти для широкого спектра приложений, включая технологии ИИ. Но для этой компании строительство завода скорее не переход на но...

Apple отменила удешевлённую версию AR-шлема Vision Pro Apple, известная своими инновационными продуктами, решила отказаться от планов по производству более доступной версии своего гарнитуры Apple Vision Pro.

AMD FSR 3 работает даже на старых видеокартах На прошлой неделе была представлена технология AMD FSR 3, которая считается невероятно важной в сегменте апскейлинга изображения, потому что данная технология способна выполнять поставленные задачи на любом аппаратном обеспечении. Например, в играх Forspoken и Immortals of A...

Пентагон анонсировал создание новой ядерной гравитационной бомбы Пентагон объявил о создании новой ядерной гравитационной бомбы B61-13, которая призвана заменить устаревшую модель B61-7, разработанную еще в 1980-х годах.

Ростех запустил серийное производство модулей управления для беспилотников Госкорпорация "Ростех" объявила о начале серийного производства инновационных модулей управления для беспилотных летательных аппаратов (БПЛА), что является значительным шагом в развитии отечественных технологий в этом направлении. Новые модули повысят эффективность применени...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

Samsung хочет возглавить рынок объемом 100 млрд долларов. Чипы 3D DRAM могут иметь ёмкость до 100 ГБ Компания Samsung Electronics нацелилась на то, чтобы стать лидером на рынке памяти 3D DRAM. Это объявление было сделано на конференции Memcon 2024, где компания представила ряд новинок. Поскольку ожидается, что во второй половине этого производство DRAM будет вестись по...

Nokia представила инструмент быстрого обнаружения киберугроз Nokia усиливает борьбу с киберпреступностью, интегрируя свой помощник GenAI в существующее программное обеспечение NetGuard Cybersecurity Dome. Эта комбинация призвана расширить возможности поставщиков услуг связи (CSP) и предприятий, обеспечив более быстрое и эффективное об...

Intel заявила о значительном повышении производительности в новой версии апскейлинга XeSS 1.3 Intel обещает значительное увеличение частоты кадров благодаря своей технологии масштабирования и не собирается останавливаться на достигнутом.

[Перевод] Небольшой туториал по полигруппам в Zbrush Полигруппы (Polygroups) в Zbrush представляют из себя способ разделения уже существующей 3D-модели на группы полигонов с целью выполнения над ними различных видов работ. При создании каждой из этих групп полигонов ей назначается свой уникальный цвет, что помогает нам визуаль...

Нестинг в 3D-печати     3D-печать является одной из самых быстро развивающихся технологий в сфере производства. Регулярно публикуются статьи о новых методах и технологиях печати, которые позволяют внедрять аддитивное производство во всё новые сферы. Кроме того 3D-печать становится более доступн...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Как делать скриншоты на Samsung Galaxy S24: Полное руководство Samsung Galaxy S24 — новейший смартфон в линейке Galaxy S, который предлагает передовые технологии и множество функций. Одной из основных возможностей, которой пользователи активно пользуются, является создание скриншотов. В этой статье мы рассмотрим различные способы ...

Microsoft представила новый API Work Graphs для разработки игр Технологии API такие как Direct3D 12 и Vulkan, предоставляют программистам новые возможности для создания игровых 3D-миров.

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Представлен Chevrolet Spin 2025 Компания Chevrolet представила Chevrolet Spin 2025 модельного года, который отличается обновленным внешним видом, современными технологиями и новой настройкой шасси. Оригинальный Chevrolet Spin — разработанный в Бразилии минивэн-кроссовер на базе снятого с произво...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Прорыв в переработке древесины многократно удешевит производство авиатоплива Команда Калифорнийского университета разработала технологию переработки лигнина, которая позволит увеличить выработку биотоплива из растительного сырья. До этого лигнин относили к отходам – это прочное вещество, которое создает «каркас» растений, плохо поддается переработке....

Арктический НОЦ разработал стандарт аддитивных технологий для судостроительной отрасли Новый ГОСТ охватывает принципы сертификации в производстве судовых деталей методами 3D-печати. Согласно предлагаемому регламенту, утверждаться будет та или иная разработанная аддитивная технология для судостроения, а не отдельные материалы — это поможет ускорить внедрение.

Apple выпустит первый iPhone Slim, все модели iPhone 17 получат новую камеру, а в Pro-версии будет 12 ГБ ОЗУ, согласно новым инсайдерским сведениям Apple готовит масштабный редизайн своих смартфонов в следующем году. По словам известного аналитика Джеффа Пу, линейка iPhone 17 получит обновленный дизайн, улучшенные камеры и ряд других значительных усовершенствований. Одним из самых интригующих нововведений должна ст...

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Apple незаметно сделала базовый iPad намного дешевле Компания Apple не только представила новые iPad Pro и Air, но и неожиданно удешевила базовый iPad, причём заметно.  iPad 10 подешевел с 450 до 350 долларов, то есть почти на 30%. Напомним, буквально на днях мы говорили о том, что Apple хочет выпустить iPad 11, кот...

Бренд DIGMA отметил 20-летний юбилей и рассказал о планах на будущее Сегодня, 4 апреля, состоялось официальное мероприятие, посвящённое 20-летнему юбилею бренда DIGMA, в рамках которого представители дивизиона Private Labels. Merlion подвели итоги достаточно масштабной трансформации бренда за последние годы. Например, участники мероприятия ра...

Разработана компактная система распознавания лиц Исследователи из Национального университета Ян Мин Чао Тун и Исследовательского института Хон Хай в Тайване разработали новую компактную систему распознавания лиц с использованием более плоской и простой оптики, требующей меньше энергии. Как показали тесты на 3D-реплике скул...

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

Представлен ирригатор Xiaomi Mijia F400 Xiaomi представила новый портативный зубной ирригатор Mijia F400, который предлагает технологию Cloud Sensation Umbrella Jet Water Flossing.

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Это «уничтожитель» жёстких дисков. Garner показала DiskMantler, который посредством вибрации разделяет HDD на компоненты за 60 секунд Компания Garner показала устройство под названием DiskMantler, которое разбирает жёсткий диск на запчасти за 60 секунд.  Точнее, 60 секунд — это среднее время, а в целом заявленный диапазон составляет от 8 до 120 секунд. Видимо, всё зависит от состояния и ко...

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

Гендиректор OpenAI призвал к созданию глобальной коалиции в области ИИ Генеральный директор OpenAI Сэм Альтман возглавил усилия по созданию глобального альянса между правительствами и лидерами индустрии. Цель этой коалиции — увеличить ресурсы, необходимые для быстрого развития технологий искусственного интеллекта (ИИ).

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Росатом представил разработки в сфере 3D-печати на Всемирном фестивале молодежи Компания-интегратор атомной отрасли в области аддитивных технологий «РусАТ» представила новейшее отечественное оборудование для аддитивного производства и 3D-сканирования, а также ряд 3D-печатных изделий, в том числе выполненных по технологии прямого лазерного выращивания.

Власти США выделили $285 млн на субсидирование создания цифровых двойников в национальном полупроводниковом производстве Это позволит оптимизировать освоение новых технологий при выпуске чипов.

SEAT представил совершенно новый Leon Прошлой ночью SEAT представила в своей штаб-квартире в Марторелле совершенно новый Leon, автомобиль, призванный оживить сегмент компактных автомобилей. Компания инвестировала более 1,1 миллиарда евро, чтобы вывести на рынок автомобили с более эффективными двигателями, новыми...

Опрос по оценке рабочих процессов Оценка рабочих процессов через опросы представляет собой мощный инструмент, который позволяет организациям выявлять "узкие места", понимать потребности и ожидания своих сотрудников, а также формировать стратегии для повышения общей производительности и эффективности.Статья, ...

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

NEOWIZ представила сервис Adventure на своей игровой Web3 платформе Intella X Крупнейшая южнокорейская игровая компания NEOWIZ представила сервис Adventure на своей игровой Web3 платформе Intella X. Новая функция платформы представляет собой значительный шаг вперед в преодолении разрыва между традиционными геймерами и инновационным ландшафтом технолог...

Nvidia расширяет производство чипов искусственного интеллекта в Японии Одновременно с этим Китай вкладывает значительные средства в превращение Гонконга в новый центр производства полупроводников.

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Как составить договор с помощью нейросетей В наше время технологии искусственного интеллекта все шире внедряются в различные сферы человеческой деятельности, включая юридическую практику. Одним из наиболее заметных примеров этого является использование нейронных сетей для создания договоров для тех или иных потребнос...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Спутниковые операторы объединились для создания нового рынка прямой связи Спутниковые операторы объединились в новую инициативу — Ассоциацию Мобильных Спутниковых Сервисов (MSSA), призванную расширить рынок напрямую к смартфонам. Viasat, Terrestar Solutions, Ligado Networks, Omnispace и Yahsat владеют более 100 мегагерцами L- и S-диапазонов, что, ...

Новый интерфейс статуса и редактор изображений на базе ИИ в WhatsApp WhatsApp представляет новый редактор изображений с искусственным интеллектом и обновленный дизайн обновлений статуса, призванный сделать цифровое общение более интуитивным и привлекательным.

«Если есть старые ремешки Apple Watch, продавайте»: радикальный редизайн коннектора Появились сообщения о том, что во вселенной Apple Watch произойдут коллосальные изменения — появится новый дизайн коннектора, который сделает существующие ремешки устаревшими. По слухам, более тонкие и изящные Apple Watch X, которые выйдут в 2024 или 2025 году, будут оснащен...

Acer SpatialLabs View Pro 27 оснащен панелью 4K 160 Гц, 3D без очков Acer опирается на технологию стереоскопического 3D без оптики, которая была представлена в 15,6-дюймовой модели Spatial Labs View

Hyosung TNC представил новую парадигму благодаря производству экологичного БДО Hyosung TNC делает значительные шаги на пути к устойчивому будущему, уделяя особое внимание производству экологичного БДО из биосырья. Бутандиол (БДО) играет решающую роль в качестве химического материала не только в производстве волокон спандекса, таких как ПТМЭГ, но и в ра...

Использование 3D печати в разных отраслях 3D оборудование постепенно становится все более доступным и завоевывает популярность не только у любителей, но и в производственной среде. 3D печать используется для производства продуктов в разных отраслях - здравоохранении, моде, автомобильной и строительной промышленности...

Правительство США объявило о значительном финансовом пакете для корпорации Intel Узнайте, как гранты и кредиты правительства США, предоставленные корпорации Intel, призваны стимулировать производство полупроводников, создать рабочие места и укрепить американскую индустрию микросхем.

Intel запускает в Ирландии завод нового поколения для выпуска 7-нм чипов под брендом Intel 4 Intel готовится к запуску процессорного завода в Ирландии для массового производства чипов по технологии Intel 4, ранее известной как 7-нм процесс

Samsung разрабатывает процессор для нового ИИ Компания Samsung Electronics стремительно развивается в области производства полупроводников, но даже при этом компании не удалось привлечь внимание лидеров рынка вроде NVIDIA, которые предпочитают TSMC из-за более продвинутых технологических процессов. Но сегодня появилась ...

Огромные маяки на Луне будут освещать астронавтам местность Американская компания Honeybee Robotics хочет построить гигантские маяки на Луне в рамках проекта Darpa. Они призваны внести значительный вклад в создание своего рода лунной экономики, обеспечивая свет, электричество и связь.

Не время вкладываться в литий? ИИ помог найти альтернативу для производства аккумуляторов В самом начале нового года стало известно, что Microsoft и Pacific Northwest National Laboratory смогли разработать новый материал, который теоретически может снизить объём используемого при создании аккумуляторов лития. Во всяком случае батарея на базе этого соединения уже ...

Игровой ноутбук Redmi G Pro 2024 представлен официально Xiaomi представила свой новый игровой ноутбук Redmi G Pro 2024, который призван предложить лучшую производительность в ценовом диапазоне 10 000 юаней ($1389). ОсобенностиRedmi G Pro 2024 – это результат более чем года работы специальной группы исследований и…

E-Plus 3D EP-P420: производительность и экономичность в одном 3D-принтере 3D-печать продолжает завоевывать всё больше областей в производстве, и это не удивительно. В отличие от традиционных методов изготовления, она предлагает более быстрый, эффективный и экономически выгодный способ производства. Среди множества технологий можно выделить техноло...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Новые автомобили Toyota помогут улучшить Huawei и Momenta Toyota сотрудничает с Huawei и Momenta при создании новой системы интеллектуального вождения, которая будет установлена на глобальные модели. Это решение отличается от существующей высокопроизводительной системы помощи водителю компании Huawei. Momenta и Huawei предоста...

Первые результаты RTX 4080 SUPER в Geekbench не показывают значительного прироста относительно 4080 И не удивительно, технические улучшения в RTX 4080 SUPER наименьшие, по сравнению с другими видеокартами новой тройки. Главное — снижение цены.

[Перевод] Создание сквозного конвейера MLOps с помощью Open-source инструментов MLOps с открытым исходным кодом: TL;DR Эта статья служит целенаправленным руководством для специалистов по исследованию данных и инженеров ML, которые хотят перейти от экспериментального машинного обучения к готовым к производству конвейерам MLOps. Мы выявим ограничения трад...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

iOS 18 добавит новый режим в AirPods Pro Марк Гурман из Bloomberg пишет, что AirPods Pro не претерпит каких-либо аппаратных изменений в 2024 году. Однако он заявил следующее: «Большой новостью станет новый режим слухового аппарата, который появится вместе с iOS 18». AirPods уже предлагают функ...

Новая версия Exiland Backup 6.6 – гибкое управление резервным копированием Каждая очередная версия программы резервного копирования Exiland Backup от российского разработчика, компании Exiland Software, добавляет новые возможности, удобство управления резервными копиями, а также делает более стабильную работу существующих алгоритмов копирования фай...

Не более чем на 16 км/ч быстрее разрешённой скорости: в США предлагают в принципе запретить новым машинам нарушать правила В Калифорнии представили законопроект, который, если он станет законом, не позволит автомобилям превышать скорость более чем на 16 км/ч выше установленного предела с 2027 года. Сенатор Скотт Вайнер предлагает оснащать новые автомобили искусственным интеллектом, который ...

Быстрее ветра: Microsoft предложит метод автоматической переустановки и восстановления системы Windows 11 В феврале 2024 года Microsoft планирует представить новое обновление Windows 11 под названием Moment 5. Внутри него пользователей ждет интегрированная система самовосстановления ОС. Она пока находится в процессе разработки и появится в бета-версии для инсайдеров канала Cana...

Новая технология ИИ от Google может быть умнее, чем GPT-4 от OpenAI В попытке догнать и обогнать ChatGPT от OpenAI компания Google разработала новый ИИ. Компания заверяет: Gemini лучше справляется с решением задач, чем все существующие конкуренты.

Китайская SMIC увеличила закуп тайваньского сырья в ожидании новых санкций Китайская SMIC (Semiconductor Manufacturing International Corporation) разместила у своих тайваньских партнеров значительные заказы на сырье, эквивалентные примерно двухлетнему запасу. Создание запасов материалов рассматривается компанией как упреждающая мера, направленная н...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Книга «React быстро. 2-е межд. изд.» Привет, Хаброжители! React предельно упрощает создание привлекательных и надежных интерфейсов для веб-приложений. Эта великолепная библиотека JavaScript имеет модульную архитектуру, что позволяет легко создавать, объединять и тестировать компоненты. React идеально подходит...

Amstrad Notepad Computer NC100: история, характеристики и особенности винтажного девайса История британской компании Amstrad насчитывает ровно 40 лет. Она была основана в 1968 году сэром Аланом Майклом Шугаром. Этот достопочтенный джентльмен имеет титулы барона и рыцаря, является почётным доктором наук в двух университетах Лондона, а ныне занимает место в Палате...

Учёные МГУ улучшили механизмы развития квантовых оптических сетей Российские учёные придумали, как удешевить создание компонентов квантовых компьютеров

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Intel тоже хочет свою технологию создания «лишних» кадров в играх. Компания уже работает над ExtraSS Компания Intel достаточно успешно вышла на рынок дискретных настольных видеокарт. Её модели Arc пока не обрели какой-то заметной популярности, но постоянная доработка драйверов и снижение цен сделали их весьма привлекательными. Сейчас Intel работает над своей технологие...

12-литровый игровой монстр нового поколения. Corsair представит геймерский мини-ПК One i500 на компонентах нового поколения уже в мае Компания Corsair готовится представить свой игровой мини-ПК One нового поколения.  Новинка под названием One i500 будет представлена уже 5 мая. Corsair отмечает, что новый ПК будет использовать компоненты нового поколения. Текущая модель, напомним, опирается на Co...

Новая диета для Linux. Загружаем современный Linux, используя минимум памяти Для подписчиковВ этой статье я покажу, как пересобрать Bodhi Linux 7.0 для снижения требований к оперативной памяти на этапе загрузки в демонстрационном режиме или при установке. При этом функциональность совершенно не пострадает. Ты найдешь здесь два сценария оболочки, с по...

Полномасштабное производство Chevrolet Onix началось в Костанае. Чуть деталей делают прямо в Казахстане На заводе Allur в Костанае стартовало полномасштабное производство бюджетного седана Chevrolet Onix. Это результат сотрудничества General Motors с узбекским автопроизводителем Узавтосаноат и местными партнерами в Казахстане. Производство Chevrolet Onix на заводе Allur в...

Представлена технология дополненной реальности для окон общественного транспорта Специалисты из Тайваньского научно-исследовательского института промышленных технологий представили интерактивное автомобильное окно с функцией дополненной реальности, призванное оптимизировать культурное просвещение туристов

Чтобы геймеры могли лучше оценивать задержки. AMD представила метрику System Lag Компания AMD добавила в свой свежий драйвер новую метрику под названием System Lag.  System Lag призвана отображать задержку между генерацией кадров движком той или иной игры и их дальнейшим рендерингом видеокартой.  Пока что метрика работает только с адаптер...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Apple прекращает производство чипов для Touch ID Apple отказалась от Touch ID в пользу технологии распознавания лиц Face ID, когда представила iPhone X в 2017 году. В то время как Android-устройства предлагают функции распознавания как отпечатков пальцев, так и лица, Apple постепенно полностью отказывается от Touch ID в…

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Новые смолы BioMed Flex 80A и BioMed Elastic 50A для создания эластомерных, биосовместимых устройств и моделей Компания Formlabs объявила о выпуске двух новых материалов для 3D-печати эластомерных, биосовместимых медицинских устройств и моделей. BioMed Flex 80A Resin и BioMed Elastic 50A Resin еще больше расширяют возможности 3D-печати при производстве медицинских изделий и медицинск...

BDUI: sapere aude или продолжай писать на коленке Backend Driven UI — относительно новая парадигма создания приложений. Она позволяет сделать продукт индивидуально полезным для каждого пользователя. На личном опыте я убедился, что это очень важно в современном процессе мобильной (и не только) разработки.В данной статье я по...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

«Аэроэкспресс» обновил мобильное приложение В новой версии приложения полностью обновлен интерфейс и добавлен ряд новых опций, которые позволят сделать процесс покупки билета более быстрым и легким.

[Перевод] Rust — лучший язык для инфраструктуры данных Мир технологий бесконечно цикличен, и за последние несколько лет произошел еще один поворот колеса. Такие проекты, как ScyllaDB и Redpanda, добились успеха, переписав системы с Java (Cassandra и Kafka соответственно) на C++ для повышения производител...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Сделано в Казахстане. Автомобили Skoda теперь будут собирать рядом с Россией Казахстанская торгово-промышленная группа Allur займется производством автомобилей Skoda, причем контракт с Volkswagen Group уже подписан. До конца года с конвейера сойдут первые Skoda казахстанской сборки. Но пока что не сообщается, где именно они будут собираться и о ...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Ученые совершили п​рорыв в измерении ошибок квантовых компьютеров Исследователи разработали новый метод оценки уровня ошибок квантовых компьютеров, не опираясь на длительное моделирование на классических компьютерах. Это значительный шаг вперед в развитии квантовых машин, которые обладают огромным потенциалом для решения сложных задач в ра...

Утечка характеристик GeForce RTX 3050 6 ГБ показывает значительное сокращение ядер Ожидается также, что TGP сократится почти вдвое - со 130 Вт до 70 Вт, что составляет снижение чуть более чем на 46%.

Российские ученые создали способ изготовления люминесцентных покрытий В пресс-службе Томского государственного архитектурно-строительного университета сообщили, что ученые вуза представили новый метод создания люминесцентных материалов, который может найти применение в дорожном строительстве и электронной промышленности. Они разработали более ...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Seagate представила NVMe-накопители BarraCuda 530 нового поколения для игровых ПК Компания Seagate анонсировала выход SSD BarraCuda 530 на смену популярной модели 520. Новинка обещает значительный прирост скорости и надежности. В новой модели реализован более современный протокол NVMe 2.0

Архангельск импортозаместил оборудование для лесопромышленности Губернатор Александр Цыбульский сообщил о новых достижениях в области производства лесозаготовительного оборудования в Архангельской области. На Международной выставке-форуме «Россия» в Москве он представил три новые отечественные головки харвестеров, созданные с целью сниже...

В России делают алюминиевый сплав со скандием для изготовления премиальных колёсных дисков «Русал» занимается созданием нового алюминиевого сплава, из которого планируют делать особо лёгкие и прочные колёсные диски. Разработчики считают, что они позволят снизить расход топлива. Сроки начала производства не уточняются, однако уже сейчас известно, ч...

Пластиковый корпус, который собирается, как LEGO, и эксплуатация за 25 центов в час. Представлен автомобиль Helixx Британская компания Helixx объявила о создании крошечного электрического автомобиля для доставки. Компания утверждает, что инновационный производственный процесс, который обещает сделать производство по всему миру дешевым и простым, доказал свою эффективность. Это лишь...

NVIDIA может использовать одну технологию для производства игровых и серверных GPU Blackwell Ожидаются значительные улучшения в кэш памяти 1-го уровня.

Ava Labs предлагает решение для увеличения производительности Avalanche до 100 000 TPS 26 января Ava Labs поделилась кратким обзором разработки, которая призвана сделать блокчейн плавнее и быстрее

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Китай полностью запретил экспорт редкоземельных металлов для производства магнитов Китай ответил на американские санкции очередным ограничением, которое, скорее всего, окажется для оппонентов более ощутимым. КНР ввела запрет не просто на экспорт редкоземельных металлов — процесс начался несколько месяцев назад, — но и любых технологий для производства магн...

NVIDIA представила ИИ для создания человекоподобных роботов NVIDIA, лидер в области ИИ и видеокарт, анонсировала новую революционную технологию – GR00T (Generalist Robot 00 Technology).

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Кризис в китайской игровой индустрии Новые правила в Китае, ограничивающие расходы в компьютерных играх, вызвали значительные потери для Tencent и Netease, приводя к снижению их акций на 16% и 25% соответственно.

Новое открытие в квантовой физике, и при чем тут кофе со сливками Новое исследование, проведенное физиками из Университета Колорадо в Боулдере, указывает на возможность создания материалов, способных противостоять естественному стремлению к равновесию — явлению, известному как «нарушение эргодичности». Это открытие может иметь значительные...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

Connection pool для pqxx В процессе изучения бекэнда, как нового для меня направления в программировании, я столкнулся с необходимостью оптимизации управления соединениями. Поискав в интернете существующие решения для библиотеки pqxx (C++ API для PostgreSQL), я обнаружил, что хотя они и выполняют св...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Unistellar представила новые телескопы с технологией Multi-Depth Unistellar представила новые модели телескопов — Odyssey и Odyssey Pro. Эти технологичные разработки дадут возможность максимально упростить процесс изучения вселенной.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Canon совершила революцию в мире полупроводников – что не так с технологией NIL-литографии Canon представила новое оборудование для производства полупроводников по 5-нм нормам

В ВСУ призвали втрое нарастить производство беспилотников для компенсации «снарядного голода» Призвать-то можно что угодно. Как это реализовать — вот, в чём вопрос.

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

Risen Energy опубликовала данные модулей HJT Hyper-ion    За последнее десятилетие все больше китайских компаний запускают экологичные энергетические проекты по всему миру. Среди них развитие фотоэлектрической технологии (PV) становится приоритетным двигателем углубленного роста отрасли, с учетом обеспечиваемого ею снижения выбросо...

Samsung строит умные фабрики по производству чипов — собирать микросхемы будет исключительно ИИ Южнокорейский производитель микросхем намерен использовать "умные" технологии и полностью исключить людей из процесса производства кристаллов

Правительство Байдена предлагает постепенно ввести 30% налог на электроэнергию для майнеров Этот шаг направлен на то, чтобы противостоять значительному воздействию на окружающую среду, вызванному энергоемким процессом майнинга криптовалют.

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

NASA представило новые результаты концепта «Луна - Марс» NASA представило результаты своего архитектурного концепта «Луна - Марс» 2023 года. Этот обзор является частью процесса агентства, направленного на разработку дорожной карты для исследования солнечной системы, включая планы по пилотируемым миссиям на Марс. ...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Разработан метод 3D-печати кровеносных сосудов с помощью инновационной технологии формования льда Новая технология 3D-печати обещает совершить революцию в трансплантации органов. Этот инновационный метод, более биологически совместимый, чем предыдущие методы, решает проблемы создания функциональных искусственных органов и может сократить количество испытаний на животных.

Производителей полупроводников, электромобилей, аккумуляторов и «зеленой стали» переведут на низкие налоги в Японии Правительство Японии планирует снизить корпоративный налог для компаний, активно вкладывающихся в различные стратегически важные секторы. Речь идет о производстве инновационных полупроводников, электромобилей, и аккумуляторов-накопителей повышенной емкости. Согласно инф...

ИИ назвали денежной ямой, которая не окупается Серьезные инвестиции Кремниевой долины в генеративный искусственный интеллект пока не оправдывают себя с финансовой точки зрения. С такими проблемами столкнулся и проект Microsoft Github Copilot, призванный автоматизировать часть рабочих процессов программистов. Несмотря на ...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Qualcomm анонсировала новую платформу Snapdragon 8s Gen 3 для Android-смартфонов. Компания Qualcomm анонсировала новую мобильную платформу Snapdragon 8s Gen 3, которая займет место между чипами 8-й и 7-й серий. Она предлагает высокую производительность, улучшенные возможности ИИ и поддержку 5G для создания более доступных флагманских смартфонов.

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Они не помогают Huawei, а «предлагают услуги по очистке сточных вод». Власти Тайваня проверят компании, обвиняемые в нарушении санкций Власти Тайваня проведут проверку четырех местных корпораций после появления информации о том, что они помогают Huawei Technologies в формировании инфраструктуры производства чипов в Китае. При этом министр экономики Тайваня уже заявил, что никаких нарушений торговых сан...

Представлены наушники Sennheiser ACCENTUM Plus На CES 2024 компания Sennheiser представила наушники ACCENTUM Plus, которые предлагают высококачественный звук без значительной ценовой нагрузки.

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Huawei MateBook X Pro 2024 будет весить меньше килограмма Генеральный директор компании Huawei Ричард Ю поделился весьма интересной информацией про новый ноутбук Huawei MateBook X Pro 2024, который, по словам представителя компании, будет крайне лёгким. Новый ноутбук весит всего 980 граммов, что на 30% легче, чем аналогичная модель...

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

Трансформаторы предложили охлаждать. Ради производительности электросетей Исследовательская группа Техасского университета в Остине (UT Austin) сделала значительный шаг к повышению надежности и срока службы электросетей. Их цель — сделать трансформаторы более холодными.

Разница в зуме – всего 0,1х, но фото заметно отличаются. Ice Universe показал фото, сделанные Galaxy S24 Ultra с зумом 9,9х и 10х Известный инсайдер Ice Universe опубликовал на своей страничке в Weibo несколько подборок сравнительных фото, сделанных на камеру Samsung Galaxy S24 Ultra. На изображениях снимки, сделанные с зумом 9,9х и 10х, и и они заметно отличаются. Зум 9,9х Зум 10х Зум 9,9х Зу...

27-дюймовый 100-герцевый IPS-монитор за $84. Представлен AOC 27B35H Компания AOC расширила линейку игровых мониторов, выпустив модель 27B35H, которая уже предлагается за 84 доллара на площадке JD.com. Монитор оснащен 27-дюймовым дисплеем с разрешением Full HD (1920 х 1080 пикселей). Панель IPS предлагает широкие углы обзора 178°, а ...

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Продажи VR-шлемов рухнули несмотря на выход Oculus Quest 3 и анонс Apple Vision Pro Новое исследование Omdia предсказывает значительное снижение продаж на рынке виртуальной реальности.

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Apple запускает видеоконсультации в приложении Apple Store для клиентов в США Компания Apple представила новую функцию видеоконсультаций в приложении Apple Store версии 5.24, позволяющую клиентам в США получить персональную помощь от специалистов по продуктам Apple. Нововведение призвано улучшить качество обслуживания и упростить процесс выбора и поку...

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

Наручные атомные часы стали ближе. Определение координат без GPS Прототип атомных часов CSIC образца 2004 года, источник. К сожалению, реальное устройство с управляющей электроникой оказалось гораздо массивнее В своё время атомные часы сделали возможным создание спутниковых систем навигации вроде GPS, которые опираются на сверхточные си...

KAMA TYRES установил новое оборудование в химводолаборатории Шинный комплекс KAMA TYRES завершил модернизацию химводолаборатории ООО «Энергошинсервис» с учетом принципов бережливого производства. Были проведены ремонтные работы в помещениях, обновлено рабочее пространство, лабораторное и климатическое оборудование. «Следование и внедр...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Автомобили Tesla стали лучше определять местоположении iPhone Разблокировка автомобиля Tesla стала еще более «плавной и безопасной» благодаря недавнему обновлению приложения для iPhone. Новая функция использует UWB технологию, предлагая значительное усовершенствование по сравнению с традиционным телефонным ключом на основе Bluetooth.

Первый ноутбук на новейших 15-ваттных Intel Core Ultra, но не с Windows или Linux. Представлен Asus ExpertBook CX54 Chromebook Plus Битва iGPU Intel и AMD вышла на новый уровень. Core Ultra 7 155H и Ryzen 7 7840HS сравнили в восьми играх и двух режимах Компания Acer представила первый хромбук на основе новейших процессоров Intel Core Ultra. Модель ExpertBook CX54 Chromebook Plus опирается на Meteor...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

Немецкий стартап представил энергосберегающую технологию для ИИ Немецкая компания Semron, основанная выпускниками Дрезденского технического университета Кай-Уве Демасиусом и Ароном Киршеном, представила новое устройство управления нейронными сетями, использующее мемконденсаторы. Эти устройства работают через электрические поля, а не элек...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Российские ученые разработали методы обучения роботов на основе языковых моделей Ученые из МФТИ, AIRI и Федерального исследовательского центра «Информатика и управление» РАН совершили значительный прорыв в разработке интеллектуальных роботизированных систем. Их новейший алгоритм позволяет роботам выполнять сложные задачи, опираясь на текстовые указания и...

Многопоточность и параллелизм в Go: Goroutines и каналы Язык программирования Go, разработанный с упором на простоту и эффективность, предлагает уникальный подход к реализации параллельных вычислений через Goroutines и каналы. Goroutines, представляющие собой легковесные потоки выполнения, обеспечивают значительные преимущест...

Ценовая война продолжится в 2024 году, уверены в BYD. Sea Lion и Seal станут целыми линейками Генеральный менеджер BYD, ответственный за серию Ocean, Чжан Чжо, подтвердил, что Sea Lion станет новой линейкой, ориентированной исключительно на кроссоверы, а также спрогнозировал продолжение ценовой войны. Чжуо заявил, что ценовая война в Китае по производству электр...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Перенос вашего проекта под Embox на новую плату STM32 Всем приветВ этой статье я хочу показать, насколько в Embox легко перенести существующий проект на новую платформу. За основу возьмем уже описанный ранее демонстрационный проект с ModBus и расскажем, как портировать наш проект на новую плату на примере STM32 NUCLEO-F2207ZG.В...

Теперь китайцы получат ускорители Nvidia, которые в лучшем случае почти в семь раз медленнее, чем H100. Для обхода санкций представлены HGX H20, L20 PCIe и L2 PCIe Компания Nvidia уже представила те самые ускорители для ИИ, предназначенные для Китая, о которых мы говорили сегодня ранее.  Как и сообщалось, анонсировано три модели: HGX H20, L20 PCIe и L2 PCIe. Они довольно сильно отличаются друг от друга, а все параметры можно...

Российская компания Cognitive Pilot представила нейросеть для обучения автопилотов умного транспорта Новая технология учитывает все возможные ситуации на дороге, включая редкие и необычные, и ускоряет процесс обучения.

Динамический диапазон шире, а шума – меньше. Представлен новый 50-мегапиксельный сенсор Samsung ISOCELL GNK Samsung обновила страничку со своими датчиками изображения для смартфонов, и в описании обнаружилась новая модель – ISOCELL GNK. Матрица поддерживает технологию Dual Pixel Pro (фазовый автофокус). Акцент сделан на технологии HDR: заявленный динамический диап...

Безопасность DevOps. Автоматизация и новые инструменты Цикл популярности понятий из безопасности приложений, 2022 год. Из одноимённого отчёта Gartner. См. также обновление за 2023 год В процессе внедрения системы безопасности в DevOps можно использовать многие инструменты, которые уже применяются в компании. Какие-то будут пло...

WhatsApp получил новую функцию создания списков в чатах В последнем обновлении WhatsApp появилась функция создания списков, предлагающая пользователям более организованный метод общения.

Apple прекращает производство «экологичных» чехлов FineWoven В прошлом году Apple представила линейку экологичных чехлов FineWoven для iPhone и ремешков для Apple Watch. Эти аксессуары были призваны служить более экологичной альтернативой традиционным кожаным или силиконовым чехлам. Однако многие покупатели аксессуаров пожаловались на...

YouTube начал подсказывать авторам, как снимать ролики, чтобы их больше смотрели Как и в других продуктах Google, на YouTube появляются новые функции искусственного интеллекта, призванные улучшить работу платформы. Многие из этих функций до сих пор были экспериментальными, а часть из них так и не дошла для общественного использования. Тем не менее, почт...

США вкладывают миллиарды долларов в расширение производства чипов Администрация Байдена заявила в понедельник, что правительство предоставит 1,5 миллиарда долларов компании GlobalFoundries, производящей компьютерные чипы, для расширения ее внутреннего производства в Нью-Йорке и Вермонте. Это часть финансовой поддержки полупроводниковы...

Представлен Yamaha Drive H2 — первый в мире гольф-кар с двигателем внутреннего сгорания на водороде Представлен Yamaha Drive H2, основанный на существующей гольф-каре Drive2 Concierge 4, но с новой трансмиссией. Его рекламируют как первый в мире гольф-кар с двигателем внутреннего сгорания, работающим на водороде. Yamaha Drive H2 оснащен парой водородных баков высоког...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

BMW показала в Китае 15 моделей, включая BMW i4 и Neue Klasse. В этом году будет представлено 20 моделей На автосалоне в Пекине BMW и Mini представили модельный ряд из пятнадцати моделей автомобилей. От компактных автомобилей начального уровня до больших роскошных автомобилей, стенд BMW охватывал практически все сегменты роскошных автомобилей, предлагая различные варианты ...

Наступает эпоха смартфонов совершенно нового класса? Deutsche Telekom готовит телефон без приложений, который полностью будет опираться на искусственный интеллект Похоже, в ближайшее время на рынке появится сразу несколько смартфонов нового поколения, которые будут полностью опираться на искусственный интеллект.  Компания Deutsche Telekom готовится представить на MWC 2024 свой телефон, который пока что называют просто T Pho...

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

Украина готовится создать армию наземных беспилотников Украина, помимо создания значительной армии воздушных и морских беспилотников, используемой ею в ходе СВО, приступила, по сообщениям СМИ, к производству наземных беспилотников.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)