Социальные сети Рунета
Четверг, 2 мая 2024

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

iPhone 16 Pro Max получит передовой сенсор Sony Текущий флагманский смартфон iPhone 15 Pro Max сохранил тот же 48-мегапиксельный основной сенсор, который использовался в том числе в iPhone 14 Pro Max, но инженеры компании значительно его улучшил, чтобы новый флагман снимал лучше предыдущего. Теперь инсайдеры сообщают о то...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Американские поезда уже 26 лет «работают» на дискетах. Система муниципального транспорта Сан-Франциско не модернизировалась с 1998 года Несмотря на то, что в целом потребительский рынок уже давно отошёл практически от любых носителей данных, в некоторых профессиональных отраслях до сих пор используются очень старые устройства. К примеру, Железнодорожная система Муниципального транспортного агентства Сан...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Samsung Galaxy S24 получит передовой экран OLED М13 Компания Samsung официально подтвердила, что смартфоны Samsung Galaxy S24 представят в ходе мероприятия Unpacked, которое пройдет 17 января в США. А пока что известный инсайдер Ice Universe подтвердил опубликованную ранее информацию о том, что в дисплеях серии Galaxy S2...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Это Haval Raptor 2024. Официальные изображения внедорожника в цветах Storm Yellow и Jiangnan Grey Компания Great Wall Haval Motors официально объявила о том, что Haval Raptor 2024 будет доступен в цветах Storm Yellow и Jiangnan Grey. Согласно официальному описанию, при нанесении цвета Storm Yellow используется высокоэффективная краска, новый процесс распыления и на...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Летающее такси Hyundai будет использовать самые передовые тяговые аккумуляторы Когда начнёт эксплуатироваться в 2028 году.

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Применяем Java Sealed Classes на практике В этой статье применим Sealed Classes для улучшения читаемости кода, используя пример из реальной разработки. В статье используется Java 21 т.к. это первая LTS версия Java с релизным Pattern Matching. Также в примере используется Spring Boot, но этот подход можно использоват...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Китай уже создаёт контейнеровоз на передовом ториевом реакторе В судне будет использоваться новый ториевый реактор на расплаве солей — как более безопасная альтернатива аналогам на уране

«Росэлектроника» создаст передовые микросхемы для бортового оборудования Холдинг «Росэлектроника» Госкорпорации Ростех ведет разработку линейки интегральных микросхем и микросборок, которые будут использоваться в бортовых системах электропитания летательных аппаратов.

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Передовой защищённый планшет FOSSiBOT DT2 предлагается с внушительной скидкой Мобильный компьютер FOSSiBOT DT2 можно будет использовать в самых суровых условиях

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

OnePlus Ace 3 получил совершенно новый цвет Mingsha Gold Глава OnePlus Ли Цзе объявил, что OnePlus Ace 3 получил совершенно новый цвет, который назвали Mingsha Gold. Я хотел бы использовать три слова, чтобы описать цвет Mingsha Gold, а именно: текстура, мастерство и эстетика. Ли Цзе Он добавил, что разработчики использовали ...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

Tunnels Nightmare. Используем провайдерские протоколы для пивотинга Для подписчиковВ современном стеке протоколов TCP/IP есть множество протоколов туннелирования. Обычно они используются для расширения сетей продакшена, построения инфраструктуры. Но в моем исследовании я буду использовать их как пентестерский инструмент.

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Новую ракету «Амур-СПГ» можно будет использовать 50 раз. Или даже 100 По словам генерального директора Роскосмоса Юрия Борисова, перспективная российская многоразовая ракета «Амур-СПГ» может быть использована до 100 раз. Это в несколько раз больше, чем ракета Falcon 9 американской компании SpaceX. Борисов заявил об этом на лек...

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

ИИ поможет в производстве стволовых клеток для регенеративной медицины Исследователи из Северо-Восточного университета продемонстрировали, как искусственный интеллект (ИИ) может использоваться для массового производства плюрипотентных стволовых клеток, что может быть использовано в лечении рака, болезни Альцгеймера или Паркинсона, а также в рег...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Nvidia выходит на поле квантовых вычислений с облачным сервисом Quantum Cloud Nvidia запустила облачный сервис для квантовых вычислений, стремясь получить прибыль от области, которая получает финансирование по всему миру, несмотря на то, что в этой области до сих пор было создано мало приложений. По словам Тима Косты, директора по высокопроизводи...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Экран без вырезов и отверстий, ярче и контрастнее, чем у iPhone 15 Pro. Новинку Nubia Z60 Ultra показали на живых фотографиях Kuai Technology сообщила, что смартфон Nubia Z60 Ultra будет официально представлен 19 декабря этого года. Nubia Z60 Ultra будет использовать технологию подэкранной камеры, которая позволяет отказаться от вырезов и отверстий в дисплее. Также было опубликовано сравнение...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

Авторы MMORPG ArcheAge War показали классы и скиллы персонажей в новом видео На YouTube-канале ArcheAge War появилось новое видео, в котором разработчики показали разные типы оружия, которые игроки смогут использовать в PvE и PvP. Первое оружие — двуручный меч. Он используется варварами для быстрых и сильных атак по одной цели и AoE. Второе ору...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

Зачем автомобиля Toyota «надувной матрас» в багажнике? Компания запатентовала новый способ крепление вещей в пикапе Производители автомобилей каждый день регистрируют патенты на различные идеи, среди которых иногда всплывают действительно интересные варианты. Компания Toyota запатентовала необычный «надувной матрас», который прикреплен к нижней части крышки кузова пикапа....

APU AMD будут использовать архитектуру RDNA3+ как минимум до 2027 года Таким образом, ещё не вышедшая графика уже планируется использоваться как минимум в течение трех лет

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

МегаФон обеспечил инновационный кластер МГУ сверхскоростным интернетом Оператор связи «МегаФон» завершил обновление сети в инновационном кластере «Ломоносов» МГУ, делая сверхскоростнjq интернет доступным для резидентов. Новая инфраструктура предоставляет скорость до 180 мегабит в секунду, а также возможность использовать передовые технологии св...

Senao Networks представила свою передовую сетевую карту SX904 SmartNIC Senao Networks представила свою передовую сетевую карту SX904 SmartNIC, которая использует технологию PCIe Gen 4 и работает на базе процессора Intel Xeon D

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Nvidia использует Samsung для создания графических процессоров с искусственным интеллектом Передовые процессы упаковки чипов необходимы для высоко востребованных графических процессоров искусственного интеллекта компании Nvidia. В связи с этим стало известно, что Samsung теперь будет производить продукцию для Nvidia.

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Материнские платы MSI теперь поддерживают до 256 ГБ оперативной памяти Сегодня компания MSI стала ещё одним производителем материнских плат, представившим поддержку оперативной памяти DDR5 объёмом до 256 ГБ на своих материнских платах с чипсетами серии Intel 700 и 600. Собственно, в прошлом году крупные производители памяти представили множеств...

Китай закупает передовые чипы Nvidia в обход санкций США Несмотря на расширенные ограничения США на экспорт передовых чипов Nvidia с технологиями ИИ в Китай, десяти китайским компаниям, связанным с правительством, удалось закупить эти чипы, встроенные в серверные продукты, у таких известных поставщиков, как Super Micro Comput...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Intel рассказала, как искусственный интеллект помогал ей при разработке процессоров Meteor Lake Искусственный интеллект используется во многих сферах, и один из вариантов — разработка новых чипов. Intel поделилась информацией, как использовала ИИ при разработке своих новейших процессоров Meteor Lake.  создано DALL-E Судя по всему, пока ИИ используется ...

Google представила Lumiere – передовой ИИ для создания видео Google представила Lumiere, передовую модель для создания видео с помощью искусственного интеллекта. Lumiere отличается своей способностью создавать реалистичные или сюрреалистичные видеоклипы продолжительностью до пяти секунд каждый.

Что такое бизнес-чат-боты и зачем они компаниям Бизнес-чат-боты – это программы, которые помогают компаниям общаться со своими клиентами 24/7 через мессенджеры, такие как WhatsApp, Facebook Messenger и другие. Они позволяют автоматизировать процесс общения с клиентами, сокращая время ответа на запросы и повышая качество о...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

5300 мА·ч, IP68, HyperOS, передовая камера Leica с двумя перископными объективами. Характеристики Xiaomi 14 Ultra от надежного инсайдера Инсайдер Йогеш Брар (Yogesh Brar) опубликовал перечень технических характеристик будущего суперфлагмана Xiaomi – Xiaomi 14 Ultra. Исходя из этих днных, Xiaomi 14 Ultra станет улучшенной версией нынешнего Xiaomi 13 Ultra. Например, характеристики экрана у нови...

«Ростелеком» и «Оптиковолоконные Системы» будут развивать передовые отечественные технологии оптических волокон «Ростелеком» и единственный в России производитель оптического волокна «Оптиковолоконные Системы» подписали соглашение о сотрудничестве, направленное на ускоренное развитие технологии выпуска оптического волокна, используемого в качестве световодов в высокопроизводительных т...

Уволенный глава OpenAI Сэм Альтман присоединился к передовой исследовательской группе Microsoft в области ИИ Microsoft нанимает бывшего генерального директора OpenAI Сэма Альтмана и соучредителя Грега Брокмана. Альтмана уволили из OpenAI в пятницу после того, как совет директоров заявил, что «больше не уверен в его способности продолжать руководить OpenAI». После п...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Китайские автопроизводители голосуют за Nvidia. Li Auto, Great Wall Motors, Zeekr и Xiaomi будут использовать платформу Nvidia Drive Orin в системах автономного вождения На стартовавшей в США выставке CES 2024 Nvidia заявила о широком сотрудничестве с китайскими автопроизводителями. Хорошо известные в России Li Auto, Great Wall Motors и Zeekr используют сейчас (в некоторых моделях) и будут использовать в дальнейшем платформу Nvidia Driv...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Компания Lenovo представила новый суперкомпьютер MareNostrum 5 MareNostrum 5 обладает исключительной производительностью и использует передовую технологию прямого водяного охлаждения Neptune от Lenovo

Запуск первого спутника дистанционного зондирования Земли «Ресурс-ПМ» намечен на 2025 год По сообщению Роскосмоса, первый модернизированный спутник дистанционного зондирования Земли (ДЗЗ) из серии «Ресурс-ПМ» будет выведен на орбиту в 2025 году. Новые космические аппараты «Ресурс-ПМ» придут на смену спутникам «Ресурс-П», к...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

ТАСС: В поисках уклонистов военкомы Киева используют дроны и тепловизоры, устраивая засады в тылу Вместо отправки на передовую дронов и тепловизоров военкомы Киева используют их для поимки призывников

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Google и Microsoft объединились для развития чистой электроэнергии Корпорации Nucor Corporation, Google и Microsoft Corporation объявили о сотрудничестве в рамках энергетической экосистемы для разработки новых бизнес-моделей и агрегации спроса на передовые технологии чистой энергии. Основное внимание будет уделено развитию первых коммерческ...

Российские разработчики озвучили робота для фильма с помощью ИИ Российская группа компаний ЦРТ использовала передовые разработки в области нейросетей и искусственного интеллекта для создания голоса робота Вертера в фильме «Сто лет тому вперед». Режиссер и автор сценария Александр Андрющенко подчеркнул, что желал познакомить новое поколен...

В Севастополе на выборах впервые будут использоваться тифломаркеры В Севастополе на выборах президента России впервые будут использованы тифломаркеры для голосования избирателей с нарушениями зрения, обеспечивая доступность и удобство избирательного процесса.

Появилось видео уничтоженной ЗРК "NASAMS", накрытой неназванным боеприпасом вдали от передовой Кажется в последние дни началась самая настоящая охота за передовыми украинскими ЗРК. Обычно такое бывает перед масштабным наземным наступлением

ЕС и США будут использовать ИИ для поиска заменителей «вечных» химикатов Европейский союз и Соединенные Штаты Америки намерены привлечь искусственный интеллект (ИИ) для поиска заменителей так называемых «вечных» химикатов, которые широко используются в производстве полупроводников. Особое внимание будет уделено ускорению поиска подходящих материа...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

В сеть слили маркетинговые материалы Samsung Galaxy S24 Если верить информации инсайдеров, то уже в следующем месяце официально выйдет серия смартфонов Galaxy S24 с достаточно мощным аппаратным обеспечением и передовой системой камер, хотя, честно говоря, ожидать каких-то существенных аппаратных апгрейдов в этом направлении не ст...

Microsoft представила нейросеть VASA-1 для оживления портретов людей VASA-1 использует передовые алгоритмы, чтобы вдохнуть жизнь в неподвижные изображения, превращая их в реалистичный интерактивное видео

Китай планирует выявлять и развивать высокопотенциальные отрасли будущего Используя такие технологии, как искусственный интеллект и передовые вычисления, предлагаемая платформа призвана точно выявлять и развивать высокопотенциальные отрасли будущего.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Что такое SIP-телефон Yealink SIP/H.323/RTSP-протоколы, поддерживаемые телефонными аппаратами Yealink, позволяют использовать их в качестве SIP/H.323-устройств для коммуникации в IP-сетях. SIP (Session Initiation Protocol) и H.323 (Packet-based Multimedia Communications Systems) - это стандартные протоко...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Tech Xplore: учёные используют ИИ и Google Street View для прогнозирования энергопотребления домов Используя данные Google Street View, исследователи могут прогнозировать энергопотребление зданий. ИИ используется для эффективного прогнозирования, предоставляя градостроителям и властям важные данные.

Шпаргалка глаголов на английском языке при составлении тест-кейсов и заведении дефектов Автор: Надежда Дудник Памятка для начинающих инженеров по тестированию ПО.Указанная ниже шпаргалка помогала моим менти составлять тестовую документацию при выполнении тестовых заданий, а также проектных задач.Все глаголы я как раз использовала на своих англоязычных проектах...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Правительство США готовит «черный список» китайских производителей чипов США работает над списком китайских заводов, которым запрещено использовать передовые инструменты для производства чипов, поскольку Китай продолжает накапливать их запасы.

ВС РФ в зоне СВО начали использовать РЭБ "Лесочек" – система отключает мины и фугасы по радиоканалу Электронная промышленность в сфере ОПК выдала на-гора передовой продукт

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Япония и США займутся совместной разработкой передового ИИ Премьер-министр Японии Фумио Кисида и президент США Джо Байден на саммите в Вашингтоне, который состоится 10 апреля, объявят о совместной работе над передовыми технологиями в области искусственного интеллекта (ИИ) и полупроводников.

Роскошный интерьер автомобилей Canoo для астронавтов программы Artemis: эстетика и комфорт NASA показала интерьер нового автомобильного парка от компании Canoo Technologies Inc, предназначенного для астронавтов программы Artemis. Первая команда астронавтов Artemis 2 будет использовать эти электрические автомобили во время подготовки к лунной миссии, начиная с...

REST API сервер на Bash с использованием сокетов и Apache Всем привет! Ранее рассказывал о том, как создать REST API и Web-сервер на PowerShell для Windows, а также упоминал, что подобный сервер будет работать и в системе Linux, благодаря кроссплатформенной версии PowerShell Core. Безусловно, для подобных целей лучше используются с...

Core Ultra 7 155H и Ryzen 9 8845HS сошлись в битве нового поколения, где сравнивается производительность ИИ. Тесты показали, что Ryzen быстрее В последнее время производители всё активнее сравнивают свои процессоры по производительности блоков NPU. И хотя это пока практически бесполезная для обычного пользователя вещь, авторы ресурса Computerbase решили сравнить современные CPU Intel и AMD, воспользовавшись бе...

Новый ноутбук Samsung на Snapdragon X Elite оказался заметно быстрее MacBook с чипом Apple M2 В базе тестов Geekbench был замечен ноутбук под названием Samsung Galaxy Book4 Edge, оснащенный чипом Snapdragon X Elite. Информация об этом появилась на портале WindowsLatest. Согласно результатам тестов Geekbench, устройство набрало 2706 баллов в одноядерном тесте и 1...

Сайты правительств и университетов взламывают через старый редактор FCKeditor Злоумышленники используют старый редактор, прекративший свое существование 14 лет назад, для компрометации сайтов образовательных и государственных учреждений по всему миру. Затем взломанные ресурсы используются для отравления поисковой выдачи (SEO Poisoning), а также продви...

Samsung создаёт память UFS 4.0 для работы с ИИ на смартфоне Западные информационные издания сообщают, что компания Samsung довольно усердно работает над новой версией памяти UFS 4.0, оптимизированной для операций в области искусственного интеллекта, хотя подробности пока что никто не может раскрыть по вполне понятным причинам. Корейс...

Изображение из Playboy 1972 года запретили использовать в научных работах IEEE Культовое изображение «Ленна», фотография модели Playboy 1972 года, широко использовавшаяся в исследованиях по обработке изображений на протяжении десятилетий, теперь будет запрещена. На этой неделе IEEE Computer Society объявила, что после 1 апреля больше не будет принимать...

Мощи Snapdragon 8 Gen 4 достаточно, чтобы конкурировать даже с Apple M2. В Сети появились первые тесты Пока на рынке только начинают появляться первые смартфоны с SoC Snapdragon 8 Gen 3, в Сети уже появились результаты тестирования Snapdragon 8 Gen 4.  Само собой, речь не может идти о серийных платформах, так что к результатам стоит относиться соответствующе. Но он...

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Xiaomi анонсировала две новые модели умных часов Часы Mitu оснащены передовой системой позиционирования, которая использует двухчастотный GPS для определения их местоположения

"Плохое зрение" и невнимательность заставили украинский экипаж бросить упавший в воронку Leopard 2 Умные американцы запретили таким "водителям" на передовой использовать свои Abrams, а вот Олаф Шольц кажется не против: если что Rheinmetall AG ещё "наклепает"

Секреты Huawei и Kirin 9000s – как они достигли 7нм – что ... Новый флагманский чипсет Huawei Kirin 9000s, используемый в Mate 60 Pro, вызвал много вопросов, ведь компания не имела доступа к передовым технологиям из-за санкций США, однако они создали собственный 5G чипсет.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Новая мышь Huawei поддерживает Bluetooth и StarLight Беспроводная мышь Huawei Wireless Mouse Star Flash Edition (модель CD23-R) прошла сертификацию перед началось продаж. Мышь позволяет обмениваться данными с компьютером/планшетом через Bluetooth или StarLight. Информация о сертификации показывает, что мышь CD23-R использ...

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

BOXX Technologies представила монтируемую в стойку рабочую станцию RAXX S1G RAXX S1G также оснащена передовым жидкостным охлаждением

Grand Theft Auto IV запустили на Snapdragon 8 Gen 2 через Termux-Box Grand Theft Auto IV — крепкий орешек в мире эмуляции на Android. Поэтому многие энтузиасты ранее пытались создать мобильный порт GTA IV, но результат был далёк от оригинала. У Ютубера Mark Korolev получилось невозможное — он запустил GTA IV на смартфоне со Snapdragon 8 Gen ...

Meizu 21 Pro возможно прошел сертификацию в Китае Meizu 21 Pro видимо будет работать на чипсете Snapdragon 8 Gen 3, который также используется в стандартной модели Meizu 21

Nvidia создаст новое подразделение для производства чипов Продукция будет использоваться в облачных вычислениях, игровой и автомобильной индустриях, а также в разработке ИИ

Оборонные компании Европы разрабатывают лазерное оружие второго поколения на основе DragonFire Ведущие оборонные компании Европы, включая MBDA, Leonardo и QinetiQ, работают над проектами "второго поколения" лазерных систем вооружений, основанных на технологиях прототипа DragonFire. Эти передовые лазерные системы смогут использоваться как на море, так и на суше, открыв...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Правила Евро-7 не страшны легковым машинам. 512-сильный V6 Alfa Romeo будет использоваться и дальше Нормы Евро-7 должны вступить в силу в 2025 году, и автопроизводители стараются гарантировать, что их модельный ряд будет соответствовать новым стандартам выбросов, которые еще даже не доработаны. Последнее предложение оказалось более мягким, чем предыдущие, поскольку он...

Апдейт для Siri: Apple внедряет передовые технологии ИИ Обновленная версия Siri также сможет обучаться самостоятельно по мере использования.

США запретили ввозить и продавать часть ноутбуков в Китае США еще больше ограничивают доступ Китая к передовым ИИ-ускорителям и инструментам, необходимым для их производства. Пересмотренные правила основываются на ограничениях, введенных в октябре прошлого года, и занимают 166 страниц. Так, теперь они четко ограничивают экспорт ноу...

Apple разрешила установку софта за пределами App Store Апдейт операционной системы iOS 17.4 принёс значительные изменения в App Store, позволяя пользователям загружать и устанавливать приложения вне платформы. Кроме того, компания также открывает свою операционную систему для сторонних разработчиков — например, пользователи смог...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Игровой бренд Philips, EVNIA, представил 49-дюймовый изогнутый игровой монитор QD OLED Монитор использует передовую технологию QD OLED, которая сочетает OLED и квантовые точки для обеспечения высокого качества изображения с глубокими черными и яркими цветами

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Алгоритмы AdaBoost (SAMME & R2). Принцип работы и реализация с нуля на Python Следующим мощным алгоритмом машинного обучения является AdaBoost (adaptive boosting), в основе которого лежит концепция бустинга, когда слабые базовые модели последовательно объединяются в одну сильную, исправляя ошибки предшественников.В AdaBoost в качестве базовой модели и...

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Samsung пересчитает ГБ на смартфонах: в One UI 6 используется новый метод, чтобы не вводить пользователей в заблуждение Компания Samsung Electronics объявила об изменениях методов расчёта размеров файлов и ёмкости хранилища устройства в фирменной оболочке One UI. Отмечается, что эти изменения были внедрены, чтобы уменьшить путаницу среди пользователей.  Начиная с One UI 6.0, смартф...

Эксперт: передовые технологии — главный «козырь» войск России По мнению полковника в отставке и военного эксперта Анатолия Матвийчука, самое мощное оружие российских вооруженных сил — это комплекс передовых технологий. В интервью «Ленте.ру» он подчеркнул важность передовых военных технологий России, включая воздушно-космические силы и ...

Реализация взаимодействия с БД через Middleware в Telegram-ботах Когда я начинал писать своих первых ботов с использованием базы данных, их код был очень плохим: он расходовал лишние ресурсы, а также была плохая архитектура проекта. Поэтому я хочу поделиться с вами своими знаниями, чтобы вы не наступали на те грабли, на которые наступа...

Тайвань показывает зубы: производители чипов сталкиваются с ограничениями Тайвань усиливает защиту ключевых технологий страны. Так, правительство Тайваня обнародовало список из 22 технологий в пяти секторах производства (оборона, космос, сельское хозяйство, полупроводники и информационная безопасность ), которые будут дополнительно защищены от ут...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Дешёвый аккумулятор iPhone 15 будет «умирать» так же быстро, как и АКБ iPhone 14. Он рассчитан всего на 600 циклов зарядки, о чем сообщил проверенный инсайдер Многие пользователи жаловались, что смартфоны iPhone 14 резко потеряли остаточную ёмкость аккумулятора после нескольких месяцев использования. По новым данным, у iPhone 15 может быть такая же проблема. В серии iPhone 15 используются более дешевые аккумуляторы, выдержива...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Пьезоэлектрический «костный бандаж» значительно ускоряет срастание костей Исследователи из Корейского института передовых технологий разработали уникальную методику заживления трещин в костных тканях. В ней используются два дополняющих друг друга подхода — пьезоэлектрический бандаж и стимулирующий рост клеток природный минерал. Ученые постарались ...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Jaecoo анонсировал три новые модели авто для России с флагманским кроссовером Jaecoo J8 во главе Автомобильный бренд Jaecoo, за которым стоит китайская Chery, объявил о своих планах по выпуске новых моделей на российском рынке. Как сообщила пресс-служба, в 2024 году планируется выпуск сразу трёх новинок. В первом квартале 2024 года в продаже появится флагманский к...

Самые крутые китайские внедорожники BYD Yangwang U8 и BYD Leopard 5 могут официально поставлять в Европу Руководители BYD сообщили, что компания планирует рассмотреть возможность экспорта двух моделей внедорожников в Европу — Yangwang U8 и BYD Leopard 5. BYD подтвердила, что по крайней мере один автомобиль Yangwang U8 был отправлен в Европу и будет использоваться в к...

Представлен российский экзоскелет для руки. В Ростехе заявили, что он не имеет аналогов в РФ Холдинги Госкорпорации Ростех показывают на форуме «Биотехмед-2023» передовые разработки в области фармацевтики и медоборудования. В этом году форум проходит в Сочи с 9 по 10 октября. КРЭТ Госкорпорации Ростех представляет, в частности, не имеющий российских...

В сети появились характеристики Xiaomi 14 Ultra Как всегда флагман Xiaomi получит самое передовое оборудование и технологии, а также станет лидером по их внедрению

Амбициозный план: Google решила создать «карту вашей жизни» с помощью ИИ Проект Google «Ellmann» - это новая разработка компании, цель которого - использовать передовой искусственный интеллект Gemini для создания всеобъемлющей картины жизни пользователей путем обработки различных данных, включая изображения, видео и аудио.

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Безопасность DevOps. Автоматизация и новые инструменты Цикл популярности понятий из безопасности приложений, 2022 год. Из одноимённого отчёта Gartner. См. также обновление за 2023 год В процессе внедрения системы безопасности в DevOps можно использовать многие инструменты, которые уже применяются в компании. Какие-то будут пло...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

[Перевод] Краткий обзор техник векторизации в NLP Как переводчик-редактор, я интересуюсь темой NLP и автоматизации рутины бюро переводов. Изучая вопрос того, как смысл слов превращается в векторы, наткнулся на эту обзорную статью. Статья мне показалась изложенной доступно, поэтому я перевел ее для удобства других коллег. Ра...

Смартфон для джаваскриптера-олдфага: стоит ли гику брать дешманские девайсы на KaiOS? Смотрим на Nobby 240 LTE Друзья! Много ли платформ вы знаете, где для написания пользовательских приложений используется стек… веб-технологий, причём это единственный нативный способ писать программы? Услышав о HTML5 + CSS + JS, на ум приходит разве что webOS — которая используется в современных т...

США просят правительство Нидерландов запретить ASML обслуживать технику на предприятиях в Китае США не хотят, чтобы Китай производил передовые чипы и использовал их, особенно в военной сфере. В этом контексте к препятствиям США в ASML очень скоро может добавиться новое.

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Politico: китайские хакеры украли 60 тысяч писем в результате взлома Госдепа США Хакеры использовали один украденный сертификат Microsoft для проникновения в учетные записи электронной почты Госдепартамента. Этот сертификат также был использован для взлома 25 организаций и правительственных учреждений.

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Два вида гибридов и 6 или 7 мест на выбор. Появились живые фото и подробности о Buick GL8 Министерство промышленности и информационных технологий сообщило подробности о гибридных минивэнах Buick GL8. Автомобили будут доступны в 6 и 7 местами, а также с двумя силовыми установками. Гибридная модель оснащена двигателем LSZ 2.0T максимальной мощностью 155 кВт. В...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

Apple сильно модернизирует SIri, чтобы «превратить её в идеального виртуального помощника» Инсайдер, известный в Twitter под ником Revegnus, поделился эксклюзивной информацией о том, что Apple полностью изменит и улучшит голосовой помощник Siri. Изображение Midjourney Хорошие новости: Apple в настоящее время использует LLM, чтобы модернизировать Siri и превр...

Графические процессоры AMD Instinct MI300X используются в LLM-капсулах LaminiAI LaminiAI использует стандартные ускорители MI300 с 2023 года

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Собран второй лётный образец «царь-двигателя» РД-171МВ По сообщению пресс-службы Роскосмоса, в НПО «Энергомаш» собрали второй лётный образец двигателя РД-171МВ. Первый образец был изготовлен ещё летом текущего года. РД-171МВ — это самый мощный в мире жидкостный ракетный двигатель, в качестве компонентов то...

YOFC представила передовые инновации на MWC 2024 в Барселоне   Компания YOFC (Yangtze Optical Fibre and Cable Joint Stock Company), лидер на мировом рынке оптического волокна и кабеля, представила пакет передовых продуктов и решений на Всемирном мобильном конгрессе 2024 в Барселоне (MWC Barcelona). В рамках темы «Объединяя будуще...

Galaxy Z Fold 6 получит те же камеры, что использовались в Fold 4 Серия Galaxy Z Fold от Samsung изменила представление о складных телефонах, но, похоже, инновации в области камеры могут не стать приоритетом для предстоящего Z Fold 6. По словам надежного источника Ice Universe, в Z Fold 6 будет использована та же самая камера, что и в его ...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Разница между Huawei P70 и Huawei P70 Pro хорошо заметна на первых фотографиях защитных чехлов Опубликованные фотографии защитных чехлов для Huawei P70 и Huawei P70 Pro демонстрируют разницу между стандартной и старшей версиями. Источники подтверждают, что серия Huawei P70 будет выпущена в этом месяце, а защитные чехлы сторонних производителей в настоящее время н...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Инсайдер рассказал о наличии титана в складном Samsung Galaxy Z Fold6 Samsung изменит ситуацию на рынке складных телефонов, по слухам, используя титан в предстоящем Galaxy Z Fold6. Ожидается, что этот премиальный выбор материала будет отличать Fold6 от его более доступного собрата, Galaxy Z Fold6 FE, в котором, скорее всего, будет использовать...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Новый игровой контроллер Kishi Ultra для iPad mini и смартфонов от компании Razer Контроллер Razer Kishi Ultra был разработан для iPad mini, но он также работает со смартфоном и может использоваться как обычный контроллер для ПК.

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Поиск пути в ВГД-лабиринте Проанализируем поиск кратчайшего пути в некотором лабиринте. Из каждой клетки этого лабиринта можно ходить в соседние по горизонтали, по вертикали и по диагонали. Стоимость прохода по горизонтали или по вертикали равна единице. Стоимость прохода по диагонали равна корню квад...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Как использовать html-элемент <dialog>? Привет, Хабр! Меня зовут Александр Григоренко, я фронтенд-разработчик. В основном, занимаюсь разработкой приложений на React, но также постоянно экспериментирую с различными технологиями.В своей работе я часто создаю собственные или использую уже готовые UI-компоненты. Пробл...

AMD купила компанию для работы с ИИ Ни для кого не секрет, что современный рынок высоких технологий активно двигается в сторону искусственного интеллекта, так как решения на базе этой технологии позволяют существенно упростить многие технологические процессы. Например, сейчас мало кого можно удивить системой, ...

Пусть и с большим опозданием, но Samsung все же выйдет на уровень Sony: у Samsung появится свой дюймовый датчик изображения для топовых смартфонов Инсайдер, известный в Twitter (X) под ником Revegnus, рассказал о новом датчике изображения Samsung: по словам информатора, его диагональ составит 1 дюйм. Таким образом Samsung наконец-то сможет догнать Sony, в портфолио которой уже два дюймовых датчика (IMX989 и LYT900...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

На чипе AMD Ryzen 7 8700G поставлен рекорд разгона DDR5 Известный оверклокер под ником SafeDisk установил новый рекорд по разгону памяти DDR5 на платформе AM5, используя достаточно доступный процессор AMD Ryzen 7 8700G APU на материнской плате ASUS ROG Crosshair X670E GENE. Для оверклокинга использовались пара модулей памяти G.Sk...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

MSI представила новый монитор MPG 321URX с QD-OLED MSI опубликовала информацию о параметрах своего флагманского QD-OLED-дисплея MPG 321URX. Согласно характеристикам, этот флагманский девайс использует передовую 31,5-дюймовую QD-OLED-панель от Samsung с разрешением 4K и частотой обновления 240 Гц.

Россия создала собственную Storm Shadow для Су-57 и уже во всю применяет её на СВО Российская Х-69, известная также как русская Storm Shadow, уже прошла испытания в зоне СВО, показав эффективность в 90-95%. Разбираемся в подробностях передового вооружения.

В России создан «Ступор» — комплексное решение по защите от БПЛА Комплекс «Ступор». Фото: ООО «Ступор» Российская компания «Ступор» объявила о создании передового комплекса, предназначенного для эффективной защиты разного рода объектов от атак беспилотников. Причем для подавления БПЛА система использует сразу несколько способов.

Стартовая динамика как у мотоцикла с литровым мотором, а расход – как у 250-кубового. Представлен Kawasaki Ninja 7 Hybrid – первый в мире гибридный мотоцикл Kawasaki представила мотоцикл Ninja 7 Hybrid, создатели называют его первым в мире двухколесным гибридом. Схема тут примерно такая же, как в автомобильных гибридах: в силовой установке главенствующая роль отводится ДВС (в данном случае его объем 451 см3), а помогает ем...

Передовой ИИ без регистрации и SMS. OpenAI открыла ChatGPT всем желающим (но пока только в США) OpenAI с 1 апреля открыла доступ к ИИ ChatGPT 3.5 без регистрации. Пока эта возможность работает только для пользователей из США, но в компании отмечают, что открывают доступ без регистрации постепенно, «чтобы сделать ИИ доступным для всех, кому интересны его...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Как перевести игру 'The Lamplighters League' На данном примере предлагается разобрать перевод .wem файлов аудио одного языка (англ.) на другой язык (русский) с последующей упаковкой в .wem и использования в игре. В качестве инструментов будут использоваться python, нейросети, а также программа Wwise. Из интересного — ...

Книга «SQL. Pocket guide, 4-е изд.» Привет, Хаброжители! Если вы аналитик или инженер по обработке данных и используете SQL, популярный карманный справочник станет для вас идеальным помощником. Найдите множество примеров, раскрывающих все сложности языка, а также ключевые аспекты SQL при его использовании в ...

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

«Амур-СПГ» превзойдет Falcon 9 по многоразовому использованию Гендиректор Роскосмоса Юрий Борисов заявил, что перспективная российская ракета «Амур-СПГ» способна превзойти по количеству многоразовых запусков знаменитую Falcon 9 компании SpaceX. По его словам, «Амур-СПГ» можно будет использовать от 50 до 100 раз, в то время как Falcon 9...

Супергибрид, который окажется круче Defender 110 и Land Cruiser 300. BYD показала серийный Leopard 8 BYD сегодня представила флагманский полноразмерный внедорожник Leopard 8. Все подробности будут раскрыты на следующей неделе по ходу Пекинского автосалона, но кое-что о новинке в компании рассказали. Машина будет построена на платформе DMO. Leopard 8 преподносится ка...

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Hyundai и Kia представили шины с выдвижными цепями противоскольжения Hyundai Motor Company и Kia Corporation представили новую технологию шин с интегрированными цепями противоскольжения, которая упрощает безопасность вождения в зимних условиях. В технологии шин со встроенной цепью противоскольжения используются модули из сплава с памятью...

Представлены процессоры AMD Ryzen Pro 8040 – меньше TDP, но мощнее Core Ultra AMD представила линейку процессоров Ryzen Pro 8040. Это самые передовые процессоры x86, предназначенные для бизнес-ноутбуков и мобильных рабочих станций. Семейство APU AMD Ryzen PRO 8040 «Hawk Point» использует ту же архитектуру ядра процессора Zen 4 и ту же архитектуру…

[Перевод] Common Table Expressions Common Table Expressions (CTE) или обобщенное табличное выражение, впервые появилось в версии SQL Server 2005, и это простой способ разбить сложный запрос T-SQL на несколько запросов, что придаёт больше гибкости и управляемости. CTE во многом очень похожи на представлен...

Для тех, кому не нравятся электромобили. Новейший BYD уже заметили на тестах Новое поколение BYD Song Plus DM-i засветилось на рендерах и шпионских фотографиях. Автомобиль использует обновленный язык дизайна Dragon Face, аналогичный седану Qin L DM-i . В новом Song Plus используется пятое поколение подключаемой гибридной системы DM-i от BYD. BY...

Скрытые возможности экрана первоначальной настройки Windows 11 (OOBE) Энтузиаст под ником Enderman в своём профиле X (бывший Twitter)* рассказал о нескольких сочетаниях клавиш, которые можно использовать на экране первоначальной настройки Windows 11 (OOBE, Out-of-Box-Experience). Одна из комбинаций открывает «Диагностику Autopilot», а вторая ...

Система мета-сборки GN: краткий обзор и подходы Привет! Меня зовут Александр, я работаю в VK в команде браузера Atom. В его основе лежит open source-движок Сhromium. Сегодня хочу поговорить о системе мета-сборки GN. Её используют в крупных проектах Google (Chrome, Fuchsia, а также связанных с ними), и, например, когда раз...

(Ex)Cobalt в новом обличье: команда Solar 4RAYS исследовала последнюю атаку известной группировки Недавно мы запустили блог центра исследования киберугроз Solar 4RAYS, где делимся аналитикой об актуальных угрозах, результатами расследований инцидентов, полезными инструментами для реагирования на кибератаки и другими практическими материалами. Некоторые исследования из бл...

На «Госуслугах» появятся электронные студенческие билеты и зачетные книжки Их можно будет использовать вместо бумажных, но бумажные также будут актуальны

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Редуктор Ц2У Редуктор Ц2У - это цилиндрический редуктор, который используется для передачи механической энергии от вала двигателя к исполнительному механизму. Он является одной из наиболее распространенных и широко используемых серий редукторов в промышленности. редуктор Ц2У имеет следу...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Lada Ursus и Terum могут выйти в рамках партнёрских проектов АвтоВАЗа АвтоВАЗ продолжает патентовать названия, которые в дальнейшем завод может использовать для своих новых автомобилей. Как сообщает ТАСС, АвтоВАЗ подал две заявки на регистрацию товарных знаков Ursus и Terum, информация об этом появилась в базе данных Роспатента. В описани...

Единственный флагман без вырезов и отверстий, с IP68 и топовой камерой стал еще лучше. Представлен Nubia Z60 Ultra Photographer's Edition Новый смартфон Nubia Z60 Ultra Photographer's Edition поступит в продажу 28 марта, о чем заявила Nubia Mobile. В компании заявили, что специальная версия Nubia Z60 Ultra Photographer's Edition отличается от стандартной дизайном задней панели. Кроме того, эта ве...

В Обнинске создали наноразмерное покрытие для авиационных стекол Специалисты Обнинского НПП с говорящим названием «Технология» и носящего имя А.Г.Ромашина, объявили о создании инновационного оптического покрытия, а также передовой технологии его нанесения, которые предназначены для остекления авиационных кабин.

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Яндекс позволил использовать свою навигацию в сторонних приложениях NaviKit SDK может использоваться в приложениях логистических компаний, заменив Google Maps и другие зарубежные API.

Очередь за памятью HBM3 и HBM3E производства SK Hynix выстроилась более чем на год SK Hynix недавно заявила, что все ее мощности по производству памяти HBM3 и HBM3E в 2024 году забронированы клиентами. По оценкам SK Hynix, в 2023 году доля серверов, оснащаемых ускорителями с памятью типа HBM и используемыми для работы с искусственным интеллектом, сост...

LG создала дисплей, который скрывает изображение от водителя, и экран во всю переднюю панель, как у Mercedes-Benz EQS Южнокорейский технологический гигант LG посетит Международную выставку потребительской электроники CES в Лас-Вегасе, США, 9 января, представив серию новых информационно-развлекательных систем, в том числе автомобильный экран для переднего пассажира. Его особенностью ста...

16-ядерный Core Ultra 9 185H и GeForce RTX 4070 в корпусе объемом всего 2,5 литра. Представлен мини-компьютер Asus ROG NUC – ещё никогда NUC не был настолько мощным Asus приурочила к выставке CES 2024 премьеру нового поколения мини-компьютера NUC. И надо признать, что у Asus получилась самая мощная машина из всех выпущенных в свое время NUC (если не считать большие модели, где можно было установить дискретную видеокарту). В Asus R...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

MMORPG Black Desert Mobile получит новое дополнение и класс Разработчики Black Desert Mobile из Pearl Abyss сообщили, что они добавят новый класс — «Scholar». Это красивая девушка, которая управляет гравитацией и использует молоты против своих врагов. По мере прокачки Scholar игроки смогут использовать новое оружие, которое персонаж ...

Одноплатный ПК Raspberry Pi превратили в наручные часы На основе одноплатных компьютеров Raspberry Pi создают самые разные интересные устройства. На этот раз энтузиаст Ким Полссон (Kim Paulsson) использовал микроконтроллер Raspberry Pi RP2040 для создания наручных часов.  RP2040 изначально дебютировал в составе однопл...

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

Неочевидные трудности роста IT-специалиста Идея этой статьи родилась из обсуждения в чате канала «UI фэйл» (https://t.me/uifail), который ведёт мой коллега и друг Денис Пушкарь. В процессе сборки материала я обращался к коллегам из других команд и направлений (в том числе разработки, тестирования и аналитики), чтобы ...

Шольц продолжит использовать устаревшую пневмопочту из-за опасений перед российскими шпионами Технология использовалась ещё во времена Германской империи и Кайзера.

Tsingke продемонстрировала передовые решения в области биопрепаратов на выставке Biologics 2024 В Лондоне завершилась выставка Biologics 2024, в которой приняли участие 650 фармацевтических, биотехнологических и академических представителей и более 50 экспонентов, участвующих в дискуссиях на высоком уровне о последних инновациях в области открытия и разработки биопрепа...

TSMC получит от США $12 млрд на строительство третьего завода в Аризоне Он будет производить передовые 2-нанометровые чипы.

Microsoft готовится представить ИИ-функцию AI Explorer в новых компьютерах Устройства будут оснащены передовыми процессорами Intel Core Ultra или Qualcomm Snapdragon X Elite и OLED-экраном.

Kia представила концепты новых электрокаров — внедорожника EV3 и седана EV4 Оба новых творения, вероятно, будут построены на передовой архитектуре E-GMP

Apple внедряет ИИ для рекламы в App Store С помощью этой передовой технологии, ИИ будет автоматически определять, где именно размещать рекламные объявления в App Store

Дженсен Хуанг заявил, что будущие сервера для ИИ будут иметь жидкостное охлаждение Судя по всему, воздушного охлаждения для передовых чипов компании может быть недостаточно

iQOO подписала контракт с NBA. Официальным смартфоном станет iQOO Neo9 Компания iQOO официально объявила о подписания контракта с NBA. Предстоящий iQOO Neo9 станет официальным мобильным телефоном NBA China. Цзя Цзиндун, вице-президент бренда Vivo, заявил, что в ближайшие два сезона iQOO и NBA China будут активно сотрудничать. Стоит отмети...

Робот-пылесос с Алисой и влажной уборкой. Обзор Dreame TROUVER M1 Изучаемый сегодня робот-пылесос Dreame TROUVER M1 с поддержкой голосового управления и интеграцией в Умный дом Яндекс, влажной и сухой уборками с возможностью программирования расписания, а также системой датчиков, используемых для ориентации в помещении и построении маршрут...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Представлен «первый в мире большой 6-местный внедорожник-минивэн» Leapmotor C16 Leapmotor объявила, что ее новая модель Leapmotor C16, позиционируемая как «первый в мире большой 6-местный внедорожник-минивэн», дебютирует во время Пекинского автосалона, который откроется 25 апреля. Судя по официальному тизеру, новый автомобиль буде...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Япония ужесточит контроль экспорта полупроводников, Китай грозит принять ответные меры Правительство Японии заявило о планах ужесточить контроль за экспортом полупроводников, оборудования и передовых материалов. Перед экспортом оборудования и материалов, которые могут быть использованы в военных целях, компании должны уведомлять регулятора. Китай уже выра...

Великобритания получит один из самых мощных суперкомпьютеров в мире. Система Isambard-AI будет опираться на модули Nvidia Grace Hopper Superchip Правительство Великобритании представило суперкомпьютер Isambard-AI, который станет самым мощным в стране и заодно одним из самых производительных в мире.  создано DALL-E Систему построит компания HPE на основе 5448 вычислительных модулей Nvidia Grace Hopper Super...

Business Intelligence — быстрый старт Все мы работаем в разных предметных областях, и бывает усложно уделить время знакомству с BI. Надеюсь, у Вас есть менее получаса на чтение этой статьи и знакомство с примером, а также есть желание провести графический BI анализ на .NET, в таком случае - добро пожаловать.В эт...

Sycom представляет видеокарту GeForce RTX 4080 SUPER с гибридным охлаждением Японский производитель Sycom предложил инновационное решение для своих видеокарт GeForce RTX 4080 Super. Они используют вентиляторы Noctua для охлаждения GPU, что повышает эффективность рассеивания тепла. Эта система уже используется в видеокартах NVIDIA GeForce RTX 4070 и R...

Календарные ссылки использовались для распространения вредоносного ПО Криптовалютные инвесторы, остерегайтесь. Хакеры используют хитроумную уловку для распространения вредоносного ПО через популярное приложение для составления расписаний Calendly.

Армия РФ использовала виртуальные ракеты, разрядила украинскую ПВО и уничтожила 2 самолёта Су-24 Симуляция при помощи средств РЭБ используется для нанесения ударов уже не в первый раз

Уязвимость в Magento используется для взлома сайтов и внедрения малвари Специалисты Sansec предупредили, что хакеры уже используют свежую уязвимость в Magento (CVE-2024-20720) и с ее помощью внедряют устойчивый бэкдор на e-commerce сайты.

Передовые сканеры High-NA EUV компании ASML начал получать её второй крупный клиент Первым была корпорация Intel.

Adobe интегрирует генеративный ИИ в Premiere Pro Adobe объявляет о внедрении передовых инструментов генеративного искусственного интеллекта в Adobe Premiere Pro, позволяющих с легкостью редактировать видео, добавлять и удалять объекты, а также расширять клипы, что значительно ускорит и упростит процесс постпродакшна.

В Дубае прошла презентация новых продуктов HUAWEI Компания Huawei провела презентацию под слоганом «Создавая красоту», в ходе которой были представлены планшеты HUAWEI MatePad Pro 13,2 дюйма, HUAWEI MatePad Air версии PaperMatte, ноутбук HUAWEI MateBook D 16, а также наушники HUAWEI FreeClip с передовой конструкцией открыто...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

К сожалению, это память не для игровых видеокарт. Samsung обойдёт Hynix и представит HBM4 раньше Память HBM становится всё более важной для рынка, так как именно её используют ускорители для ИИ. Компании Samsung и Hynix рассказали, когда стоит ожидать новую память HBM4.   Первой должна быть Samsung. Она готова выпустить HBM4 уже в следующем году. Это будут 16...

В Москве прошла выставка Ruplastica 2024 С 23 по 26 января 2024 года в ЦВК «Экспоцентр» прошла международная выставка пластмасс и каучуков Ruplastica с экспозициями Upakexpo, Recycling Solutions и Additive Minded, а также с новым проектом «Пресс-формы и штампы». Выставка представила на передовые решения ведущих рос...

Энтузиаст использовал Apple Vision Pro в качестве консоли для игры в Call of Duty Apple Vision Pro также можно использовать в качестве консоли и для игры в Call of Duty

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Tesla начала следить за водителями напрямую через камеру Tesla уже внедряет функцию «Предупреждение о сонливости водителя», которая использует камеру в салоне для контроля внимательности водителя. Это знаменует изменения в деятельности автопроизводителя, который ранее подвергался критике за то, что не уделяет особого внимания конт...

Не только передовая камера Leica, но и аккумулятор емкостью 5180 мА·ч. Новые подробности о Xiaomi 14 Ultra Инсайдер Digital Chat Station сообщил параметры подсистемы питания Xiaomi 14 Ultra. По его данным, емкость аккумулятора смартфона составит 5180 мА·ч, он будет поддерживать проводную зарядку мощностью 90 Вт и беспроводную мощностью 50 Вт. Так выглядит Xiaomi 13 U...

Это первые наушники Xiaomi открытого типа. Официальные изображения и старт приёма предзаказов Xiaomi готовится расширить линейку своей продукции выпуском первых наушников открытого типа. Компания подтвердила, что эти наушники дебютируют на предстоящей конференции, назначенной на 10 апреля, где основное внимание будет уделено Redmi Turbo 3. На мероприятии также б...

Японский стартап ispace собрал $53,5 млн для новой лунной миссии Японский стартап ispace собрал $53,5 млн на продаже акций для финансирования своего третьего космического аппарата.  Источник: ispace В прошлом году первая попытка компании на Луне закончилась неудачей, когда их посадочный модуль HAKUTO-R разбился из-за пробл...

Российские дороги будут размечать с помощью отходов стекла Российский экологический оператор (РЭО) сообщил, что в при разметке дорог планируется начать использование отходов стекла. Как сообщают «Известия», новый материал будет использован на трети российских дорог или 600 тысячах километров. Отходы стекла использую...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Лидар Лида́р (транслитерация LIDAR или LiDAR — англ. Light Detection and Ranging «обнаружение и определение дальности с помощью света») — лазерный локатор, использующий технологию испускания лазером волн оптического диапазона с дальнейшей регистрацией лазерных импульсов, которые б...

[Перевод] Насколько быстрее стали терминалы в GNOME 46? VTE (Virtual TErminal library) — это библиотека, лежащая в основе различных эмуляторов терминала GNOME. Она предоставляет GTK-виджет, отображающий элемент терминала, используемый в приложениях наподобие GNOME Terminal, Console, Black Box, Tilix, Terminator, Ptyxis и других....

В Севастопольcком госуниверситете изобрели перспективный нанопорошок Учеными Севастопольского госуниверситета изобретен уникальный и весьма перспективный нанопорошок, который наверняка найдет применение в авиастроительной отрасли, средствах защиты от радиации, а также при производстве передового оборудования и композитных материалов.

Свежий баг в Citrix NetScaler используется для кражи учетных данных Хакеры массово атакуют свежую уязвимость CVE-2023-3519 в шлюзах Citrix NetScaler, используя ее для внедрения вредоносных скриптов JavaScript и кражи учетных данных пользователей.

Bloomberg: США используют ИИ при определении целей для авиаударов на Ближнем Востоке По словам представителя Пентагона, алгоритмы ИИ используются для анализа данных, но решения о боевом применении принимают люди.

В России впервые создали технологию и установку по производству филамента для ракетно-космической и авиационной отраслей В России впервые разработали опытно-промышленную технологию изготовления филамента — материала, используемого для 3D-печати — из непрерывного углеродного волокна на основе термопластов. Кандидат технических наук, заведующий лабораторией «Полимерные ком...

Реализация кодека 66b/64b на языке VHDL В протоколах передачи данных для стабильной работы используются кодеки, выбранные разработчиками с учётом следующих требований:равномерное распределение 0 и 1 в каналепростота кодирования/декодированияиметь небольшую избыточностьОдин из самых распространённых протоколов, о к...

Топовая система камер Morpho, 32 ГБ ОЗУ, IP69K и Corning Gorilla Victus. Представлен полноценный флагманский неубиваемый смартфон Doogee DK10 Doogee представила защищенный телефон Doogee DK10, сочетающий в себе, как утверждает производитель, самые современные алгоритмы искусственного интеллекта с передовой технологией обработки изображений. Систему четырех камер производитель называет Morpho. В гла...

Танки Abrams вывезены с передовой и пока больше не будут участвовать в боях Об этом сообщили высокопоставленные офицеры Соединённых Штатов

Производители микросхем инвестируют свыше 300 миллиардов долларов на производство в США По прогнозам аналитиков, к 2030 году Штаты будут производить порядка 20% от всех передовых чипов и полупроводников.

Politico: Пентагон для тушения "пожара" на передовой отправит ВСУ расширенный пакет военной помощи Самым опасным "содержимым" будет отправка Bradley и ATACMS

В сети появилось видео эвакуации немецкого танка Leopard-2 с передовой Техника будет направлена в тыл российской армии, где над ней поработют эксперты

Залужный командованию НАТО: "Оперативно-тактическая обстановка на передовой сложная" О том что будет "легко" легко после "Стамбула", нужно было думать сразу

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Xiaomi 14 Ultra Titanium Edition получил не такой титан, как Xiaomi 14 Pro: он в разы твёрже материала стандартной версии Xiaomi 14 Ultra На конференции по запуску Xiaomi 14 Ultra, помимо стандартной версии, компания Xiaomi также анонсировала версию в титановом корпусе. В продажу данная новинка поступит через неделю, 12 марта, а пока что у нас появились подробности о материале корпуса. IT Home утверждает,...

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Упаковка Google Pixel 8 подтвердила дизайн и характеристики смартфона На сайте Mydrivers опубликовали реальные фотографии упаковочной коробки смартфона Google Pixel 8. На верхней части коробки видно, что хотя расположение камер Pixel 8 такое же, как у Pixel 7, сами модули явно больше, чем у Pixel 7. Ожидается, что смартфон получит светочу...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

Подмена человеческого голоса. Как ИИ лишает работы певцов и актёров озвучки Если сравнивать нашу реальность с киберпанком, то в первую очередь с «Матрицей». Во второй части, «Матрица: Перезагрузка», должен был сняться Джет Ли. Но Ли тогда отказался из-за опасений, что киношники оцифруют все его боевые приёмы. Его боевое искусство тогда стало бы при...

Комментарии на GitHub используются для распространения малвари Исследователи обратили внимание, что хакеры злоупотребляют GitHub для распространения малвари. Например, злоумышленники используют URL-адреса, связанные с репозиториями Microsoft, в результате чего файлы кажутся безопасными.

JetBrains выпустила новую IDE для работы с документацией Writerside Инструмент используется в JetBrains уже более 10 лет, и, по словам команды, продукты JetBrains, от языка программирования Kotlin до IntelliJ Platform SDK, используют Writerside для создания своей документации. Читать далее

NBC News: китайский шар-шпион использовал сеть американского интернет-провайдера для передачи данных Кроме того, инфраструктура компании из США могла использоваться для более точного определения месторасположения.

Аналитики «Авито Работы» назвали сферы, где больше всего используется ИИ В Российской Федерации активнее всего сервисы на базе искусственного интеллекта используют работники IT-отрасли и в банках.

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

OnePlus 12 получил «возможно, самый мощный флагманский сенсор, уступающий только дюймовому датчику Sony». В камере OnePlus 12 пропишется Sony LYT-808 OnePlus официально рассекретила сенсор, используемый в главной камере смартфона OnePlus 12 в качестве основного. Это Sony LYT-808. В компании его емко характеризуют как «возможно, самый мощный флагманский сенсор, уступающий только дюймовому датчику Sony». П...

Даже если взять оптимизированное под процессоры Intel ПО для искусственного интеллекта, новый Core Ultra 7 155H в половине случаев проигрывает Ryzen 7 7840U Сегодняшние тесты процессора Intel Core Ultra 7 155H под Linux показали, что там новинка Intel существенно уступает 15-ваттному Ryzen 7 7840U при большем энергопотреблении. Авторы Tom's Hardware решили отдельно протестировать Meteor Lake в задачах, связанных с ИИ, п...

Meta* добавила в WhatsApp генерацию изображений и видео по текстовому описанию, и не только Компания Meta*  добавляет в популярный мессенджер WhatsApp функцию генерации изображений с помощью искусственного интеллекта в реальном времени, а также ряд других новшеств с использованием Meta* AI.  Как рассказали в пресс-службе, в последние шесть месяцев в...

[Перевод] Работа с 3D-графикой и визуальными эффектами в Qt Design Studio Чтобы водители получали приятные впечатления от вождения автомобиля, дизайнерам нужны современные инструменты, позволяющие создавать великолепные графические интерфейсы с минимальными затратами времени и сил. Qt Design Studio содержит все необходимые ресурсы для создания пот...

К2 Кибербезопасность выходит на рынок коммерческих SOC в партнерстве с «Лабораторией Касперского» К2 Кибербезопасность запускает Центр мониторинга информационной безопасности (Security Operations Center, SOC).  Он объединит экспертизу К2 Кибербезопасность в области защиты информации и передовые технологии “Лаборатории Касперского”. Используя платформу Kaspersky Unif...

Gigabyte выпустила карту расширения с модулем Wi-Fi 7 Компания Gigabyte сегодня официально представила миру сетевую карту расширения PCIe, которая поддерживает последние стандарты Wi-Fi 7 и Bluetooth 5.3. Соответственно, это теперь можно установить в ваш компьютер и получить доступ к передовым технологиям даже на довольно старо...

Microsoft анонсировала унифицированный Copilot для Windows, Bing, Edge и Microsoft 365 Большая часть сегодняшнего мероприятия Microsoft была посвящена новым функциям на базе искусственного интеллекта. Если быть более точным, то речь шла об унифицированном цифровом помощнике Copilot, который будет доступен в Windows, Microsoft 365, Edge и Bing. Стоит отметить,...

В Samsung Galaxy S24 Ultra используется новый датчик 3D Sonic Gen 2 Интересные детали о смартфоне Samsung Galaxy S24 Ultra опубликовал осведомлённый инсайдер под ником Ice Universe. Он утверждает, что южнокорейский производитель использовал новый дактилоскопический датчик 3D Sonuc Gen 2 во флагманской модели. Если вы чувствуете, ч...

Хакеры взломали 3 миллиона умных зубных щёток и использовали их для масштабной DDoS-атаки Ещё никогда армия зубных щёток не использовалась в качестве оружия для взлома крупной компании

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Redux vs Mobx кого же выбрать для React-приложения в 2024 году? Привет, Хабр!Сегодня я хочу поделиться с вами своими размышлениями о том, какой стейт менеджер лучше использовать для разработки приложений на React в 2024 году. Как вы знаете, React — это одна из самых популярных и мощных библиотек дл...

ГК Softline и технопарк «Сколково» открыли Центр технологического суверенитета Новое пространство призвано помочь отечественным компаниям укрепить импортонезависимость путем консолидации экспертизы в области российских продуктов, а также за счет развития плодотворного сотрудничества и внедрения передовых технологий и решений.

На отечественной смартфонной системе Аврора появились приложения банков РФ Российские банки активно адаптируют свои мобильные приложения для работы на отечественной операционной системе «Аврора». Прототип такого приложения уже разработан в ВТБ, где будет тестироваться до июня 2024 года. Планы на запуск приложения для ОС также объявлены в «ДОМ.РФ», ...

Даже базовый Samsung Galaxy S24 получит LTPO-экран от 1 до 120 Гц, но у Ultra всё же будет преимущество После появления информации о том, что в серии Galaxy S24 используется новый материал органических светоизлучающих диодов (OLED) под названием М13, инсайдер Ice Universe опубликовал уточнение. Все модели серии Galaxy S24 получат экраны LTPO, которые позволяет менять част...

Reuters: Армия Хезболлы использовала против Израиля новейшую ракету особой мощности "Буркан" До этого дня такая ракета ещё ни разу не использовалась в боях

Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra полностью рассекречены. Известный инсайдер слил все важные подробности Инсайдер Эван Блэсс (Evan Blass) стал автором одной из самых серьезных утечек данных о смартфонах Samsung Galaxy S24. Сегодня он опубликовал изображение, на котором представлены самые важные характеристики всех трех моделей линейки. Судя по оформлению, это официальная к...

Немецкий пистолет HK USP как символ высокого качества и надежности USP был разработан с использованием передовых технологий с учетом требований к надежности и легкости обслуживания.

Полицейский спецназ Днепра отказывается служить на передовой в составе штурмовой бригады "Лють" Плечо товарища в бою должно быть знакомым, заявляют они

С запретом на поставки передовых ноутбуков в Китай всё не так однозначно Буквально каждая модель будет проверяться на соответствие правилам экспортного контроля.

Intel за счёт перехода на EUV смогла снизить себестоимость производства чипов почти в два раза И передовая литография в дальнейшем будет для неё оставаться важным источником дохода.

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Представлен 810-сильный Urus ABT Sportsline, главный тюнер концерна VW, представил собственную версию Lamborghini Urus. Проект ABT Urus Scatenato будет выпущен ограниченный тиражом в 99 экземпляров. Компания ABT Sportsline повысила мощность и внесли небольшие улучшения в дизайн. Изначально Urus Pe...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

«Чайку» с салоном и мотором от Lexus предлагают за 75 млн рублей Один из дилерских центров в городе Ростов-на-Дону выставил на продажу уникальный рестомод, созданный на основе советского автомобиля «Чайка», о чем сообщает «Южный автомобиль». Для этого автомобиля использовались компоненты от автомобиля Lexus, в...

Автомобили, созданные с использованием 3D-печати, набирают популярность Поскольку автомобили состоят из различных материалов, напечатанные детали широко используются там, где требуется пластик или металл. Для компонентов, предназначенных для конечного использования, автопроизводители в основном используют технологию наплавления (FDM) для создани...

Ждём внедорожники Volkswagen Therion и Tukan? Немецкая компания зарегистрировала названия для новых моделей Volkswagen AG зарегистрировала в Европе и странах Южной Америки ряд новых торговых марок, которые могут использоваться в названиях новых моделей. Изображение: Volkswagen Так, Volkswagen оформила права на названия Airon, Angra, Hera, Tempus, Tera, Therion, Thron и Tukan...

США выделят миллиарды на производство передовых полупроводников, Intel, Samsung и TSMC Ожидается, что администрация президента Джо Байдена в ближайшие недели выделит миллиарды долларов в виде субсидий ведущим полупроводниковым компаниям, включая Intel, чтобы помочь построить новые заводы в США. Это должно дать толчок производству передовых полупроводников...

Это будет базовый процессор для игровых ноутбуков нового поколения. 10-ядерный Core i5-14450HX засветился в Geekbench В базе Geekbench засветился пока еще не представленный официально ноутбук Colorful M15 24. Его особенность в процессоре: в основе аппаратной платформы используется пока еще не представленный официально Core i5-14450HX. У этого CPU 6 больших ядер и 4 малых. Максимальная...

Сначала судились, а теперь объединились. Nikon покупает RED — производителя известных кинокамер Компания Nikon сегодня объявила о приобретении компании RED — известного производителя кинокамер. Сумма сделки не названа, Nikon лишь заявила, что RED станет дочерней компанией, но будет находиться в полной собственности. В настоящее время в RED работает около 220...

Еще лучше, чем раньше: комплект для фотосъёмки Xiaomi 14 Ultra показали со всех сторон после анонса Фотографии черного Xiaomi 14 Ultra, а также набора для более профессиональных фотографов опубликовало издание IT Home вскоре после анонса. В Xiaomi Mi 14 Ultra используется нанокожа третьего. Согласно официальному описанию, это покрытие имеет в 5 раз лучшую износостойк...

Экипажи Т-90М Прорыв предпочитают ночное время, используя превосходство в приборах наблюдения Министерство обороны России представило боевые возможности танков Т-90М "Прорыв", которые используются ВДВ на артемовском направлении

Der8auer нашёл под крышкой новых APU AMD термопасту вместо припоя и выиграл до 25°C, используя ЖМ Также была протестирована графеновая термопрокладка.

Moto G64 5G станет первым в мире смартфоном с процессором MediaTek Dimensity 7025 SoC Dimensity 7025 — это, по сути, разогнанная версия чипа Dimensity 7020, который также использовался в Moto G54.

Представлен Aston Martin DBX 2025 для ценителей физических кнопок Представлен Aston Martin DBX 2025 модельного года, который получил ряд изменений, включая большой центральный экран. Важным изменением в новом автомобиле стал интерьер, в котором использована та же компоновка кабины, что и у DB12 и Vantage, при этом новинка полностью о...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Искусственный интеллект Microsoft создал новый перспективный материал для аккумуляторов за 80 часов Искусственный интеллект в его сегодняшнем виде — это не только рисование картинок, создание кода и написание текстов. Его также активно используют в науке. И Microsoft решила показать возможности своей платформы, создав посредством ИИ новый материал, потенциально ...

На передовой была замечена версия немецкого Leopard 2A4 в обвесе с "реактивной броней" эпохи СССР На что только не пойдешь, чтобы защититься от "Корнета" с тандемной боевой частью

CNN: Управляемые бомбы ФАБ-1500 наносят большие потери ВСУ Не только лишь в этом "плохи" русские УФАБы – именно благодаря им был "выманен" ЗРК Патриот поближе к передовой и уничтожен

MWM: Западные наемники с Украины перебрасываются в Израиль и будут бороться против ХАМАС На передовой со стороны ВСУ иностранной речи стало заметно меньше

Realme GT5 Pro получит очень яркий экран Компания Realme объявила, что в смартфоне Realme GT5 Pro будет использоваться дисплей производства BOE с пиковой яркостью 4500 кд/м2. В обычном режиме его максимальная яркость составит 1600 кд/м2. Ранее экран с пиковой яркостью 4500 кд/м2 был заявлен в OnePlus 12. Панель так...

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

Для RPG Cassette Beasts с открытым миром появилась страница в App Store Издатель Raw Fury открыл страницу игры Cassette Beasts в App Store. Сейчас для проекта проходит предрегистрация, а релиз намечен на 4 июня. На момент написания новости страницы в Google Play нет, что также подтверждает издание TouchArcade. Как и в PC-версии, в мобильной Cas...

NASA продвигается к лунным миссиям Artemis: завершено шестое испытание двигателей RS-25 для ракеты SLS NASA успешно завершило шестое из двенадцати планируемых испытаний двигателей RS-25, необходимых для сертификации лунной ракеты SLS (Space Launch System). Испытания провели инженеры в космическом центре имени Стенниса 27 января, используя полноценную испытательную пусков...

Эти роботы будут использоваться и для Lada Iskra. АвтоВАЗ устанавливает новое оборудование Цветовая палитра автомобилей Lada в ближайшее время будет расширяться, чему поспособствует новое оборудование, которое в данный момент устанавливает АвтоВАЗ, о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». За время новогодних каникул ...

Анонс Windows 11 Insider Preview Build 23570 (канал Dev) Добрый вечер, друзья! Microsoft выпустила новую предварительную сборку Windows 11 под номером 23570 для участников программы Windows Insider, использующих канал Dev. Полный номер сборки: 10.0.23570.1000.ni_prerelease.231013-1312. Важно! Сборки по программе Windows Insider ...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Верстак своими руками Верстак - это рабочее место, используемое для различных ремесленных и строительных работ. Он предоставляет удобное и стабильное пространство для работы с инструментами и материалами. Хотя вы можете купить готовый верстак, многие люди предпочитают сделать его своими руками, ч...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Amazfit готовит к релизу умное кольцо Helio Ring Совсем недавно, на выставке CES 2024 в январе компания Amazfit офиуиально представила своё новое умное кольцо Helio Ring — это передовое носимое устройство, которое, как утверждается производителем, ориентировано на спортсменов и лучше всего будет работать в паре с умными ча...

Критический баг в JetBrains TeamCity можно использовать для удаленного выполнения кода Критическая уязвимость в ПО непрерывной интеграции JetBrains TeamCity может использоваться неаутентифицированными злоумышленниками для удаленного выполнения кода и захвата уязвимых серверов.

Хакеры уже используют критический баг в Atlassian Confluence По словам ИБ-специалистов, критическая уязвимость в Atlassian Confluence, которая позволяет уничтожать данные на уязвимых серверах (CVE-2023-22518), уже активно используется злоумышленниками для установки программ-вымогателей.

Gainward представила видеокарту GeForce RTX 3050 6 ГБ Pegasus Сегодня компания Gainward, довольно известный производитель видеокарт с огромным арсеналом интересных моделей, официально представила новую модель под названием GeForce RTX 3050 6 ГБ Pegasus. Данная видеокарта использует графический процессор, произведённый на архитектуре NV...

Вся линейка iPhone 16: макеты четырех новых смартфонов показали на живых фото Производители аксессуаров уже получили в распоряжение макеты iPhone 16, iPhone 16 Plus, iPhone 16 Pro и iPhone 16 Pro Max, которые используются для производства защитных чехлов и стекол/пленок. На снимках хорошо видно, что два объектива iPhone 16 и iPhone 16 Plus распо...

АвтоВАЗ открывает передовую школу гибридных технологий «ГибридТех» АвтоВАЗ и Тольяттинский государственный университет объединяют усилия для создания передовой инженерной школы гибридных технологий под названием «ГибридТех». Компания сообщила, что на проект будет выделено около 3 миллиардов рублей до 2030 года, при этом 55%...

Машины ASML High-NA EUV Twinscan EXE стоят $380 миллионов, и уже забронировано 10-20 единиц ASML сообщила, что ее передовые инструменты для производства чипов с высоким содержанием NA в ультрафиолетовом диапазоне (EUV), известные как Twinscan EXE с высоким содержанием NA, будут стоить около $380 миллионов каждая

Telegraph: Боевой дух Зеленского подорван из-за неудач украинской армии на передовой А ведь когда-то Владимир Александрович обещал что победить Россию будет очень "легко". Обманул?

В РФ проходят испытания противоракеты 19Я6 с возможностью перехвата 155-мм снарядов и дронов ВСУ Новинка будет испытана и в скорейшем времени интегрирована в передовую версию отечественного ЗРПК "Панцирь-СМ"

В "Милитаристе" показали как выглядит гибрид МТ-ЛБ и Тунгуски, который скоро будет на передовой СВО Российские оружейные умельцы славятся выдумкой и прекрасным умением создавать новое

Китай не отказывается от своих целей по достижению технологического превосходства над США В Пекине заявили, что будут увеличивать субсидии на исследования в области прорывных и передовых технологий

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

LG выпускает мощный ноутбук LG Gram Pro 2024 с Intel Core Ultra 7 и RTX 3050 Ноутбуки будут оснащены передовыми технологиями искусственного интеллекта.

Ровно восемь лет назад SpaceX впервые посадила первую ступень Falcon 9 на плавучую платформу Ровно восемь лет назад — 8 апреля 2016 года — компания SpaceX впервые удачно посадила первую ступень ракеты Falcon 9 на плавучую платформу.   фото: SpaceX Тогда в рамках миссии SpaceX CRS-8 бустер впервые приземлился на платформу Of Course I Still Love...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

Актер Том Хэнкс предупредил, что его образ используется в дипфейк-рекламе стоматологии На этой неделе актер Том Хэнкс, ведущая ток-шоу CBS Mornings и известный ютубер MsBeast предупредили, что мошенники используют их образы в рекламе, которая создается при помощи ИИ.

Qualcomm представила платформу AI Hub Учитывая, что на текущий момент искусственный интеллект стал обычным явлением на почти всех современных смартфонах, Qualcomm решила продвинуться в этом направлении и представила передовую платформу под названием AI Hub. Это поможет разработчикам быстро внедрять функции искус...

Представлены первые на 100% российские фотомодули на кристалле для высокоточного оружия В России создали линейку матричных фотомодулей на основе кристаллов кремния, которые позволяют «видят» объекты в любое время суток и формировать как черно-белое, так и цветное изображение. Ростех подтверждает, что новинка создана полностью из отечественных к...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Nvidia утверждает, что AMD нечестно сравнивала свой ускоритель Instinct MI300X и H100 Nvidia только начала расти? Поставки серверов компании для ИИ в следующем году вырастут на 150% Компания AMD неделю назад объявила о запуске своих ускорителей Instinct MI300X и MI300A, заодно раскрыв подробности. В том числе компания заявила, что Instinct MI300X на 20%...

Lazarus использует уязвимость Log4Shell двухлетней давности для доставки троянов Эксперты предупредили, что северокорейская хакерская группа Lazarus продолжает эксплуатировать уязвимость Log4Shell (CVE-2021-44228). Теперь баг используется для развертывания трех ранее неизвестных семейств малвари, написанных на языке DLang.

Смартфоны Pixel научились заменять лица людей на фото, удалять объекты, изменять их размеры и очищать звук. Это всё новые функции Google Photos Кроме уникальной функции Video Boost новые смартфоны Pixel предложат и менее необычные, но, возможно, более полезные функции для камеры.  Первая называется Best Take. Google говорит, что эта функция использует фотографии, которые вы сделали, чтобы получить фотогра...

Bybit запускает ИИ Aurora Bybit, третья крупнейшая криптобиржа в мире по объему торгов представляет ИИ Aurora, передовой рекомендательный инструмент на базе искусственного интеллекта, разработанный для упрощения и улучшения торговли с помощью ботов для инвесторов любого уровня подготовки. ИИ Aurora у...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

ПТРК "Корнет" получил передовой тепловизор и теперь может поражать цели на удалении до 10 км В недавнем бою была уничтожена украинская БМП на расстоянии в 7800 метров

На Украине в бою с Су-35 ВКС РФ сбит лечтик-ас Воздушных сил ВСУ с 385 боевыми вылетами По утверждению российских источников, это был настоящий и опытный оппонент, находившийся на передовой с самого начала СВО

Чип Apple A18 Pro не удивит своей производительностью В этом году компания Apple выпустит новые смартфоны с чипами Apple A18 Pro. Однако если верить слухам, то последние в плане производительности будут мало чем отличаться от прошлогодних процессоров, которые использовались в iPhone 15 Pro и iPhone 15 Pro Max.Читать дальше... ...

Танк Leopard 1A5, использовавшийся для обучения военнослужащих ВСУ, перевернулся вместе с тралом По данным СМИ один из танков, использовавшихся для обучения украинских инструкторов, опрокинулся во время транспортировки на территории Дании

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

Танковый уничтожитель вместо атакующего самолета Статья рассматривает процесс и результаты испытаний СУ-85, включая выявленные недостатки и рекомендации комиссии. Описывается решение задачи передовой артиллерии и улучшения орудий, что позволило внедрить СУ-85-II уже в августе 1943 года. В статье также освещается важность э...

СУ-85 - лучшая советская ПТ САУ Второй Мировой Статья рассматривает процесс и результаты испытаний СУ-85, включая выявленные недостатки и рекомендации комиссии. Описывается решение задачи передовой артиллерии и улучшения орудий, что позволило внедрить СУ-85-II уже в августе 1943 года. В статье также освещается важность э...

BMW показала новейший BMW 5-й серии с 31-дюймовым 8K-экраном: автомобиль скоро сойдёт с конвейера Согласно официальной информации BMW Group, новая версия BMW 5 Series с длинной колесной базой вскоре сойдет с конвейера производственной базы BMW в Шэньяне, а поставки по всей стране начнутся в начале 2024 года. Этот новый автомобиль, ранее представленный на автосалоне...

Блокировщики рекламы и старые плагины перестанут работать в Chrome в следующем году. Изменение также коснется Opera, Microsoft Edge и Vivaldi Google сообщила, что отключит в браузере Chrome API Manifest V2, при помощи которого работает большинство блокировщиков рекламы и других расширений. Google полностью перейдет на API Manifest V3, а пользователи лишатся возможности устанавливать плагины на базе API Manife...

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Супергибридный конкурент Land Cruiser 300 с активно подвеской — BYD Leopard 8 — выйдет в третьем квартале 2024 Внедорожник BYD Leopard 8 (Fang Cheng Bao Bao 8), который был представлен на прошлой неделе, должен появиться в продаже в третьем квартале этого года и будет стоить около 69 050 долларов. Больше информации о флагманском внедорожнике бренда BYD будет представлено на Пеки...

Камеры Sony больше не нужны? Китайские производители смартфонов переходят на OmniVision: топовый датчик превзойдёт Sony IMX989 Производитель датчиков изображения CMOS OmniVision выпустит в конце года новые продукты высокого класса, включая OV50K. Это датчик изображения дюймового формата, который будет намного превосходить по характеристикам Sony IMX989. Ранее анонсированный Xiaomi 14 испол...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Cloudflare: гипробъемных DDoS-атак, использующих HTTP/2 Rapid Reset, стало больше Представители компании Cloudflare заявили, что в третьем квартале 2023 года они отразили тысячи гиперобъемных DDoS-атак, в которых использовалась недавно обнаруженная уязвимость HTTP/2 Rapid Reset. Мощность 89 из этих атак превысила 100 млн запросов в секунду (Requests per s...

Геоаналитика Билайна используется при разработке мастер-плана Чебоксарской агломерации ДОМ.РФ и проектно-аналитический центр «Урбан Про» при разработке стратегии пространственного развития территории (мастер-план) Чебоксарской агломерации используют большие данные мобильных операторов, в числе которых билайн.

Redmi переходит на Snapdragon 8s Gen3 с огромными инвестициями в новую линейку Ван Тенг Томас (Wang Teng Thomas), директор по новым продуктам Xiaomi, опубликовал новое сообщение в социальной сети Weibo сообщение, что новая линейка Redmi будет оснащена мобильной платформой Snapdragon 8s Gen3. Ван Тенг Томас сказал, что, согласно внутренним расчетам...

TSMC начинает строительство завода для производства 1-нм чипов Завод будет построен в самом Тайване, несмотря на желание США перенести передовые фабрики в Аризону

0-day уязвимости в продуктах Cisco используются для проникновения в сети госучреждений Компания Cisco предупредила, что с ноября 2023 года группа «правительственных хакеров» использует две уязвимости нулевого дня в межсетевых экранах Adaptive Security Appliance (ASA) и Firepower Threat Defense (FTD) для взлома государственных сетей по всему миру.

Мало кто знает об этом суперкаре. Редчайший Vector М12 с мотором Lamborghini V12, которым управлял Джереми Кларксон, выставлен на продажу Уже закрывшийся американский производитель суперкаров Vector наиболее известен своим первым автомобилем W8. Но компания также выпустила модель М12. В отличие от W8, в котором использовалось собственное шасси и трансмиссия, у M12 в основном была нижняя часть Lamborg...

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

ChatGPT-4 в помощь: используем AI в доработке простого iOS-приложения Мне всегда было интересно, получится ли применить AI-инструменты в трудовых буднях программиста. Когда появился ChatGPT, я начал пробовать использовать его в работе, и он быстро стал для меня вспомогательным инструментом. Буквально на днях вышло его крупное обновление, и ста...

Офицер ВСУ: 47-я ОМБр была отправлена на оборону Очеретино из-за бегства 3-й ШБ ВСУ По словам военного, бригаде необходимо было пройти доукомплектацию, но по причине отсутствия боеспособных резервов ее забрасывают на передовую

Прототип из 50х "Объект 770" - 130мм пушка за 260мм броней на гидропневматической подвеске Танк "Объект 770" был настоящим техническим чудом, опережая свое время благодаря инновационным технологиям и передовым разработкам.

Блок питания Super Flower Leadex VII XG 850W: поддержка ATX 3.0, разъем питания PCIe 5.0, отличные характеристики и результаты тестов Потребительские качества Super Flower Leadex VII XG 850W находятся на очень высоком уровне, если рассматривать применение данной модели в домашней системе, в которой используются типовые компоненты. Акустическая эргономика БП до 500 Вт включительно очень хорошая, до 850 Вт в...

Карманная видеокарта, в которую можно установить ещё и SSD. OneXGPU наконец-то вышла на Indiegogo по цене 600 долларов Внешняя видеокарта OneXGPU наконец-то стала доступна для заказа. Компания вышла на Indiegogo, и все желающие теперь могут купить устройство в рамках кампании по сбору средств.   Цена в рамках кампании составляет 600 долларов. В рознице же устройство будет стоить 7...

Российские учёные создают покрытие для проделния срока службы авиадвигателей В Московском авиационном институте (МАИ) разрабатывают покрытие для защиты новой линейки российских авиадвигателей и энергетических установок от преждевременного износа. Учёные отмечают, что срок службы авиационного двигателя во многом определяется износостойкостью трущ...

Xiaomi TV S85 Mini LED с экраном 4К 144 Гц будет стоить менее 1000 долларов в Китае Новейший умный телевизор Xiaomi TV S85 Mini LED, который стал доступен для предварительного заказа в Китае, будет в итоге предлагаться не за 1105 долларов, как сообщалось ранее, а за 970 долларов, что делает новинку еще более привлекательной. Об этом пишет gizmochina. К...

Обзор часов HUAWEI WATCH GT 4 В октябре 2023 года ко мне на обзор попали умные часы от Huawei — HUAWEI WATCH GT 4 46 mm. Я немного затянул с обзором, но зато девайсом я пользовался много. Сравнивал я с Apple Watch 2021 и браслетом Mi Band 7 от X...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)