Социальные сети Рунета
Вторник, 21 мая 2024

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Гарда обновляет DLP В новой версии доступен поиск документов с печатями, усовершенствована блокировка передачи данных по Bluetooth, контролируется передача файлов по протоколам FTP/FTPS (FTP+SSL), усовершенствованы политики контроля, улучшен экспорт HTML.

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Возможности ИИ в iPhone 16 Pro будут увеличены с помощью усовершенствованного чипа A18 Pro Apple намерена улучшить производительность предстоящих смартфонов iPhone 16 для работы с искусственным интеллектом с помощью чипа A18.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Makves увеличил производительность системы защиты неструктурированных данных Разработчик усовершенствовал модуль файлового аудита и настройки событий, улучшил классификацию конфиденциальных данных, повысил скорость выявления угроз, связанных с доступом и правами пользователей.

Proteqta провела внедрение усовершенствованных «умных касок» в АО «Хиагда» АО «Хиагда» внедрило новые «умные каски» российского производства при помощи команды Proteqta (ГК Softline). В усовершенствованной версии появилась возможность отслеживания сотрудников внутри помещений.

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Ученые МГУ усовершенствовали состав бетонных конструкций В Фонде поддержки проектов Национальной технологической инициативы (НТИ) сообщили, что ученые из МГТУ им. Баумана и компании «Гален» сделали шаг вперед, усовершенствовав состав бетонных конструкций. Теперь используются композитные материалы, которые делают здания и мосты бол...

Ростех усовершенствовал производство оптического волокна Эксперты холдинга «Швабе» Госкорпорации Ростех модернизировали оборудование для производства оптического волокна. Это позволило создать образцы с усовершенствованными характеристиками, которые обеспечивают высокое качество передачи сигнала.

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Hypershell представил улучшенные экзоскелеты с ИИ для туристов В прошлом году одним из фаворитов Kickstarter был экзоскелет Hypershell, обещающий «сверхчеловеческие» походы и бег по пересеченной местности. Разработка вернулась, на этот раз с двумя усовершенствованными моделями, и на выставке CES 2024 их представят во всей красе.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

AMD анонсировала усовершенствованную версию FSR 3.1, которую она отделила от Fluid Motion Frames FSR 3.1 уменьшает “мерцание” вокруг объектов и обеспечивает лучшую детализацию объектов.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Представлена Toyota Mirai 2024 Компания Toyota представила обновленный водородный седан Mirai для внутреннего рынка. В рамках модернизации автомобиль был улучшен усовершенствованным комплексом безопасности и улучшенной медиасистемой. Стоимость Mirai в Японии составляет от 7 261 000 до 8 610 000 ...

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

ИИ в iPhone улучшит уведомления, сделает Siri более «живой», добавит новые инструменты редактирования фото Ежегодная Всемирная конференция разработчиков Apple (WWDC) состоится 10 июня. Ожидается, что технологический гигант из Купертино анонсирует новые версии программного обеспечения для iPhone, iPad и других устройств. В центре внимания будет iOS 18, которая, как утверждает...

Ученые улучшили связь для интернета вещей с помощью ИИ По мере того, как устройства становятся все более «умными» и подключаются к интернету, растет нагрузка на сети передачи данных. Ученые из Пекинского института технологий предложили новый способ улучшить связь в диапазоне терагерцовых волн, который используют устройства интер...

Уязвимости водяных знаков ИИ: исследователи предлагают улучшенные методы Исследователи из Университета Мэриленда обнаружили уязвимости в водяных знаках, созданных с использованием ИИ, и предложили усовершенствованный метод, который затруднит их удаление или подмену

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Lenovo анонсировала новую версию игрового ноутбука GeekPro G5000 Ноутбук получил усовершенствованную систему охлаждения и мощный процессор Intel Core i7-13650HX.

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

В России усовершенствовали технологию водно-лазерной резки материалов В пресс-службе Новосибирского государственного технического университета (НГТУ) рассказали, что магистрант вуза разработал инновационную технологию водно-лазерной резки материалов, которая обещает улучшить производственные процессы, особенно в авиационной промышленности, пиш...

ИИ в Photoshop первым научили рисовать векторные изображения Adobe намерена усовершенствовать свой генеративный искусственный интеллект Firefly, представив три новые модели и более 100 функций и возможностей. Обновления, анонсированные на мероприятии Adobe Max 2023, включают модель Firefly Image 2, обещающую более высокое качество ген...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Raytheon разрабатывает два варианта зенитных ракет Standard с улучшенным наведением Американский флот хочет усовершенствовать имеющиеся зенитные ракеты

Aston Martin Vantage 2025 года представлен с модернизированным 4,0-литровым двигателем V8 Vantage обновлен и усовершенствован как внутри, так и снаружи, благодаря увеличению мощности на 153 лошадиных силы

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Япония отправит на орбиту улучшенный спутник наблюдения Земли Японское космическое агентство JAXA объявило о запуске новой усовершенствованной системы наблюдения Земли. Миссия позволит собирать данные для помощи при стихийных бедствиях, обновления карт, а также слежения за военной активностью.

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Samsung анонсировала большое обновление для Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra: оно улучшит камеру и сделает экран ярче Samsung официально анонсировала большое обновление прошивки новейших флагманов линейки Galaxy S24. Апдейт выйдет уже в феврале, он исправит многие недоработки, в частности – экран станет более ярким и насыщенным в режиме Vivid (сейчас между Vivid и Normal разницы ...

realme 11 появился теперь и в России В сравнении с предыдущим поколением, realme 10, новинка получила идеальное сочетание трех важнейших апгрейдов: усовершенствованную камеру 108 Мп ProLight с 3-кратным зумом, вдвое более быстрое зарядное устройство SUPERVOOC мощностью 67 Вт, а также повышенную производительн...

Представлен умный дверной замок Xiaomi Smart Door Lock E20 WiFi Китайский технологический гигант Xiaomi выпустил усовершенствованную версию своего смарт-замка Smart Door Lock E20 с поддержкой WiFi.

Motorola продемонстрировала революционные решения на основе ИИ на выставке Lenovo Tech World '23 На выставке Lenovo Tech World '23 в США компания Motorola представила инновационные решения, использующие искусственный интеллект (ИИ), чтобы усовершенствовать мобильные устройства.

Acer представила видеокарты Radeon RX 7900 OC в собственных исполнениях Видеоадаптеры компании отличаются одними из лучших систем охлаждения и усовершенствованной схемой питания

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Российские ученые усовершенствовали метод лечения катаракты и глаукомы Ученые Медицинского института Пензенского государственного университета разработали новый метод хирургического лечения катаракты и глаукомы у пациентов с осложнениями связочного аппарата хрусталика. Этот метод помогает снизить риск осложнений операции, улучшить зрение и усил...

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Wooting представила игровую клавиатуру 80HE с функциями Rappy Snappy и Rapid Trigger Популярная функция Rapid Trigger от Wooting усовершенствована в новой модели 80HE.

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Qualcomm анонсирует Snapdragon X Elite Gen 2: новый уровень производительности для ноутбуков Qualcomm готовит к выпуску Snapdragon X Elite Gen 2 с улучшенным графическим процессором Adreno 830, обещая высокую производительность и энергоэффективность для ноутбуков

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

OM System OM-1 II обещает усовершенствованную фотографию с новыми вычислительными возможностями OM System OM-1 II, новый флагман в линейке камер OM System, обещает принести усовершенствования в вычислительной фотографии, включая улучшенную стабилизацию изображения, расширенные возможности автофокуса и поддержку 14-битного RAW. Ожидаемая дата выпуска - 30 января 2024 го...

ИИ улучшил искусственную поджелудочную железу для диабетиков Американские ученые из Центра технологий диабета Виргинского университета усовершенствовали искусственную поджелудочную железу для лечения диабета 1 типа. Новая система регулирует уровень сахара в крови с помощью искусственного интеллекта (ИИ). ИИ анализирует данные пациента...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

В России уточнили срок постройки улучшенной ракеты «Ангара-А5М» По планам Центра «Хруничев», первый образец усовершенствованной ракеты тяжелого класса «Ангара-А5М» будет создан в 2025 году. Гендиректор предприятия, Алексей Варочко, подчеркнул, что новая ракета будет использоваться как на космодроме Восточный, так и на Плесецке. Он также ...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Google обновляет Workspace генеративным ИИ и усиленной безопасностью Google представила крупное обновление Workspace, включающее приложение для создания видео с помощью ИИ, интеллектуальные функции для совещаний и обмена сообщениями, а также усовершенствованные средства кибербезопасности на базе ИИ.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Xiaomi взялась за старое: Redmi Note 13 Pro выйдет за пределами Китая под брендом Poco Redmi представила свою новую серию смартфонов Note 13 в Китае на прошлой неделе. В неё входят базовая модель Note 13, усовершенствованная версия Note 13 Pro и топовый Note 13 Pro+.

MSI выпустила изогнутый игровой OLED-монитор с частотой 240 Гц Компания MSI представила игровой OLED-монитор MPG 341CQPX, характеризующийся внушительной частотой обновления 240 Гц и кривизной 1800R. Модель является усовершенствованной версией ранее выпущенного MAG 341CQP с частотой обновления 175 Гц. ОсобенностиMPG 341CQPX оснащён…

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Zephyr показала усовершенствованную модель RTX 4080 с AIO-охлаждением Стоить модель будет около $1 180.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

ChatGPT использовали для рассекречивания правительственных документов Недавно компания OpenAI представила GPT-4V(ision) - усовершенствованную версию чат-бота с искусственным интеллектом, способного обрабатывать изображения и отвечать на вопросы о них. Пользователи быстро изучили его возможности, в том числе расшифровку отредактированных правит...

Титановая ручка Bullet Ant 2.0: три инструмента на все случаи жизни Специализирующаяся на производстве алмазного инструмента китайская компания MEtool Diamond Tools представила на Kickstarter усовершенствованную версию многофункциональной ручки Bullet — Bullet Ant 2.0, которая уже собрала более $10 тысяч инвестиций.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Использовавшиеся на Украине дроны Ghost усовершенствуют для ВВС США В США заявили о модернизации беспилотного «Призрака»

Модер показал, как выглядит усовершенствованная GTA: San Andreas на движке Unreal Engine 5 Геймплейный ролик идёт 9 минут.

«Калашников» до конца года приступит к производству усовершенствованного автомата АК-12 Усовершенствованного с учётом опыта СВО, естественно.

Ютубер показал усовершенствованную версию Assassin's Creed Mirage в 8K 60FPS с RTX Пресет трассировки лучей он создавал сам.

Microsoft показала усовершенствованный пользовательский интерфейс для Edge DevTools Обновленный пользовательский интерфейс DevTools для разработчиков в браузере Edge.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Motorola выпустила смартфон Moto Edge 50 Ultra с деревянной задней крышкой и процессором S8s Gen 3 Moto выпустила усовершенствованный смартфон Edge 50 Ultra с уникальной деревянной задней крышкой

Panasonic начнёт выпуск усовершенствованных аккумуляторных ячеек типа 2170 в США в следующем году Либо даже в текущем.

Hyte представляет усовершенствованную систему жидкостного охлаждения THICC Q60 AIO и обещает уничтожить конкурентов

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

«Калашников» отгрузил в войска первую партию усовершенствованных ударных БПЛА «Куб» Об этом сообщил президент концерна.

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Совершенно новые Hyundai Tucson 2025 и Santa Cruz 2025 покажут уже 27 марта Южнокорейский автопроизводитель Hyundai объявил дату премьеры обновленных версий своего популярного кроссовера Tucson и пикапа Santa Cruz. Модели 2025 года будут представлены 27 марта на международном автосалоне в Нью-Йорке. Обновленный Hyundai Tucson получит новый диза...

Huawei представила мобильные и носимые устройств новой волны «Super Slim, Super Selfie»   HUAWEI Consumer Business Group (CBG) представила свою новейшую линейку продуктов «Super Slim, Super Selfie», флагманом которой стала серия смартфонов HUAWEI nova 12, известная своими усовершенствованными функциями для съемки селфи. Также были представлены фитнес-брасл...

Microsoft Word получает усовершенствованный механизм вставки текста, не портящий его форматирование Компания наконец-то прислушалась к пожеланиям пользователей.

ВСУ переделали С-200 в ракету земля - земля, но её сбил усовершенствованный С-400 Украинская военная смекалка опять не сыграла

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Марк Гурман: ждать новую AR/VR-гарнитуру Apple после Vision Pro придется не менее 18 месяцев Неизвестно, будет это упрощенная версия или, напротив, усовершенствованная

Apple планирует приобрести немецкий стартап Brighter AI для повышения приватности в Vision Pro Технологии Brighter AI помогут Apple усовершенствовать функции приватности в очках Vision Pro.

Китайская компания Poly Technologies продемонстрировала усовершенствованную лазерную систему ПВО Установка способна поражать цели на расстоянии до 4 км.

Xiaomi 14 Ultra получил глобальную версию стоимостью 1499 евро Новый флагман от Xiaomi получил усовершенствованную 50Мп камеру и мощный чип Snapdragon 8 Gen 3.

Белорусские ученые усовершенствовали антистатическое напольное покрытие Специалисты уже начали проводить работу, по завершении проекта пройдет тестирование.

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

В Китае впервые клонировали макаку-резуса. Это ускорит процесс создания лекарств Учёные усовершенствовали методику клонирования овечки Долли.

TCL усовершенствовала свои «бумажные» дисплеи Компания TCL раскрыла спецификации новой версии фирменной технологии NXTPAPER для смартфонов и планшетов

На Украине пожаловались на усовершенствованные малозаметные дроны «Герань» Таким образом Киев маскирует факт тотальнейшего истощения своей ПВО.

Альянс TSMC и SK Hynix позволит компаниям более эффективно конкурировать с Samsung Раскрыта секретная сделка TSMC по усовершенствованным чипам искусственного интеллекта

Новая модификация «Оникс-М» получила усовершенствованную головку самонаведения Теперь она еще более эффективно работает по наземным целям.

POCO F6 Pro протестирован на Geekbench с процессором Snapdragon 8 Gen 2 и 16 ГБ ОЗУ Ожидается, что POCO F6 Pro будет оснащен более быстрой системой хранения данных UFS 4.0 и усовершенствованной системой охлаждения, обеспечивающей стабильную работу.

2024 Mercedes-AMG CLE53 имеет широкие крылья, рядный гибрид мощностью 443 л.с. Mercedes-AMG представляет CLE53 — купе с усовершенствованным 3.0L двигателем, обеспечивающим 443 л.с., 413 Нм крутящего момента и разгон до 60 миль/ч за 4.0 сек.

2024 Mercedes-AMG CLE53 имеет широкие крылья, рядный гибрид мощностью 443 л.с. Mercedes-AMG представляет CLE53 — купе с усовершенствованным 3.0L двигателем, обеспечивающим 443 л.с., 413 Нм крутящего момента и разгон до 60 миль/ч за 4.0 сек.

Российские ученые усовершенствовали технологию производства печатных плат Ученые Пермского Политеха усовершенствовали технологию производства печатных плат, которые используют для электронного оборудования, пишет Наука.рф.

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

Boston Dynamics продемонстрировала усовершенствованную версию человекоподобного робота Atlas Новинка компании получила более впечатляющие возможности по сравнению с предыдущими модификациями.

В ГУР МО Украины признали, что Россия за год значительно усовершенствовала свои крылатые ракеты Добавив в них системы РЭБ, тепловые ловушки и другие защитные системы.

Российские ВКС применяют против украинских формирований усовершенствованные бомбы ФАБ-1500М54 В сети появились кадры с эффективной работой данных боеприпасов

Сотрудничество Skarper и Red Bull Racing ускорит разработку электровелосипедов Skarper в партнерстве с командой Формулы 1 Red Bull Racing разрабатывают усовершенствованный комплект для конверсии обычных велосипедов в электровелосипеды.

Спикер ВВС ВСУ: Россия усовершенствовала «Герань-2», сбивать БПЛА стало сложнее Украинские средства РЭБ не могут эффективно противостоять российским дронам.

В США планируют пополнить спутниковую группировку GPS дешёвыми и лёгкими аппаратами Усовершенствованные спутники будут работать совместно с уже находящимися на орбите.

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Apple представила 3-нм чип M4 с улучшенной мощностью и энергоэффективностью На прошедшем сегодня мероприятии компания Apple анонсировала свой очередной фирменный процессор – Apple M4. Чип, созданный с использованием передовой 3-нм технологии второго поколения, обещает снова увеличить производительность и энергоэффективность устройств Apple. Apple M4...

6000 мА·ч, без AMOLED и всяких излишне мощных платформ. Представлен смартфон Vivo Y38 Компания Vivo анонсировала потенциально дешёвый смартфон с огромным аккумулятором. Модель Y38 получила элемент питания ёмкостью 6000 мАч.  С автономностью тут всё должно быть отлично, так как кроме большого аккумулятора тут ещё и экран HD+, что снижает потребление...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Пилотируемый ИИ самолёт Lockheed Martin X-62A не уступил в бою опытному пилоту ВВС США на F-16 Разработчики продолжают усовершенствовать свою технологии, чтобы получить совершенное воздушное оружие

Истребитель Су-35С будет усовершенствован с учётом опыта его применения в СВО Ранее российскую боевую авиацию улучшали по итогам операции в Сирии.

Самолётостроителям из США не хватает деталей для модернизации истребителей пятого поколения F-35 Сроки поставок усовершенствованных самолётов в американскую армию постоянно сдвигаются.

Российские ученые усовершенствовали многокубитные операции для квантовых процессоров Ученые Университета МИСИС и Российского квантового центра представили новый метод реализации быстрой двухкубитной операции на сверхпроводниковых кубитах-флаксониумах. Эта работа может помочь создавать квантовые процессоры, которые устойчивы к ошибкам.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Росатом представил обновленную модель SLM 3D-принтера RusMelt 310 Презентация состоялась на форуме «Аддитивные технологии — новая реальность» в Казани. Аддитивные системы RusMelt 310 по технологии селективного лазерного сплавления металлопорошковых композиций (SLM) выпускаются предприятиями атомной отрасли. Новая модификация 3D-принтера ус...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Российский ВПК продолжает усовершенствовать возможности ударных и разведывательных беспилотников В БПЛА линейки Орлан активно внедряются технологии искусственного интеллекта

Компания Phanteks начала принимать заказы на 5,5-дюймовые дисплеи для ПК По заявлению производителя, они смогут прекрасно дополнить визуальную составляющую системы и усовершенствовать настройку ПК

Украина рассматривает приобретение новой модификации гаубицы "Цезарь" Украинские вооруженные силы хотят усовершенствованную версию гаубицы "Цезарь" с применением искусственного интеллекта

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

SpaceX собирается выпустить миллионы скафандров для освоения Луны и Марса Компания SpaceX заявила, что в ближайшие годы она планирует выпустить миллионы скафандров, которые будут нужны  для освоения Луны и Марса. Для строительства базы на Луне и города на Марсе потребуются миллионы скафандров. Разработка этого скафандра и выход в открыт...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

Командование ССО Корпуса морской пехоты США тестирует роботов-собак Q-UGV от Ghost Robotics Командование специальных операций Корпуса морской пехоты США тестирует усовершенствованных роботов-собак, оснащенных вооружением и датчиками, предназначенных для революционизирования специальных операций на современном поле боя.

Российский танк Т-90М Прорыв выдержал несколько попаданий БПЛА и продолжил выполнение боевых задач Эксперты отечественного ВПК не прекращают усовершенствовать оружие и бронетехнику ВС РФ

Патрулирование ВМС Франции с помощью самолетов Atlantic 2 будет в 100 раз эффективнее благодаря ИИ ВМС Франции, осуществляя модернизацию патрульных самолетов Atlantic 2, намеревается оснастить их усовершенствованными радарами компании Thales с использованием в них технологий ИИ.

Для развития гиперзвука DARPA подписала контракт на поставку высокотемпературных датчиков DARPA США заключило партнерское соглашение с Ozark Integrated Circuits для разработки датчиков для экстремальных температур, что позволит усовершенствовать военные и аэрокосмические технологии.

Новая система AIM-132 ASRAAM разработана для Украины и уже находится в зоне СВО В Twitter появилось видео, на котором показана новая британская система ПВО, оснащенная усовершенствованной ракетой малой дальности AIM-132 ASRAAM.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

На SHOT SHOW в США представлена технология инфракрасного ночного видения Guide sensmart Яркое событие для сектора охотничих товаров, выставка SHOT show, завершилась в США. Являясь главной выставкой международной охотничей и стрелковой отрасли, SHOT show привлекла профессиональных поставщиков и энтузиастов со всего мира, желающих познакомиться с новейшими достиж...

Россиянин взял четыре «золота» с Intel Core i5-8600K, усовершенствовав систему воздушного охлаждения Жидкостное охлаждение для новых рекордов не потребовалось.

Как изменяться камеры во флагманах Samsung: от Galaxy S24 Ultra ... Компания Samsung последовательно усовершенствует камеры своих топовых смартфонов серии Galaxy S Ultra. Появилась информация о планах этих обновлений на ближайшие три поколения

Министерство обороны Великобритании хочет объединить учебных боевых роботов с ChatGPT Министерство обороны Великобритании (MOD) решило усовершенствовать роботы-симуляторы SimStriker, дополнив их учебно-боевые возможности с помощью ChatGPT.

iPhone 17 приписывают до 12 ГБ ОЗУ и более компактный Dynamic Island До анонса линейки iPhone 16 осталось около 4-х месяцев. Тем не менее уже есть первые данные о серии iPhone 17, которая, согласно слухам, будет иметь усовершенствованную фронтальную камеру, уменьшенный вырез Dynamic Island и ряд других улучшений. Как сообщается, Apple…

В России усовершенствовали обработку стали для повышения прочности В пресс-службе Пермского национального исследовательского политехнического университета (ПНИПУ) сообщили, что ученые вуза усовершенствовали обработку стали, который позволяет значительно увеличить ее прочность.

ВМС США на Ближнем Востоке срочно закупают усовершенствованную систему защиты от дронов В связи с обострением ситуации на Ближнем Востоке, Пентагон в срочном порядке оформил заказ на усовершенствованную пусковую систему EAGLS (C-UAS) защиты от беспилотников.

Авиационный бортовой комплекс обороны «Витебск» будет усовершенствован с учётом опыта СВО Подобный процесс проходят сейчас практически все вооружения и системы российского производства.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Apple усовершенствует защиту своего мессенджера iMessage с помощью протокола PQ3 Компания опасается, что в будущем хакеры сумеют получить доступ к квантовым вычислениям и безопасность данных окажется в опасности

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

M1Cloud усовершенствовал собственный сервис управления облаком Automate Сервис-провайдер M1Cloud компании Stack Group усовершенствовал собственный программный продукт — полнофункциональный сервис самостоятельного управления облаком Automate. Automate — это платформа управления виртуальной инфраструктурой ...

Apple планирует усовершенствовать AR-шлем, добавив персональные линзы для пользователей Apple намерена выпустить AR-шлем с индивидуальными линзами для коррекции зрения, которые будут установлены на заводе.

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

«Яндекс 360» сможет поддерживать видеовстречи на тысячу человек В «Яндекс 360» намерены усовершенствовать сервис «Телемост», переведя его на новую технологическую платформу. Платформа будет разработана и, как ожидается, будет полностью введена в эксплуатацию к середине 2024 года.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Ученые улучшили производительность литий-серных батарей Ученые из университетов Аделаиды и Тяньцзиня, а также Австралийского синхротрона представили новый подход к повышению производительности литий-серных батарей, опубликовав результаты исследования в журнале Nature Nanotechnology.

Футбольный клуб решил искать новых игроков с помощью ИИ IBM и футбольный клуб «Севилья» представили Scout Advisor, инструмент искусственного интеллекта, призванный усовершенствовать скаутинг и подбор игроков для футбольного клуба. Построенный на платформе IBM watsonx, Scout Advisor использует обработку естественного языка и базов...

Компания CTL анонсировала новую серию Chromebook NL73 Chromebook NL73 также поддерживает Wi-Fi 6E, что обеспечивает стабильное соединение и улучшенную производительность при работе в домашних условиях или гибридных классах

Volvo сообщила о новой технологии, которая сократит время зарядки электромобилей на 30% Согласно пресс-релизу шведской компании, зарядка станет быстрее благодаря усовершенствованному программному обеспечению от партнера Breathe Battery Technologies.

Британская армия получит усовершенствованных роботов обезвреживателей бомб T4 EOD Британская армия до конца 2024 года получит в свое распоряжение усовершенствованных роботов-обезвреживателей бомб T4 EOD, которые помогут нейтрализовать взрывчатые и отравляющие вещества.

Российские специалисты продолжают усовершенствовать многоцелевую управляемую ракету "Изделие 305" Планируется увеличить боевую часть ракеты для повышения её огневой мощи

Apple сделает часть ИИ-функций iOS 18 эксклюзивом новеньких iPhone 16 Apple активно работает над iOS 18, частью которой будет усовершенствованная версия Siri, работающая на основе больших языковых моделей (LLM).

Сбер усовершенствовал GigaChat — качество ответов значительно улучшилось Сервис Сбера стал также доступен пользователям ВKонтакте, а аудитория GigaChat достигла 1 млн уникальных пользователей.

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Учеными ПНИПУ разработана «умная» система для кормления собак В Пермском национальном исследовательском политехническом университете, также известном под аббревиатурой ПНИПУ, создана усовершенствованная система для автоматического кормления собак, которая будет весьма востребована в крупных питомниках.

В ПНИПУ усовершенствовали контроль температуры при экструзионной 3D-печати Ученые Пермского национального исследовательского политехнического университета разработали математическую модель для определения оптимальных локальных режимов нагрева при экструзионной 3D-печати. 

SpaceX впервые удалось передать сообщения между смартфонами, используя спутники Starlink Для этого компания разработала большие усовершенствованные фазовые решетки размером 2.7×2.3 метра, в которых применяются значительно более чувствительные радиоприемники, а также передатчики повышенной мощности.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Raytheon продемонстрировала возможности новой ракеты AMRAAM-ER для ЗРК NASAMS В ходе последних летных испытаний, компания Raytheon демонстрирует усовершенствованные возможности ракеты AMRAAM-Extended Range (ER), выпущенной с пусковой установки NASAMS, обещая новый уровень защиты в воздушном пространстве.

Российские ученые усовершенствовали метод количественного иммуноанализа Ученые из Института химической кинетики и горения имени В. В. Воеводского в Сибирском отделении Российской академии наук усовершенствовали метод количественного иммуноанализа, пишет Наука.рф.

В США завершились испытания прототипа перспективной боевой разведывательной машины Американская компания General Dynamics Land Systems отчиталась об успешном окончании «самых масштабных» испытаний усовершенствованной разведывательной машины, длившийся весь минувший год.

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

Бренд TECNO анонсировал обновление бюджетной линейки смартфонов SPARK 20 Девайсы нового поколения сочетают улучшенную производительность и возможности с ярким дизайном. В России доступны три модели: SPARK 20, SPARK 20C и SPARK Go 2024.

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Apple Watch Series 10 смогут работать дольше из-за усовершенствованной технологии дисплея В мире технологий постоянно происходят изменения, и индустрия носимых устройств не является исключением. Недавно появилась информация о том, что будущие модели Apple Watch Series 10 смогут работать дольше благодаря усовершенствованной технологии дисплея.

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

ВВС США усовершенствуют бомбардировщики B-52 для создания максимальной мощности гиперзвуковых ракет ВВС США активно работают над усовершенствованием своих гиперзвуковых самолетов-бомбардировщиков B-52H, чтобы они могли нести увеличенную полезную нагрузку гиперзвуковых ракет.

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Системы наведения и связи в дронах «Ланцет» усовершенствуют Глава концерна «Калашников» Алан Лушников, выступая на первом Всероссийском оружейном форуме «Российский оружейный рынок. Развилка в развитии» сообщил о совершенствовании дрона-камикадзе «Ланцет».

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Ученые усовершенствовали диагностику рака Ученые из США научили искусственный интеллект по снимкам выявлять признаки рака на ранних стадиях. Разработанная ими программа анализирует большие объемы данных медицинских изображений и находит закономерности, которые помогают определить тип опухоли, ее расположение и прогн...

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Xiaomi выпустила новую версию HyperOS, в которой устранены многочисленные ошибки Помимо исправления ошибок, новая версия HyperOS также предлагает усовершенствованное управление жестами.

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

iPhone 16 Pro и Pro Max могут получить корпус с полированными титановыми рамки Apple усовершенствовала процесс производства титановых корпусов для iPhone.

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

В Android 15 появятся скрытые уведомления и профили В Android 15 вы сможете контролировать конфиденциальность своих смартфонов. Google усовершенствует функцию «Private Space», предлагающую безопасный и отдельный профиль для скрытых приложений и данных.

Смартфоны Tecno зазвучат по-новому: они получат технологии Dolby Tecno начала сотрудничать с Dolby Laboratories, обещая пользователям своих смартфонов доступ к технологии Dolby Atmos, которая делает звучание объемным. Dolby Atmos появится в новых смартфонах серии Pova 6, которые будут представлены уже в феврале на Mobile World Congre...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Инженерам наконец удалось решить полувековую проблему самолетов Ил-96 Наконец-то это случилось, и модернизированная версия легендарного Ил-96 находится на летных испытаниях. Для того чтобы реализовать изначально запланированную конструкцию, потребовалось свыше 30 лет, и во многом это заслуга усовершенствованного двигателя.

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Gameloft анонсировала перезапуск Asphalt 9: Legends с новым контентом и улучшенной графикой Gameloft, один из ведущих мировых разработчиков и издателей игр, анонсировал Asphalt Legends Unite, перезапуск популярной гоночной игры Asphalt 9: Legends. Игра будет включать в себя новый контент, переработанный гараж и улучшенную графику. Релиз запланирован на 17 июля 2024...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Samsung купит французскую ИИ-компанию для улучшения медицинской диагностики Южнокорейский технологический гигант Samsung объявил о покупке французской компании искусственного интеллекта Sonio. Цель покупки — усовершенствовать системы медицинской диагностики, в частности, ультразвуковые исследования.

Представлен Mercedes-AMG CLE53 2024 Ранее в этом году Mercedes-Benz объединил купе C-Class и E-Class в новый автомобиль CLE. Во вторник компания представила более мощную версию под названием Mercedes-AMG CLE53, которая поступит в продажу в США в 2024 году. Под капотом нового купе находится усовершенствов...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

В ПНИПУ разрабатывают звукопоглощающие конструкции для самолетов Ученые Пермского национального исследовательского политехнического университета усовершенствовали строение звукопоглощающих конструкций и продемонстрировали эффективность на образцах с 3D-печатными разновысотными сотовыми наполнителями.

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Toyota Highlander подешевел в Китае на 10 тыс. долларов в преддверии выхода обновленного Highlander 2024. Кроссовер получит улучшенное оснащение и станет тише  Дилер совместного предприятия GAC Toyota раскрыл подробности о будущем обновленном Highlander 2024 — машину представят уже в июне. Дизайн Highlander не изменится, но кроссовер получит улучшенное оснащение и станет тише. Изображение: Toyota К улучшению оснащ...

Арендные самокаты Whoosh научили видеть людей вокруг себя Компания Whoosh успешно завершила испытания новых технологий в городских условиях Москвы, где протестировала самокаты, оснащенные компьютерным зрением и усовершенствованным дашбордом, отмечает пресс-служба компании на своем официальном сайте.

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

На этой неделе Apple анонсирует новые модели iPad с обновленными чипами Apple обновит iPad Air, iPad mini и базовую модель новыми чипами M2 и A16 Bionic, улучшив их производительность и функциональность

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Siri получит "проактивный интеллект" на базе ИИ от Apple Согласно последним отчетам, Apple намерена значительно усовершенствовать своего виртуального помощника Siri на основе технологий искусственного интеллекта. Компания также готовит к выпуску новые инструменты редактирования, использующие ИИ. Подробности будут раскрыты на ежего...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Tesla испытывает версию Model 3 без зеркал заднего вида Компания Tesla, как сообщается, проводит испытания усовершенствованной версии своей популярной модели Model 3, в которой традиционные боковые зеркала заднего вида заменены камерами. Этот шаг может указывать на дальнейшее развитие технологий цифровых зеркал в будущих автомоби...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

NASA запустит космический корабль с солнечным парусом NASA планирует запустить усовершенствованный космический аппарат с солнечным парусом позднее в этом месяце. Система солнечного паруса из композитных материалов запланирована к запуску 24 апреля.

Сибирские химики нашли способ повысить эффективность солнечных батарей Сибирские ученые из Института неорганической химии имени А. В. Николаева создали новый метод разработки антиотражающих покрытий, используя фторид магния. Эти покрытия усовершенствуют оптические характеристики для солнечных батарей, позволяя им эффективнее поглощать световые ...

Яндекс добавил вход по лицу или отпечатку пальцев в своих сервисах Команда разработчиков Яндекса усовершенствовала процесс входа в свои сервисы. Теперь, благодаря нововведениям в системе авторизации Яндекс ID, пароли и одноразовые коды уже не понадобятся.

Великобритания, Япония и Италия подписали договор о программе усовершенствованных истребителей Министры обороны Японии, Великобритании и Италии договорились о создании организации, которая будет отвечать за разработку истребителя нового поколения. Соглашение подписано в Токио.

В ПНИПУ усовершенствовали проектирование 3D-печатных тазобедренных эндопротезов Ученые Пермского национального исследовательского политехнического университета совместно с коллегами из Казанского федерального университета и Университета Лафборо разработали новый метод проектирования долговечных и легких 3D-печатных тазобедренных эндопротезов под запросы...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Exynos 2400 — действительно неплохая платформа, но с не лучшей энергоэффективностью. Тесты показывают, что потребление CPU довольно высокое Различные тесты уже доказали, что SoC Exynos 2400 действительно получилась достаточно неплохой на фоне Snapdragon 8 Gen 3. Однако свежие данные говорят о том, что всё же у платформы Samsung всё намного хуже с энергоэффективностью.  Если говорить только о процессор...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Whoosh подвел итоги тестирования самоката, который «видит» и анализирует окружающую среду Летом 2023 года компания Whoosh протестировала самокат с компьютерным зрением и усовершенствованным дашбордом. Собственные разработки испытали в Москве. Устройства учились собирать и обрабатывать данные об окружающем пространстве, а также анализировать реакции и поведение по...

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

В России создали технологию для усовершенствования строительных материалов В пресс-службе Саратовского государственного технического университета сообщили, что ученые вуза разработали инновационную технологию, которая поможет усовершенствовать строительные материал. Она позволяет создавать силикатные добавки с гораздо более низкой себестоимостью по...

В СГТУ совершенствуют строительные материалы за счет минеральных добавок Ученые Саратовского государственного технического университета имени Ю. А. Гагарина разработал усовершенствованную технологию получения силикатных добавок для строительных материалов. Технология может найти применение в монолитном строительстве и строительной 3D-печати.

Дизайн-система: от страдания к звездам Наша дизайн-команда “Инферит Клаудмастер” создает интерфейс, который будет не только удобными, но и привлекательными для пользователей. Для того чтобы усовершенствовать процессы взаимодействия между командами, создающими продукт, пользователями и продуктом, мы внедрили дизай...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Это Kia Carnival 2025. Новинку впервые показали изнутри Kia сообщила подробности о новой модели Carnival за несколько недель до ее полноценного дебюта. Мы впервые увидели экстерьер в прошлом месяце, а теперь у нас есть возможность заглянуть внутрь двухцветного салона. В целом он кажется знакомым, но некоторые заметные измен...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Как проапгрейдить бытовую технику простыми способами? Аксессуары, которые сделают прибор лучше и удобнее Нехитрые и очень бюджетные способы делают бытовые приборы удобнее и функциональнее, а значит, техника будет лучше отрабатывать вложенные в нее деньги и не разочарует. Рассказываем, как буквально за копейки можно усовершенствовать холодильник, пылесос, кондиционер, микроволно...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Найден способ предсказания смерти человека при помощи нейросети Датскими учеными разработан алгоритм Life2vec, способный значительно усовершенствовать прогнозирование здоровья человека и в определенных ситуациях помочь предотвратить преждевременный уход из жизни. Исследователи, представляющие проект, рассказали о его сути в журнале Natur...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Командир танка рассказал о защите T-90M от дронов В рамках модернизации танковые подразделения Т-90М на Херсонском фронте оснащаются современными средствами защиты от беспилотников. Командир с позывным «Мэджик» поделился с РИА Новости информацией о том, как его команда совместно с ремонтниками десантников группировки войск ...

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Volkswagen Golf скоро отметит своё 50-летие, до премьеры обновленного Golf MK8.5 остался месяц Volkswagen выпустила пресс-релиз, в котором очертила срок премьеры обновленного Volkswagen Golf MK8.5 – машину представят в конце января 2024 года. Премьера будет приурочена к 50-летию выпуска самого первого Golf. На данный момент это единственное официальное изо...

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Китай увеличит размер своей космической станции в 2 раза и предложит её как альтернативу МКС Китай планирует усовершенствовать свой аналог МКС — космическую станцию Тяньгун. Количество модулей будет увеличено в 2 раза — с 3 до 6.

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Онлайн-курс «Управление заработной платой: грейды, BSC, performance management» От чего зависит мотивация и производительность сотрудников и в целом эффективность бизнеса? Как настроить управление системой оплаты труда в соответствии с бизнес-задачами? Как усовершенствовать процессы и оптимизировать заработную плату сотрудников? Курс ответит на эти вопр...

Snapdragon 8 Gen 4 окажется очень мощной. Инженерный образец даже с ограничением частоты до 2,4 ГГц демонстрирует производительность на уровне Snapdragon 8 Gen 2 В Сети появился первый тест инженерного образца будущей флагманской однокристальной системы Qualcomm Snapdragon 8 Gen 4. SoC протестировали в AnTuTu, и она выдала результат в 1,85 млн баллов. Это уровень Snapdragon 8 Gen 2, но есть нюанс: частота CPU не превысила 2,4 ГГ...

Пользователи VK Мессенджера получили возможность начать диалог по номеру телефона и новые инструменты для кастомизации чатов Написать собеседнику в VK Мессенджере можно по номеру телефона. Для этого не обязательно добавлять человека в друзья ВКонтакте или в контакты телефонной книги. Разработчики усовершенствовали алгоритмы антиспама и внедрили ряд новых функций в чатах. Обновления доступны в прил...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

«Высокое искусство вашими руками»: Сбер представил бесплатную нейросеть Kandinsky 3.0 Команда СберБанка создали новую версию фирменной нейросети — бесплатную генеративную модель для творчества Kandinsky 3.0. Усовершенствованная нейросеть представлена на конференции Сбера по искусственному интеллекту и машинному обучению AI Journey, которая проходит...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

12-дюймовые экраны, новые материалы отделки, улучшенная шумоизоляция. Новая Toyota Avalon 2024 станет качественнее и получит усовершенствованные моторы Обновленный седан Toyota Avalon выйдет в Китае в июле текущего года, но уже сейчас появились подробности об оснащении этой машины. Avalon 2024 получит экраны увеличенной диагонали: 7-дюймовый дисплей цифровой панели приборов заменят 12-дюймовым, в составе медиасистемы ...

Инструмент для защиты паролей в Chrome теперь работает на фоне 24/7 Google объявила об обновлении функции проверки безопасности в Chrome, которая теперь будет автоматически работать в фоновом режиме на ПК. Усовершенствованная функция неустанно следит за просторами Интернета, гарантируя, что сохраненные вами пароли останутся неуязвимыми для к...

Sapeon анонсировала новый чип X330 для ускорения работы языковых моделей Южнокорейский стартап Sapeon анонсировал новый чип X330, который обещает 2-кратное увеличение производительности для языковых моделей по сравнению с конкурентами

Появились первые детали о смартфоне Motorola Manila Motorola готовится представить новый смартфон, который проходит под кодовым названием Manila. Подробности о предстоящем смартфоне раскопало издание Android Headlines. Motorola Manila будет доступен в пяти вариантах с модельными номерами XT2433-1, XT2433-2, XT2433-3, XT2...

Упрощаем локализацию iOS-приложения со String Catalogs Выход продукта на новые рынки сбыта — это деньги. Чем лучше ты знаешь своего клиента, тем вероятнее, что ты их сможешь заработать. Это же правило справедливо и в обратную сторону: клиент более охотно будет пользоваться твоим продуктом, если он может разговаривать с ним на од...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Apple готовится к запуску MacBook с процессором M3 в 2024 году Apple планирует представить ноутбуки MacBook Pro и MacBook Air с новым процессором M3 в 2024 году, обещая пользователям улучшенную производительность и более долгую автономную работу.

У аналога Олимпийских игр с разрешенным допингом появился новый спонсор Технологический предприниматель Питер Тиль решил инвестировать в новое радикальное спортивное мероприятие под названием «Усовершенствованные игры», где допинг будет не только разрешен, но и поощряться. Это событие, дебют которого запланирован на 2025 год, обещает «перев...

Обновленный Peugeot 408 получил другой двигатель: автомобиль стал быстрее и экономичнее Французский автопроизводитель Peugeot представил обновленную версию седана 408 для китайского рынка, которая получила не только освеженный дизайн, но и новый, более мощный двигатель. Внешне рестайлинговый Peugeot 408 отличается от предшественника переработанной передней...

Названы характеристики камеры самого быстрого в мире Android-cмартфона Основная камера нового флагманского смартфона Vivo X100 Pro оснащена 1-дюймовым датчиком изображения Sony IMX989 разрешением 50 Мп, а также объективом с диафрагмой F/1,75. Есть поддержка оптической стабилизации изображения OIS. Кроме того, vivo X100 Pro оснащен перископ...

115 лет прогресса: от механического осциллографа до самодельного цифрового Привет, Хабр! Вы ещё не собирали осциллограф DSO138? — Если нет, то вам можно позавидовать: впереди увлекательный проект со множеством перспектив. Если да, то почему бы не усовершенствовать уже собранный или собрать ещё один. По сути, DSO138 представляет собой микрокомпью...

Термобарическое оружие нового поколения: Россия заявила о создании ТОС-3 "Дракон" Российская оборонная промышленность раскрывает планы развития своей грозной термобарической ракетной техники. Новая система, получившая название ТОС-3 "Дракон", представляет собой усовершенствованную версию ранее разработанных термобарических ракетных комплексов ТОС-1А и ТОС...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

AMD анонсировала новые профессиональные процессоры Ryzen PRO 8000 с искусственным интеллектом AMD представила профессиональные процессоры Ryzen PRO с интегрированными технологиями ИИ, обеспечивающими беспрецедентную производительность рабочих нагрузок.

WD представляет новые SSD SN5000S на базе памяти QLC. Компания Western Digital анонсировала запуск своего нового SSD накопителя SN5000S на базе революционной технологии QLC, который обещает не только высокую скорость, но и улучшенную производительность по сравнению с предшественниками на TLC памяти. Этот шаг может кардинально и...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Анонсирован планшет Legion Y700 Super Control Edition с улучшенным экраном В июле 2023 года было представлено второе поколение ноутбука Lenovo Legion Y700, а теперь компания работает над обновленным изданием Legion Y700 Super Control Edition.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

Самые лёгкие ноутбуки для работы и не только: что предлагает рынок Каждый год выпускается несколько десятков новых и/или усовершенствованных моделей ноутбуков. Для того чтобы упростить задачу выбора хорошей модели для себя, мы сделали подборку девайсов. Это уже вторая часть, продолжение статьи о мощных и компактных ноутбуках 2023 года. Мы п...

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Apple выпускает обновление для HomePod и HomePod mini Apple обновила программное обеспечение своих умных колонок HomePod и HomePod mini до версии 17.5, улучшив производительность и стабильность устройств. Обновление доступно для автоматической установки через приложение Home, предлагая улучшенные функции управления и качество з...

Noctua представила низкопрофильный процессорный кулер NH-L12Sx77 Кулер NH-L12Sx77 является улучшенной версией модели NH-L12S и предлагает лучшую производительность охлаждения, а также совместимость с материнскими платами и оперативной памятью.

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Lenovo анонсировала новый ThinkPad X1 Carbon Gen 12 с улучшенным охлаждением Двенадцатое поколение флагманского ультрабука ThinkPad имеет обновленный дизайн с новой клавиатурой, улучшенной системой охлаждения, а также экраном с частотой 120 Гц.

Swissbit анонсирует новую линейку SSD N5200 для дата-центров и корпоративных серверов Swissbit представила новую серию SSD N5200 для дата-центров и корпоративных серверов, обеспечивая высокую производительность и надежность при хранении данных

Apple объявила о значительном увеличении скорости браузера Safari Команда разработчиков WebKit компании Apple значительно повысила производительность Safari, улучшив показатели в Speedometer 3.0 на 60%. Эта новость появилась после запуска Speedometer 3.0 в марте, совместных усилий Apple, Google и Mozilla для «более точной» оценки производи...

Samsung анонсирует новый бюджетный планшет Galaxy Tab S6 Lite (2024) Samsung анонсировала обновлённый планшет Galaxy Tab S6 Lite с улучшенным процессором и памятью. Новая модель 2024 года получит Android 14, стильный дизайн и привлекательную цену всего от 400 евро.

Suzuki в ударе. Компания анонсировала новый Swift и массу других новинок, а также летающий автомобиль Suzuki объявила состав участников Japan Mobility Show 2023. Наиболее важными являются новое поколение городского автомобиля с двигателем внутреннего сгорания Swift, выход которого ожидается в 2024 году, а также полностью электрический кроссовер eVX, который появится в 2...

Lenovo представила десктопные ПК Legion Blade 7000K с мобильными чипами Intel HX Lenovo старается улучшить соотношение цены и производительности настольных ПК, используя мобильные процессоры в линейке Legion.

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Тесты чипа Apple M4 показали прирост на 22% в одноядерных и на 25% многоядерных задачах Компания Apple представила новый чип M4, основанный на собственном дизайне Apple Silicon, который обладает улучшенной производительностью и возможностями искусственного интеллекта

Новейшие технологии безопасности делают ремонт разбитых автомобилей намного дороже По мере развития технологий помощи водителю в транспортных средствах растет стоимость производства и, в конечном итоге, цена. Новые и подержанные автомобили сейчас дороже, чем когда-либо, но новое исследование Американской автомобильной ассоциации (ААА) говорит о том, ч...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Xiaomi раскрыла характеристики RedmiBook 16 2024 перед анонсом Лу Вейбинг, президент Xiaomi Group, президент международного отдела и генеральный менеджер бренда Redmi, опубликовал новое изображение грядущего RedmiBook 16. По его словам, в новом RedmiBook 16 были полностью усовершенствованы три основные характеристики производительн...

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Госдеп США одобрил потенциальную продажу Тайваню систему связи за $75 млн Госдепартамент США одобрил возможную продажу вооруженным силам Тайваня усовершенствованной тактической системы передачи данных (ATDS) стоимостью $75 млн. Эта сделка является частью усилий США по поддержке безопасности и обороны Тайваня, который считается неотъемлемой частью ...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

CreatBot D600 Pro 2: широкоформатный, скоростной и надежный принтер для промышленной 3D-печати Всем привет, с вами компания 3Dtool!Среди производителей профессиональных 3D-принтеров компания CreatBot выделяется великолепным сочетанием доступности оборудования и производительности. В фирменной линейке представлено не только множество решений для крупноформатной FDM 3D-...

«Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона». Появились первые фотографии, сделанные на новые смартфоны Эффектные фотографии, которые сделаны на камеры Vivo X100 и X100 Pro, опубликовал китайский инсайдер Ice Universe. «Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона», — так прокомментировал снимки Ice Universe. Стоит отметит...

Один чип по производительности как четыре Apple M2 Ultra. Apple создала такой для своего отмененного автомобиля У Apple были амбициозные планы относительно своего электромобиля, включая создание усовершенствованной системы автономного вождения. Для этого компания разработала чип, эквивалентный по производительности четырем Apple M2 Ultra. Марк Гурман из Bloomberg заявил, что кома...

Паркууур: четырёхлапый робот ANYmal может преодолеть почти любое препятствие ANYmal — робот на четырёх «ногах», сконструированный в форме собаки. Его создала команда учёных из швейцарской высшей технической школы ETH с целью поиска и спасения людей под завалами, например, после природных бедствий. Инженеры усовершенствовали робота и научили его базов...

Топовая версия альтернативы Toyota Alphard с чисто китайским названием: представлен GAC Trumpchi M8 Grandmaster Pioneer Cockpit Edition Компания GAC представила новую версию альтернативы Toyota Alphard, выбрав для нее типичное для китайских компаний название — GAC Trumpchi M8 Grandmaster Pioneer Cockpit Edition. Автомобиль поступит в продажу 24 февраля, при этом производитель подтвердил, что GAC T...

Qualcomm готовит к релизу процессор Snapdragon 8s Gen 3 Сегодня появилась информация о том, что компания Qualcomm планирует расширить свой арсенал процессоров для мобильной платформы за счёт чипа Snapdragon 8s Gen 3, который, судя по различным источникам, будет представлен в самое ближайшее время. Более того, в китайских социальн...

Представлен ASUS Vivobook S 15 (S5507) – свой первый PC Copilot+ на Snapdragon X по цене от $1299 ASUS анонсировала свой первый ноутбук Copilot+ PC – Vivobook S 15 (S5507). ПК оснащен процессором Snapdragon X Elite который, как утверждает производитель, не только улучшит некоторые текущие функции Vivobook, но также позволит новым программам и рабочим нагрузкам на основе ...

Beats Solo 4 представлены с улучшенным временем автономной работы Apple представила новые наушники Beats Solo 4 с улучшенным качеством звука, улучшенной посадкой и большим временем автономной работы

Представлен первый в мире мотоцикл с горизонтально-оппозитным 8-цилиндровым двигателем Great Wall Soul Представлен новейший мотоцикл Great Wall Soul, который, как утверждает производитель, полностью соответствует стандартам исследований и разработок легковых автомобилей высокого класса, а его трансмиссия является «единственной в мире». Great Wall Soul доступ...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Более 400 км/ч и 1036 л.с. — уникальный McLaren Speedtail продадут на аукционе На аукцион выставляют очень редкий гиперкар McLaren Speedtail. Всего выпущено 106 машин, однако его уникальность заключается в затратах на дополнительное оборудование. Владелец этого автомобиля потратил дополнительные 660 тысяч долларов, чтобы усовершенствовать его стан...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Google анонсировала Pixel Watch 2: более легкие и производительные Google продолжает совершенствовать свои умные часы, и недавно компания представила Pixel Watch 2. Это устройство обещает улучшенные характеристики и новые функции, которые могут привлечь внимание любителей носимой техники.

Samsung представила рекордно ёмкую память HBM3E 12H объёмом 36 ГБ на стек с пропускной способностью 1,28 ТБ/с Компания Samsung объявила о том, что разрабатывает первую в отрасли микросхему памяти HBM3E 12H объёмом 36 ГБ.  Так как это память HBM, корректнее говорить, что это не микросхема, а стек. В данном случае состоящий из 12 слоёв, что в итоге даёт самую большую в отра...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

F2 innovations (часть 2) - Обзор продукции F2 innovations - российская компания, создатель новых технологий и инновационных решений в области оборудования для 3D печати. В этой статье мы познакомим вас с ассортиментом F2 innovations и расскажем о преимуществах 3D принтеров производителя.F2 innovations - российская ко...

Обновленные модели BMW X5, X6 и X7 появились в России В Автодом BMW на Стартовой представлены эксклюзивные модели BMW X5, X6 и X7, которые уже готовы к выдаче покупателям Автомобили 2023  получили не только современны внешний вид, но и достойные технические характеристики. В BMW X5 и X6 используются гибридные сил...

Intel представила Gaudi 3: борьба с Nvidia за превосходство в области ИИ Intel бросила вызов Nvidia в ИИ-гонке, анонсировав новый мощный ускоритель Gaudi 3. Этот чип нацелен на «доминирование» на рынке обучения и выводов ИИ, обещая значительный прирост производительности и ориентируясь на открытые стандарты.

Первый патч для No Rest for the Wicked улучшил производительность и снизил системные требования Разрабатываемая Moon Studios ролевая экшен-игра No Rest for the Wicked получила крупное обновление производительности с первым патчем Early Access.

Yuzu обновляет управление VRAM для улучшения производительности игр Эмулятор Yuzu вводит новые опции управления VRAM в своем последнем обновлении Early Access, предлагая пользователям улучшенную производительность в играх на Nintendo Switch

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Новый процессор Apple M3 — реальный апгрейд или маркетинговый трюк? Сравнение с M2 и M1 Apple наконец провела свое очередное мероприятие, для которого было выбрано довольно непривычное время суток. Проснуться посреди ночи, живя в России, действительно стоило: купертиновцы показали не только компьютеры, но и линейку процессоров M3, состоящую из трех новых чипсе...

Acer представила новые ноутбуки Swift Edge 16 и Swift Go 14 Компания Acer анонсировала две новые модели ноутбуков Swift, которые отличаются тонким и легким дизайном, высокой производительностью и длительным временем работы от батареи. Ноутбуки работают под управлением операционной системы Windows 11 и имеют экраны с разрешением QHD+ ...

Представлен Nothing Phone (2a) – прозрачный смартфон за $289 Nothing официально анонсировала свой новый смартфон Nothing Phone (2a). Новинка отличается хорошей производительностью и отличными возможностями камеры. В настоящее время это наиболее доступная модель бренда. Смартфон оказался лучше Phone (1), но проще Phone (2). …

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Qualcomm Snapdragon X Elite протестировали в играх Достаточно ожидаемая система на кристалле Snapdragon X Elite, которую компания Qualcomm анонсировала некоторое время назад, была протестирована в новой видеоигре Baldur's Gate 3 — ноутбук на базе этого чипа работал со скоростью 30 FPS, что достаточно неплохо для мобильного ч...

HIPER выпускает безрамочный телевизор Smart TV QLED Smart TV Телевизоры HIPER QLED Smart TV— это поколение умных телевизоров с превосходной графикой. Они обладают усовершенствованным дисплеем с высоким разрешением 4К и производительным четырехъядерным процессором ARM Cortex A53. Практически безрамочный экран в утонченном минималисти...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

В России начались продажи новых телевизоров Philips OLED и The One европейской сборки В России в продажу поступили новые телевизоры Philips с технологией умной подсветки Ambilight. На смену моделям телевизоров Performance серии The One 8507 пришла усовершенствованная модель The One 8808/8848, а в линейке Philips OLED появились телевизоры 808 и 708. Серия...

Huawei представила планшет MatePad 11.5"S и обновленный ноутбук MateBook X Pro Технологический гигант Huawei на мероприятии в Дубае анонсировал глобальные версии обновленного MateBook X Pro и стильного MatePad 11,5", задав новые стандарты производительности и дизайна в мире ноутбуков и планшетов.

Как обнаружить галлюцинации в LLM? LLM продолжают свое пребывание в центре технологических дискуссий. Они трансформируют наши взаимодействия с технологиями, поскольку предоставляют возможность усовершенствованной работы в обработке и генерации текстов. Однако и упомянутые модели не идеальны, так как одна из и...

Компания Huawei анонсировала уникальные солнцезащитные очки Eyewear 2 Huawei анонсировала выпуск новых солнцезащитных очков Eyewear 2 с аудиофункциями, которые будут представлены на майском мероприятии. Очки обещают новые технологии и улучшенный дизайн.

Компания NVIDIA анонсировала чип Blackwell Согласно пресс-релизу NVIDIA, новые чипы Blackwell предлагают значительное улучшение производительности и энергоэффективности. Чипы Blackwell в 7-30 раз быстрее предшественников H100 и потребляют в 25 раз меньше энергии. Это достижение стало возможным благодаря использованию...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

У Razer есть свои ноутбуки, и они получат улучшенные дисплеи Razer анонсировал обновлениями дисплеев для своих игровых ноутбуков Blade 16 и Blade 18, обещая изменения в качестве игровых изображений, новинки представят на выставке CES 2024.

В WhatsApp появились фильтры для чатов Команда популярного мессенджера WhatsApp объявила о запуске новой полезной функции. Пользователям стали доступны фильтры для чатов, которая призвана ускорить поиски нужного сообщения.  Сгенерировано нейросетью Dall-E В WhatsApp добавили три фильтра над списком чат...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Samsung представила новый чип памяти HBM3E 12H Компания Samsung Electronics анонсировала новую модель чипа памяти сверхвысокой пропускной способности, обеспечивающую самую большую ёмкость среди аналогов на рынке. Южнокорейский гигант утверждает, что использование HBM3E 12H позволяет повысить производительность более чем ...

MediaTek показала новый процессор начального уровня Helio G91 MediaTek незаметно анонсировала свой новый процессор Helio G91, который пришёл на смену Helio G88, представленного ещё 3 года назад. Новая SoC предназначена для повышения производительности и возможностей бюджетных смартфонов, предлагая поддержку дисплеев FHD+ с частотой 90…

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

В России закупаются раскладушками: самые популярные складные смартфоны 2023 года Аналитики «М.Видео-Эльдорадо» поделились интересной статистикой, демонстрирующей усиление интереса к складным смартфонам на российском рынке. По итогам января-сентября 2023 года штучные продажи таких смартфонов выросли в 4,3 раза по сравнению с прошлым годом...

Twitter значительно улучшил свой поиск Руководство сервиса Twitter анонсировало обновленный поиск, который, по словам разработчиков, работает в три раза быстрее предыдущего. Значительное улучшение работы поиска объясняется тем, что разработчики заменили Ruby-on-Rails на Java-server Bender Blender. В общий досту...

Игровое кресло с синхронным наклоном спинки и сиденья. Обзор ThunderX3 EAZE LOFT Кресло является таким же важным атрибутом игрового сеттинга, как клавиатура или мышка, причем, именно оно решает, насколько долго и комфортно можно будет проводить время за монитором. В изучаемом сегодня ThunderX3 EAZE LOFT используется сразу ряд продвинутых элементов констр...

Представлены экономичные версии Mitsubishi Xpander и Xpander Cross Компания Mitsubishi скоро запускает продажи гибридных версий своих кросс-вэнов Mitsubishi Xpander и Xpander Cross в Таиланде. Автомобили производятся на местном заводе марки в Лаем Чабанге. Mitsubishi Xpander сочетает в себе комфорт и универсальность минивэна с характер...

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)