Социальные сети Рунета
Среда, 1 мая 2024

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Аналитик: если история повторится, в апреле биткоин вырастет до 138 тыс. долларов После халвинга он удваивался в цене в трех случаях из четырех.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Производительность видеокарт Moore Threads MTT S70 и MTT S80 выросла до 20 процентов Это произошло после выхода очередного графического драйвера компании

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Раскрыты точные сроки выхода ноутбуков Apple MacBook с новейшим процессором M3 Поклонники продукции Apple в предвкушении ожидают выход новых ноутбуков MacBook, оснащённых последним процессором M3.

Новый MacBook Air на чипах M3 показал прирост одноядерной производительности на 20% Многоядерная производительность MacBook Air выросла на 18% по сравнению с М2

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Экспортные поставки столичной несырьевой неэнергетической продукции увеличились на 40% Экспорт московской несырьевой неэнергетической продукции вырос на 40% по итогам семи месяцев текущего года по сравнению с аналогичным периодом прошлого года. На сегодня столичная продукция поставляется более чем в 160 стран мира, где главными импортерами являются Белоруссия,...

Рыночная стоимость медиафирмы Дональда Трампа выросла на фоне дебюта на фондовом рынке Акции Trump Media выросли после выхода компании на фондовый рынок, но доход и активные пользователи платформы Truth Social остаются скромными.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Уход зарубежных онлайн-кинотеатров отыграли пираты Коллеги обратили внимание на оценки роста рынка пиратской медиа-продукции – видео, музыки, книг и игр. Ссылаясь на РБК коллеги пишут о там, что рынок пиратских сервисов вырос до 12 миллиардов рублей. Если в процентах, то с момента ухода зарубежных контент-сервисов с нашего р...

Выручка резидентов ОЭЗ “Технополис Москва” выросла вдвое за девять месяцев Значительный рост выручки отмечен у резидентов особой экономической зоны (ОЭЗ) «Технополис Москва» в текущем году. Согласно заявлению заммэра Москвы по экономическим вопросам Владимира Ефимова, за первые девять месяцев 2023 года объем реализованной продукции в ОЭЗ вырос в 2,...

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

В России растёт спрос на компьютерную технику – продажи за 2023 год выросли на 50% В количественном плане было реализовано на 20% больше продукции

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

Transsion может стать четвертым по величине производителем смартфонов в мире Китайский производитель смартфонов Transsion в третьем квартале занял 8,6% мирового рынка, лишь незначительно уступив Oppo, которая занимает четвертое место с долей рынка 8,9%. При этом поставки смартфонов Transsion выросли на 35%, и, как ожидает IDC, можно ожидать выхо...

Как выжать максимум из iGPU, доведя производительность почти до уровня GTX 1650 Laptop. Radeon 780M разогнали до 3,3 ГГц Разгон интегрированного графического ядра Radeon 780M до частоты 3,15 ГГц, о котором мы сегодня уже рассказывали, оказался не максимальным. Оверклокер SkatterBencher пошёл дальше и добился работы iGPU на частоте 3,3 ГГц, а заодно провёл тесты.  Начнём с того, что ...

Россияне распробовали бытовую технику из КНР, Турции и Белоруссии В 2023 году производители крупной бытовой техники из Китая, Турции, России и Беларуси заняли ведущие позиции в нашей стране, как сообщает издание «Известия». Среди холодильников лидером по онлайн-продажам стал бренд Indesit (принадлежит турецкой компании Arc...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Tom's Hardware протестировали Crucial T705: звание быстрейшего SSD на рынке перешло к Crucial Ранее продукция Samsung по мнению многих являлась эталоном производительности

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Как две видеокарты NVIDIA обрушили продажи продукции AMD, и почему Radeon RX 7800 XT никому не нужна Ещё недавно Radeon RX 7800 XT смотрелась относительно неплохо, но с выходом GeForce RTX 4070 Super положение на рынке резко изменилось

В США считают, что санкции относительно SMIC и Huawei работают хорошо, несмотря на выпуск SoC Kirin 9000S Несмотря на явные успехи китайской компании SMIC, которая смогла вопреки всем санкциям создать для Huawei 7-нанометровую SoC Kirin 9000S, в США считают, что санкции работают хорошо.  Фото: Bloomberg Суть в том, что просто создать какой-то чип недостаточно — ...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Цены на память и SSD, вероятно, вырастут в 2024 году Объясняется это тем, что производители хотят получать больше прибыли. В итоге, чтобы сократить издержки и избавиться от накопившихся запасов, снижались темпы и объёма производства чипов памяти. Потому логично, что цены на продукцию в итоге будут повышаться. Читать полную...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Курс акций TSMC вырос до рекордного уровня С момента выхода акций на биржу в 1994 году.

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Производительность видеокарт Moore Threads S80 и S70 многократно выросла благодаря новым драйверам Модель S80 представили в конце 2022 года.

ИИ производительность процессоров Intel Panther Lake вырастет в шесть раз Такой прогноз даёт генеральный директор компании Intel.

Акции Intel после выхода квартальной отчётности выросли в цене почти на 10% Оптимистичные настроения преобладали.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Intel анонсировала процессоры Core 14-го поколения семейства Raptor Lake Refresh: прежние техпроцесс, архитектура и цены, но с выросшей производительностью Подняли частоты — случилась «магия».

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Производство электроники и компьютеров в Москве выросло более чем на 296% Заммэра Москвы по вопросам экономической политики и имущественно-земельных отношений Владимир Ефимов сообщил, что столичные производители электроники и компьютеров увеличили выпуск продукции на 296% в январе текущего года по сравнению с прошлым годом. Это свидетельствует о р...

Видеокарты AMD начали поддерживать CUDA-приложения — производительность выросла на 30% С помощью открытой библиотеки "красные" GPU теперь могут выполнять "зеленые" алгоритмы

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

AMD постепенно увеличивает свою долю на рынке процессоров С выходом новых серверных процессоров Epic и свежих Ryzen на AM5 сокет, продажи компании значительно выросли

Петафлопс на петафлопсе: заработал суперкомпьютер MareNostrum 5 стоимостью в €202 млн. Что это за система? Пару лет назад Китай анонсировал процессор Zhaoxin KX-6640MA, обычный x86-чип, не ARM и не RISC-V. Тогда же стало появляться большое количество новостей о скором выходе мини-ПК и ноутбуков на его базе. На Хабре сообщалось, что устройства доступны ограниченными партиями.Сами ...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Nvidia только начала расти? Поставки серверов компании для ИИ в следующем году вырастут на 150% Компания Nvidia уже достигла невероятных высот благодаря ажиотажу вокруг искусственного интеллекта, и в следующем году рост продолжится.   Согласно прогнозам аналитика Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), в 2024 году поставки серверов Nvidia для ИИ в...

Honor Magic 6 Pro: новый флагман известного бренда. На что он способен? Привет, Хабр! Сегодня мы покажем флагманский смартфон Honor Magic 6 Pro и расскажем о его возможностях. Этот телефон весьма производителен и функционален, несмотря на устоявшееся мнение о том, что продукция Honor — это бюджетные модели с базовым функционалом. Можно сказать, ...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Чипы Zhaoxin из Китая равны по производительности процессорам 2011 года от AMD. Что это за чипы и зачем их выпустили? Пару лет назад Китай анонсировал процессор Zhaoxin KX-6640MA, обычный x86-чип, не ARM и не RISC-V. Тогда же стало появляться большое количество новостей о скором выходе мини-ПК и ноутбуков на его базе. На Хабре сообщалось, что устройства доступны ограниченными партиями.Сами ...

Amazon и BMW используют ИИ для борьбы с контрафактной продукцией Торговля поддельными товарами наносит большой экономический ущерб компаниям, а контрафактная продукция зачастую опасна. Amazon и BMW предприняли совместные действия против мошенников — они полагаются на искусственный интеллект.

GeForce RTX 4070 сохранится на рынке после выхода версии Super Благодаря положительному соотношению цены и производительности и более высокой популярности среди геймеров.

Самый популярный компьютер размером с банковскую ... Через четыре года после выхода Raspberry Pi 4 появилась новая Raspberry Pi 5 с повышенной производительностью и собственной микросхемой.

Пользователи сообщают о сильном нагреве Xiaomi 14. В компании уже дали ответ Пользователи магазинов Xiaomi Home уже имеют возможность ознакомиться со смартфонами серии Xiaomi 14, после чего в Weibo появились сообщения о том, что корпус Xiaomi 14 оказался довольно горячим. Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi, по...

Nvidia готовит GeForce RTX 4080 Super (или Ti): потребление ниже 450 Вт, цена как у обычной GeForce RTX 4080 Инсайдер MEGAsizeGPU раскрыл подробности о будущей видеокарте Nvidia. По его словам, новинка выйдет в начале 2024 года под названием GeForce RTX 4080 Super (или GeForce RTX 4080 Ti). Новая модель будет построена на GPU AD102, она превзойдет по производительности н...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Компания Xiaomi сумела увеличить продажи смартфонов за счёт выхода моделей 14-ой линейки За последние месяцы капитализация компании выросла на 20 миллиардов долларов

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Intel показала, чем будет завоёвывать рынок искусственного интеллекта. Компания продемонстрировала ускоритель Gaudi3 Компания Intel не только заявляет, что «вся индустрия заинтересована в ликвидации рынка Nvidia CUDA», но и продолжает разрабатывать собственные ускорители для ИИ. Сегодня Intel продемонстрировала модель Gaudi3, которая должна выйти в следующем году.  ф...

Названы характеристики камеры самого быстрого в мире Android-cмартфона Основная камера нового флагманского смартфона Vivo X100 Pro оснащена 1-дюймовым датчиком изображения Sony IMX989 разрешением 50 Мп, а также объективом с диафрагмой F/1,75. Есть поддержка оптической стабилизации изображения OIS. Кроме того, vivo X100 Pro оснащен перископ...

Назад в 90-е? В России стали чаще собирать ПК вместо покупки готовых По сообщению «Известий» со ссылкой на отчёт компании-партнёра нескольких производителей электроники, в России впервые с конца 1990-х годов вырос спрос на настольные компьютеры, собранные кустарным способом в магазинах электроники и мелких мастерских. Так, в ...

Графические карты Moore Threads получили поддержку OpenGL 3.3 и прирост FPS до 80% Максимальный прирост производительности был достигнут в игре PUBG благодаря выходу новых драйверов с многочисленными оптимизациями

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Бюджетный смартфон Samsung Galaxy A35 на базе Exynos 1380 засветился на Geekbench Бюджетный смартфон Samsung Galaxy A35, анонс которого ещё предстоит, был замечен на сайте бенчмарка Geekbench. Данные портала указывают, что новинка получит SoC Samsung Exynos 1380, 6 ГБ оперативной памяти и операционную систему Android 14. Аппарат, обозначенный как SM-...

Intel готовит к выходу сверхмощный Core i9-14900KS, автоматически разгоняющийся до 6,2 ГГц Высокая производительность при не менее высоком энергопотреблении

Производители предупредили о подорожании электроники в России Производители бытовой техники и электроники уверены, что в следующем году их продукция подорожает на фоне новых экологических требований Минприроды, о чем пишут «Известия». Ведомство предложило пересмотреть ставки экосбора и норматив по утилизации товаров. Н...

Samsung может выпустить One UI 6.1 для серии Galaxy S23 уже в марте Samsung анонсировал выход One UI 6.1 для линейки Galaxy S23 в конце марта. Новая прошивка принесет улучшения в производительность и дополнительные возможности флагманам прошлого года.

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Исследование выявило лидеров сектора жестяной продукции Свежее исследование сектора жестяной продукции показало лидеров и аутсайдеров рынка, объем которого в 2022 году составил почти 22 млрд рублей или 3,1 млрд банок, сообщает Правда.ру. Казалось бы, узко-специализированное направление, но на самом деле, продукция российских...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Bancor (BNT) вырос на 48% после предотвращения потенциальной попытки грабежа Цена Bancor (BNT) выросла более чем на 48% до 0,6201 доллара

Цена токена joe boden (BODEN) выросла за сутки на более чем 3100% С 5 по 6 марта 2024 года курс jeo boden (BODEN) вырос на более чем 3100%, согласно CoinMarketCap

Курс Osmosis вырос на 76% за неделю: 1 OSMO может стоить $2 Криптовалюта Osmosis OSMO выросла на 14% за сутки и на 76% за неделю

Мобильный трафик в мире вырос на треть за год К началу 2030 он вырастет почти вчетверо.

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Qualcomm нанесет серьезный удар по MediaTek. Новая SoC Snapdragon среднего уровня покажет производительность на уровне Snapdragon 8 Plus Gen 2 Инсайдер Digital Chat Station раскрыл подробности о будущей однокристальной системе Qualcomm, известной под каталожным номером SM8635. По данным информатора, эта SoC будет производиться по 4-нанометровому техпроцессу, а смартфоны на ее базе будут набирать 1,7 млн балло...

Доходы Microsoft выросла на 17% благодаря развитию искусственного интеллекта и партнерству с ChatGPT Акции компании из Редмонда, штат Вашингтон, выросли более чем на 4% после закрытия торгов.

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Дешевле, чем RTX 4060 Ti 16GB, и при этом ощутимо мощнее. Radeon RX 7700 XT официально подешевела Компания AMD официально снизила цену своей видеокарты Radeon RX 7700 XT.  Снижение в абсолютном отношении невелико — всего 30 долларов. Но и сама карта в целом относительно недорогая. В итоге речь идёт о снижении с 450 до 420 долларов.  Стоит сказать, ч...

Годзилла среди видеокарт. Опубликован тест гигантской видеокарты Zotac RTX 4090D PGF длиной более 380 мм С выходом видеокарт линейки GeForce RTX 30 сами адаптеры резко стали увеличиваться в размерах, и в линейке RTX 40 эта тенденция продолжилась. Теперь карта длиной 300 мм — это норма, а не какой-то уникальный монстр. А вот новым уникальным монстром стал адаптер Zota...

Закредитованность населения России за год значительно выросла По данным Центробанка РФ, число граждан, имеющих кредиты выросло за го на 2, 2 миллиона. На столько же выросло и число людей, с тремя и более кредитами.

Закредитованность населения России за год значительно выросла По данным Центробанка РФ, число граждан, имеющих кредиты выросло за го на 2, 2 миллиона. На столько же выросло и число людей, с тремя и более кредитами.

И снова здравствуйте: мыши и клавиатуры от Microsoft продолжат выпускать благодаря лицензированию. Как это работает? В конце апреля 2023 года сообщалось, что Microsoft больше не будет выпускать мыши, клавиатуры и веб-камеры под собственной торговой маркой. Также говорилось о том, что компания планирует сфокусировать внимание на развитии бренда Surface. Многие пользователи продукции корпора...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Первое изображение Honor 90 GT, который получит 24 ГБ ОЗУ и будет конкурировать с Redmi K70 В Сети появилось первое изображение будущего смартфона Honor среднего уровня – Honor 90 GT. Устройство показано в фиолетовом, с золотистыми акцентами на корпусе. В растянутом блоке камеры – три модуля. По словам известного инсайдера Digital Chat Station, Ho...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Появились первые результаты тестов MacBook Air c M3 Сегодня в сети появились первые результаты тестирования новых MacBook Air в бенчмарке Geekbench 5. Забегая вперед, можно сказать, что производительность одной из модификаций ноутбука в сравнении с предшественниками выросла примерно на 20%.Читать дальше... ProstoMAC.com.| По...

Характеристики PlayStation 5 Pro – релиз в конце 2024-го и производительность на уровне GeForce RTX 4070 Журналист и инсайдер Том Хендерсон раскрыл спецификации и назвал сроки выхода PlayStation 5 Pro – консоль выйдет на рождественские праздники 2024 года, а её производительность сопоставима с GeForce RTX 4070. Так PlayStation 5 Pro будет оснащена процессором с архитектурой Zen...

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

Продукция n-типа компании JA Solar прошла проверку EPD в Норвегии и Италии  Компания JA Solar, ведущий производитель высокоэффективных солнечных батарей, прошла проверку на соответствие требованиям экологической декларации продукции (EPD) для нескольких линеек продукции в авторитетной французской организации Kapstan, что позволило JA Solar получить ...

Встроенная графика Ryzen 7 8700G не смогла обойти GeForce GTX 1060 по производительности Как показали тесты, GeForce GTX 1060 даже спустя 8 лет после выхода оказывается быстрее встроенной графики на процессоре Ryzen 7 8700G

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Apple решила улучшить телеобъектив в iPhone 16 Pro Аналитик TF International Securities Минг-Чи Куо сделал несколько прогнозов, связанных с iPhone 16 Pro и iPhone 16 Pro Max, которые выйдут только через год. Спрос на новый iPhone 16 Pro Max будет очень высоким, поскольку его основным преимуществом является телеобъектив,...

Intel вообще будет чем ответить на такое? Ryzen 9 9950X приписывают производительность на 40-45% выше, чем у Ryzen 9 7950X В Сети появились свежие слухи о процессорах AMD Ryzen 9000 для настольного сегмента. Впрочем, в целом их можно проецировать и на другие CPU компании на основе архитектуры Zen 5.  создано DALL-E Автор канала RedGamingTech получил данные о производительности старших...

Портирование опыта На осенней презентации Apple уделила большое внимание выходу полновесных AAA-игр на iPhone. Напомним, это были Death Stranding, Resident Evil и Assassin's Creed. Эти игры уже вышли на игровых консолях и ПК, где железо сильно бодрее мобильного. Своим анонсом Apple хотела подч...

Туристический трафик на горнолыжных курортах в сезоне 2023-2024 вырос на 22% Исследование показало, что количество жителей России, которые отправляются для катания в другие регионы, выросло на 22%, а рост нагрузки на сети не оказывает негативного влияния на клиентский опыт.

Турпоток из Китая в Россию за год вырос в 2,5 раза Число приезжающих в Россию туристов из восточных стран резко выросло в 2024 году. Больше всего путешественников в январе прибыло из Китая, в сравнении с прошлым годом турпоток из Поднебесной вырос в 2,5 раза.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Продукция из Яньтая дебютировала в Пекине   В Пекинском выставочном центре началась специальная рекламная кампания «Добро пожаловать в Шаньдун, где делают совершенство». В Пекин одновременно поступила группа   продукции провинции Шаньдун. По мнению информационного отдела Муниципального народного правительства Яньтая, ...

В России выросли кибератаки на сайты покупки билетов в два раза С начала апреля количество веб-атак на сайты грузопассажирских перевозок выросло более чем в два раза. Об этом сообщил руководитель направлений WAF и Anti-DDoS группы компаний «Солар» Алексей Пашков.

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

GeForce RTX 4090 к Counter-Strike 2 готова. Видеокарта выдает в игре 543 FPS Практически сразу вслед за выходом Counter-Strike 2 Nvidia рассказала, на что рассчитывать в игре при использовании 3D-карт линейки GeForce RTX 40. При разрешении Full HD и максимальных настройках графики флагман линейки – GeForce RTX 4090 – выдает 543 FPS....

Прибыль Euroclear резко выросла на российских активах на фоне юридических ограничений Процентные доходы международного депозитария Euroclear от замороженных активов РФ выросли в 5,5 раза.

RIVERSONG официально вышел на российский рынок RIVERSONG, мировой бренд, известный своими дружественными пользователю гаджетами и передовыми технологиями, объявил о своем официальном выходе на российский рынок. Первые продажи продукции бренда стартуют во второй половине ноября на Ozon, крупнейшей российской площадке элек...

Экономят? Россияне стали реже дарить iPhone и технику Apple на 8 марта, по данным МТС В этом году интерес к цифровым устройствам перед 8 марта превзошел прошлогодний, а также периоды перед 14 и 23 февраля текущего года, о чем говорится в новом исследовании МТС. Продажи выросли на 20-35% по сравнению с февральскими праздниками и на 38% по сравнению с пред...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

MediaTek нанесет тройной удар по Snapdragon 8 Gen 3: Dimensity 9300 будет быстрее по части CPU, GPU и блока ИИ Уже на следующей неделе Qualcomm должна представить новую топовую однокристальную систему Snapdragon 8 Gen 3, которая пропишется в большинстве флагманских смартфонов 2024 года. Однако далеко не факт, что эта платформа будет и самой мощной. Наоборот, по словам извес...

Интерес к сервисам доставок товаров из-за рубежа вырос в восемь раз – big data Tele2 За два года доля пользователей в B2C-сегменте, обращавшихся к сайтам сервисов заказа товаров из Европы и США, выросла в 8 раз: с 0,3% в начале 2022 года до 2,4% в конце 2023-го.

Доход MediaTek вырос 50% благодаря выпуску Dimensity 9300 Компания MediaTek заявила, что ее доходы от продажи флагманских чипсетов для смартфонов выросли на 50% в этом году, благодаря выпуску Dimensity 9300

Россияне чаще оплачивали покупки по QR-коду в 2023 году По данным исследования «Тинькофф бизнес», в 2023 году число покупок, оплаченных через QR-код, выросло в 53 раза по сравнению с предыдущим годом, а обороты платежей увеличились в четыре раза. По прогнозам экспертов, этот тренд будет продолжаться, и к июлю 2024 года объемы пла...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Количество пользователей VPN в России в 2023 выросло почти на 40% Аналитики рекламного программатика компании Platforma изучили*, как жители российских регионов пользовались VPN за последние 3 года. Оказалось, что в 2022 году популярность VPN выросла практически в 2 раза (на 87%) относительно 2021, а в этом году темпы роста замедлились на ...

Спрос на интернет-аптеки и телемедицину вырос на 42% за два года Tele2 фиксирует рост интереса к телемедицине и аптечной интернет-коммерции. MAU пользователей таких сервисов увеличилось на 42% за два года. В частности, количество пользователей фармацевтического e-com выросло также на 42%, телемедицинских услуг – на 32%.

За использование Windows 10 придётся платить Сегодня компания Microsoft официально напомнила о том, что она завершает поддержку Windows 10 уже 14 октября 2025 года. И, что самое забавное, вам придётся платить каждый год, если вы хотите продолжить безопасно использовать данную операционную систему. Microsoft предложит р...

Очередной показатель того, что для современных CPU Intel очень важен планировщик потоков. Компания выпустила патч для Linux, повышающий производительность Гетерогенные процессоры Intel сильно зависят от эффективности планировщиков потоков. Отличный тому пример — повышение производительности CPU Intel в Linux на 14% после выхода нового патча.  Фото: Intel Intel выпустила патч, который улучшает работу планировщи...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

В Китае разработали собственную материнскую плату Китайская компания Beijing Jite Intelligent Technology утверждает, что создал первую материнскую плату, полностью изготовленную в Китае с использованием китайских технологий. Материнская плата под названием GM7-2602-02 представлена в достаточно популярном форм-факторе Mini-I...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Exynos 2400 — действительно неплохая платформа, но с не лучшей энергоэффективностью. Тесты показывают, что потребление CPU довольно высокое Различные тесты уже доказали, что SoC Exynos 2400 действительно получилась достаточно неплохой на фоне Snapdragon 8 Gen 3. Однако свежие данные говорят о том, что всё же у платформы Samsung всё намного хуже с энергоэффективностью.  Если говорить только о процессор...

Первичный анонс SoC Exynos 2400: CPU в 1,7 раза быстрее, чем у Exynos 2200, и GPU на архитектуре RDNA 3 На мероприятии System LSI Tech Day 2023 компания Samsung рассказала о грядущей однокристальной системе Exynos 2400.   создано DALL-E в Bing К примеру, теперь точно известно, что графическое ядро новой SoC называется Xclipse 940, и основано оно на архитектуре AMD R...

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Pure.DI v2.1 С момента выхода генератора исходного кода Pure.DI версии 2.0 летом 2023 прошло уже больше чем пол года. За это время удалось собрать отзывы по его использованию, добавить несколько полезных фич, улучшить производительность анализа и качество генерируемого кода, а также испр...

Intel просто выпустила новый драйвер, и производительность видеокарт Arc в Starfield выросла на величину до 149% Компания Intel выпустила очередной драйвер для своих видеокарт. И кроме того, что в нём появилась поддержка пока ещё не вышедшей Arc A580, драйвер очень сильно увеличивает производительность адаптеров Intel в новой игре Starfield.  При использовании DirectX 12 в F...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Каждый третий россиянин оплачивает товары и услуги через СБП В 3-м квартале количество покупок через Систему быстрых платежей (СБП) выросло на 38% по сравнению с предыдущим кварталом - до 500 тыс., их сумма увеличилась на 32% - до 800 млрд руб., за год данные показатели выросли в 4 раза, говорится в сообщении ЦБ.

Ведомости: Иностранный бизнес постепенно возвращается в Россию «Ведомости» со ссылкой на обзор «СПАРК-Интерфакса»: за 2023 год среднемесячное число регистраций компаний с участием нерезидентов выросло на 11% в сравнении с 2022 годом. Активно начали регистрироваться компании, соучредители которых являются жителями стран СНГ и Китая. За 1...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Экран Retina 4,5K и новейшая SoC Apple M3. Представлен новый 24-дюймовый iMac Вместе с новыми MacBook Pro компания Apple сегодня представила и новый 24-дюймовый iMac. Он базируется на чипе M3: разработчики говорят, что производительность в сравнении с моделью на базе M1 выросла вдвое. Новый iMac получил экран Retina 4,5K, адаптер Wi-Fi 6E и веб-...

SuperJob: количество вакансий в IT в России выросло на 10% за год Аналитики онлайн-рекрутинговой платформы SuperJob выяснили, как за год изменилось число вакансий для ИТ-специалистов, как выросли зарплаты программистов, а также в каких ИТ-специализациях наблюдается наибольший дефицит. По данным ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Белорусское — это теперь российское. Белорусскую микроэлектронику определённого типа начали приравнивать к российской Как сообщает ресурс «Ведомости», белорусскую микроэлектронику начали приравнивать к российской.   Пока это коснулось только аналоговых базовых матричных кристаллов (БМК), но вполне может распространиться и на другие виды продукции.  Суть в том, чт...

Рынок видеокарт восстанавливается после периода «чёрной смерти». Продажи активно растут, а AMD увеличивает свою долю на рынке Аналитики Jon Peddie Research утверждают, что рынок графических процессоров начал восстанавливаться после периода «чёрной смерти», обусловленной экономическими последствиями пандемии COVID-19.  cоздано DALL-E В третьем квартале рынок GPU вырос на 16,8%...

Apple удивила. После обновления iPhone 15 Pro стал не только холоднее, но и производительнее Похоже, что Apple всё же решила проблему с перегревом новых iPhone, да ещё и повысила их производительность.  Автор канала ITSub?? с почти 2,5 млн подписчиков провёл большое тестирование, сравнив iOS 17.0.2 и 17.0.3. Причём тестирование проводилось как на iPhone ...

Ретроклокинг: влияние поколений интерфейсов SSD на производительность Сравнение производительности PCI-Express Gen.1 против Gen.2 в рамках одной платформы для HDD, SSD, SATA, ACHI и NVMe. Intel Optane и Samsung 980 Pro на LGA 775 Intel x48 с Windows XP.

TechCrunch: Google выпускает первый специализированный чип Arm с высокой производительностью Google обещает прорыв в производительности благодаря новым чипам. Она на 30% выше, чем у чипов Microsoft.

Долю экспорта в структуре своих доходов увеличит производитель жестяной продукции ООО «Банкон» Жестяная продукция сегодня вполне может быть индикатором состояния рынка. Вопрос импортозамещения в области производства жестяных банок не стоит – все потребности производителей консервов закрыты российскими предприятиями. На российском рынке жестяных консервных банок объем ...

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Corsair представила MP700 Pro: Феноменальная производительность PCIe 5.0 По производительности в классе PCIe 5.0 Corsair MP700 Pro практически сравнялся со скоростным Adata Legend 970.

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

OnePlus ещё не закончила с флагманами на 2024 год. OnePlus Ace 3 Pro получит Snapdragon 8 Gen 3 Как сообщает инсайдер Wisdom Pikachu, OnePlus готовит еще один смартфон на базе флагманской однокристальной системы Snapdragon 8 Gen 3. Речь о модели OnePlus Ace 3 Pro. OnePlus Ace 3 Pro станет на ступень выше OnePlus Ace 3 (на изображении) Устройства линейки Ace стоят...

Представлен мини-ноутбук GPD Win Max 2 на базе процессора AMD Ryzen 7 8840U Результаты тестирования 8840U показывают, что его производительность сравнима с производительностью 7840U в портативных версиях.

Китайский суперкомпьютер Oceanlight занял второй место в мире по производительности в HPL-MxP Китайский суперкомпьютер Oceanlight продемонстрировал впечатляющую производительность в новом тесте производительности HPL-MxP.

Counter-Strike 2 оптимизировали для Steam Deck, но так и не добились достойной производительности На данный момент Counter-Strike 2 испытывает проблемы с производительностью на карманной консоли Steam Deck.

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Ожидается выход новой версии Xbox series X Известный инсайдер заявил о выходе новой консоли Xbox

Выход STALKER 2 запланирован на первый квартал 2024 года Хотя конкретная дата выхода пока не объявлена, релиз, похоже, идет по плану.

Microsoft подтвердила выход в этом году крупного обновления Windows 11 24H2 Это снижает вероятность выхода в нынешнем же году ОС Windows 12

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Intel Core Ultra 200V предложит производительность ИИ более 100 TOPS Компания утверждает о трехкратном росте производительности при работе с ИИ в новых процессорах линейки Lunar lake

iPhone 15 Pro уступает iPad'ам в рейтинге производительности AnTuTu Новый iPhone 15 Pro не вошел в топ-5 устройств Apple по результатам тестов на производительность в AnTuTu, уступив позиции ряду планшетов, включая iPad Pro 12.9 с чипом M2

Илон, твой ход. Honda начала принимать BTC, Shiba Inu, Ethereum и Cardano и ещё 40 криптовалют Известный японский конгломерат по производству автомобилей, мотоциклов и силового оборудования Honda Motor теперь принимает в виде оплаты огромное количество флагманских криптоактивов. Недавно Honda установила партнерство с FCF Pay, платежной системой на основе блокчейн...

Премьер Армении заявил, что членство страны в НАТО не обсуждалось Для этого сначала надо выйти из ОДКБ. А выход из ОДКБ — это для Армении равносильно выходу из «игры» вообще.

Президент Путин и премьер Пашинян обсудили ситуацию с выходом армян из Карабаха Путин и Пашинян обсудили ситуацию после выхода армян из Нагорного Карабаха

Лавров назвал суверенным выбором Армении возможный выход из ОДКБ Лавров оценил заявления о возможности выхода Армении из ОДКБ

Новый патч Alan Wake 2 повысит производительность на старых видеокартах серии GeForce GTX 10 до 100% Прирост производительности на 57% для GeForce GTX 1070, 85% для GTX 1080 и 100% для GTX 1080 Ti – следующий патч сделает Alan Wake 2 по-настоящему проходимой игрой.

В AMD Zen 5 производительность инструкций AVX-512 может значительно вырасти Утечка данных об исполнительном механизме AMD Zen 5 свидетельствует о значительном повышении производительности для AVX-512

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Экономика России выросла больше, чем в среднем по миру: рынок ПК за год взлетел на 32,8%, транспортных средств — на 25,5% Президент РФ Владимир Путин заявил, что экономика в России в прошлом году росла быстрее, чем в среднем по миру. «Экономический рост в прошлом году оказался выше прогнозов. ВВП России прибавил, вот мы до сих пор считали — 3,5%, а по последним данным — 3...

Интернет-скорости выросли почти для 30 тысяч жителей Амурской области Скорость мобильного интернета выросла для 30 тысяч жителей Амурской области. МегаФон выполнил программу рефарминга в Райчихинске, пгт Прогресс и селе Новокиевский Увал. Модернизация позволила улучшить качество связи и мобильного интернета в этих населенных пунктах.

Представлен одноплатный ПК Orange Pi Alpro Профильные издания сообщают о выходе одноплатного ПК Orange Pi Alpro, который основан на неназванном четырехъядерном решении Huawei. О CPU известно лишь, что его производительность в задачах ИИ составляет 8/20 TOPS. Новинка также характеризуется 8 или 16 ГБ ОЗУ LPDDR4X...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Доля рынка дискретных видеокарт у AMD выросла на 17% в последнем квартале минувшего года Рынок видеокарт в минувшем квартале вырос на 32%

В России количество покупок фастфуда прошедшей зимой выросло в 2 раза Банк "Русский Стандарт" провел анализ спроса граждан на фастфуд и рестораны этой зимой. Покупки в сетях быстрого питания выросли более чем в 2 раза, а доля бесконтактных платежей значительно увеличилась за счет развития цифровых технологий.

Хуже, чем Pixel 7 Pro: флагманский Google Pixel 8 Pro провалил тест на производительность в играх Ожидания многих пользователей оказались разрушены после тестирования производительности нового флагмана Google Pixel 8 Pro.

Qualcomm анонсирует Snapdragon X Elite Gen 2: новый уровень производительности для ноутбуков Qualcomm готовит к выпуску Snapdragon X Elite Gen 2 с улучшенным графическим процессором Adreno 830, обещая высокую производительность и энергоэффективность для ноутбуков

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Свой новый «неубиваемый» планшет Samsung оснастит собственной SoC Exynos. Модель Galaxy Tab Active5 готовится к выходу на рынок Компания Samsung готовится выпустить защищённый планшет Galaxy Tab Active5. Устройство уже засветилось в базе Bluetooth SIG, а также у других регуляторов.   Пока известно, что планшет будет оснащён 128 ГБ флеш-памяти, предложит слот для microSD, 15-ваттную зарядку...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Смартфоны HTC не сдаются. К выходу готовится новая модель на Snapdragon 7 Gen 3 Смартфоны HTC уже давно перестали быть массовыми, но исчезать с рынка не собираются. В Geekbench засветилась модель, которая, как ожидается, появится под именем U24 либо U24 Pro.  U23 В основе новинки, судя по всему, будет лежать свежая Snapdragon 7 Gen 3. Также П...

ASUS готовит к выходу игровую консоль ROG Ally 2 Точная дата и характеристики пока не сообщаются, но выход второго поколения подтвержден руководством компании

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Популярность онлайн-магазинов в Челябинской области выросла на 70% с начала января С начала января трафик на сайты популярных маркетплейсов и магазинов одежды в целом вырос более чем на 70% по сравнению с аналогичным периодом прошлого года. Особым спросом стали пользоваться корейские сайты с косметикой, одеждой и бытовой техникой.

Новая функция DirectX 12 Work Graphs может обеспечить значительный прирост производительности В видеоролике, подготовленном компанией Compusemble, впервые показана новая функция, и показано, как она обеспечивает схожую производительность в большинстве случаев

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

От запасов RTX 4080/4070Ti быстро избавятся до выхода RTX 40 SUPER — поставки в 4 квартале сократили Похоже, первоначальным предположениям о снижении цен на стандартные модели с выходом SUPER, не суждено сбыться.

База пользователей ИИ-чатбота Сбера в одном лишь VK выросла в 10 раз за месяц С февраля 2024 года число активных пользователей нейросетевой модели Сбера GigaChat в соцсети VK выросло в десять раз и достигло 1,7 миллиона человек, пишет CNews. Всплеск популярности можно объяснить уникальными функциями сервиса, такими как возможность генерировать персона...

Сотрудник почты украл в Канаде почти тысячу iPhone Продукция компании Apple всегда была очень прибыльной из-за того, что спрос всегда высокий, плюс даже со временем гаджеты производителя не сильно теряли в стоимости. Из-за этого вы, вероятно, слышали о различных кражах и ограбления, связанных с магазинами компании Apple в пр...

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Спрос на iPhone 15 в России обвалился вдвое Согласно данным исследования онлайн-сервиса сравнения товаров и цен Price.ru, интерес к базовым моделям iPhone 15 в России существенно снизился в октябре по сравнению с предыдущим месяцем, когда была представлена новая линейка. Сообщается, что спрос на эти модели упал н...

Snapdragon 8 Gen 3 оставляет Apple A17 Pro далеко позади. Новая платформа набирает в AnTuTu около 2 млн баллов Инсайдер Digital Chat Station поделился данными о производительности SoC Snapdragon 8 Gen 3.  создано DALL-E в Bing Согласно его данным, в AnTuTu (видимо, версии 10) новая платформа набирает около 2 млн баллов. Для сравнения, Snapdragon 8 Gen 2 набирает около 1,6 ...

Raspberry Pi 5: новые возможности, новая экосистема. Что предлагает рынок? На Хабре уже публиковалась новость о выходе новой «малинки», которую ждали как любители электроники, так и корпоративные пользователи. Компания Raspberry Pi Foundation несколько лет разрабатывала новую версию и осенью 2023 года всё же выпустила её. При этом в конце 2022 года...

Новые решения Supermicro повышают производительность 5G и облачных рабочих нагрузок   Компания Supermicro, Inc., поставляющая комплексные ИТ-решения для ИИ, облака, хранения данных и 5G/Edge, представила расширенный ассортимент специализированных инфраструктурных решений для повышения производительности и эффективности 5G и телекоммуникационных рабочих...

Рост зарплат IT-Специалистов в России вырос на 80% Согласно данным сервиса «Авито Работа», средние зарплаты в сфере информационных технологий в России в 2023 году достигли 90 тысяч рублей, отметив рост на 80%. Подобный тренд отмечен и в среднем по рынку для IT-специалистов — рост зарплат составил 81%. Аналитики учитывали спр...

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Wildberries вышел на китайский рынок Wildberries вышел на китайский рынок, о чем сообщила РБК основательница и владелица маркетплейса Татьяна Бакальчук. «Сейчас мы начинаем работать с производителями и продавцами из Китая — они будут напрямую поставлять свою продукцию к нам на площадку для...

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Процессоры Intel Core 12-го, 13-го и 14-го поколения практически не отличаются, если приравнять их по основным параметрам Компания Intel недавно выпустила процессоры Core 14-го поколения в виде линейки Raptor Lake Refersh, которая от обычных Raptor Lake технологически ничем не отличается. В свою очередь, Raptor Lake являются лишь слегка улучшенными моделями Alder Lake с увеличенным количес...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Анонсирован ноутбук Xaoxin Pro16 2024 Ryzen Edition Компания Lenovo анонсировала скорый выход ноутбуков Xiaoxin 14/16 Ryzen Edition и Xiaoxin Pro 14/16 Ryzen Edition, которые будут основаны на процессоре AMD Ryzen 7 8845H. Это 8-ядерное 16-поточное решение с интегрированной графикой Radeon 780M, производительности которой хва...

Самозанятые в IT: налоговые риски В связи с активным развитием IT-сферы и возможностью работы на фрилансе компании с энтузиазмом привлекают сторонних IT-специалистов. На что нужно обратить внимание при работе с самозанятыми для избежания налоговых последствий?Главный риск в подобной ситуации – переквали...

Глава Qualcomm назвал точные сроки выхода Windows 12 с умным интерфейсом на базе Windows AI Релиз новой настольной операционной системы совпадает с выходом процессора Snapdragon X Elite, который состоится в середине 2024 года

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Redmagic 9 Pro вышел на мировой рынок У нас есть отличные новости — смартфон Redmagic 9 Pro официально выходит на мировой рынок, что на самом деле является отличной новостью, так как это один из самых производительных гаджетов на рынке. Дело в том, что смартфон Redmagic 9 Pro поставляется с процессором Qualcomm ...

Аналитика МТС: количество поездок российских студентов в Китай выросло в шесть раз ПАО «МТС» выяснила, куда ездили российские студенты во время летних каникул в период с июня по август 2023 года. Турпоток студентов за границу вырос по сравнению аналогичным прошлогодним периодом на 25%. Наибольший прирост туристов пришелся на Китай, куда количество поездок ...

Почему новый процессор Dimensity 9300 круче Snapdragon 8 Gen 3, и вам нужен смартфон на MediaTek В конце прошлого месяца компания Qualcomm показала процессор Snapdragon 8 Gen 3, который будет использоваться в большинстве флагманских смартфонов 2024 года. Но ее конкурент в лице MediaTek не дремлет и уже сегодня подготовил свой ответ. 6 ноября вышел новый процессор Dimen...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Разработчики выпустили второй патч для «Смуты» через 3 дня после выхода релиза Четвертого апреля состоялся выход игры в жанре «экшен». Игроки в ней могут примерить на себя роль разбойника, крестьянина, князя или скомороха.

NVIDIA развеивает миф AMD о производительности H100 и MI300X и делится тестами NVIDIA сообщает, что "на недавнем мероприятии посвященном презентации, AMD говорила о производительности графического процессора H100 по сравнению с производительностью ее чипа MI300X. В представленных результатах не использовалось оптимизированное программное обеспечение, а...

Ученые улучшили производительность литий-серных батарей Ученые из университетов Аделаиды и Тяньцзиня, а также Австралийского синхротрона представили новый подход к повышению производительности литий-серных батарей, опубликовав результаты исследования в журнале Nature Nanotechnology.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Тревожные новости для Geely, Haval, Chery и других «китайцев»: лидер АвтоВАЗ пожурил их за «агрессивную экспансию» и конкуренцию с Vesta Глава АвтоВАЗа Максим Соколов открыто обвинил китайцев в конкуренции, что является тревожным сигналом для Geely, Haval, Chery и других производителей из Поднебесной, о чем пишет No Limits со ссылкой на «Интерфакс». Он заявил, что китайские автомобильные брен...

Недорогая игровая сборка в белом цвете — выбираем между красотой и производительностью Собираем компьютер для девушки, которой важна не только производительность компьютера в играх, но и его внешний вид.

Аналитика МТС: количество деловых онлайн-мероприятий в новогодние праздники выросло на треть Деловая активность с помощью видеосервисов платформы выросла по сравнению с аналогичным периодом прошлого года на 32%. Больше всего мероприятий прошло в Москве:их количество превышает число мероприятий из северной столицы – Санкт-Петербурга – в три раза.

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Intel Lunar Lake обещает 1,5-кратное повышение производительности в многопоточном режиме Новая заметка от известного источника предлагает заманчивый взгляд на многопоточную производительность этих процессоров.

Apple объявила о значительном увеличении скорости браузера Safari Команда разработчиков WebKit компании Apple значительно повысила производительность Safari, улучшив показатели в Speedometer 3.0 на 60%. Эта новость появилась после запуска Speedometer 3.0 в марте, совместных усилий Apple, Google и Mozilla для «более точной» оценки производи...

Intel Core i5-14450HX показал неплохие результаты в Benchmark-тестах По результатам бенчмарка GeekBench, производительность i5−14450HX соответствует производительности настольного Core i5−13 400.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Blackview готовит к выходу самый дешёвый в мире складной смартфон Выход смартфона Blackview Hero 10 состоится в мае, а основой новинки станет чипсет Helio G99 от Mediatek

Nvidia отложила выход обзоров на GeForce RTX 4080 SUPER Теперь обзоры на третью модель линейки RTX 40 SUPER будут опубликованы в день выхода карты, 31 января

Все смартфоны серии Samsung Galaxy S24 сравнили по производительности и автономности YouTube-канал NL Tech опубликовал 43-минутное видео, в котором сравнивается производительность смартфонов серии Samsung Galaxy S24 в приложениях Geekbench 6, AnTuTu и 3DMark. Модели Galaxy S24 и Galaxy S24+ оснащены чипом Exynos 2400, а Galaxy S24 Ultra работает на базе…

Qualcomm обвинили в несоответствии реальной производительности чипов Snapdragon X и заявленной Qualcomm не может подтвердить заявленную производительность новых чипов Snapdragon.

Российские космонавты проверили скафандры перед выходом в открытый космос Командир отряда космонавтов сообщил об очередном этапе подготовки к выходу в открытый космос

Выход фильма по сериалу "Букины" планируется в 2025 году Сам сериал вернулся на экраны после 10-летнего перерыва и заслужил выхода 2 сезона.

AMD отстанет от Nvidia на порядок, а Intel — на два порядка. Появился прогноз на 2024 год касательно рынка ускорителей для ИИ Компания Nvidia безусловно является лидером на рынке ускорителей для ИИ. Но каких-то точных данных о её доле в Сети пока найти сложно. Теперь вот появились прогнозы, касающиеся итогов текущего года, которые позволяют понять распределение сил на рынке.  Итак, Nvidi...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Honor готовит к выходу складной смартфон Magic Flip Дебют Honor Magic Flip состоится после выхода смартфонов серии Honor 200 в июне

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Это будет самый мощный Android-планшет? Vivo готовит Pad 3 на Dimensity 9300 Компания Vivo готовит новый планшет Pad 3, который будет одним из самых производительных на рынке. Vivo Pad 2; фото: Vivo Согласно данным инсайдера Digital Chat Station, новинка получит SoC Dimensity 9300, а это значит, что производительность планшета будет очень высок...

Биофабрика на Кубани присоединится к проекту «Производительность труда» "Армавирская биофабрика" из Новокубанского района присоединилась к национальному проекту "Производительность труда", нацеленному на внедрение эффективных технологий производства, сообщили в администрации Краснодарского края, пишет РИА Новости.

Процессор Apple A18 Pro будет быстрее A17 Pro всего на 10 процентов Новый процессор Apple A18 Pro предложит лишь незначительное увеличение производительности по сравнению с предыдущей моделью A17 Pro. Источник утверждает, что многоядерная производительность нового чипа увеличится всего на 10 процентов.

Твердотельный накопитель MiWhole CT300 емкостью 1 ТБ: недорогой контроллер Maxiotek MAP1602 и новейшая 232-слойная TLC-память YMTC в одной связке За исключением проблемы с размером кристаллов (флэш-памяти нужно всё больше и больше, на «больших» кристаллах собирать емкие накопители и проще, и выгоднее, а вот производительность моделей меньшей емкости с такими чипами падает) у новой платформы всё предсказуемо. В Maxiote...

Оцениваем сложность алгоритмов на C# по памяти и времени с примерами Продолжаем говорить о производительности и оптимизации кода. Сегодня поговорим о том, как и зачем оценивать сложность алгоритмов,  а также наглядно покажем, как эта сложность влияет на производительность кода. Читать далее

Прояснилась ситуация с титановым Xiaomi 14 Pro — смартфонов хватит всем. Продажи начнутся уже сегодня в Китае Глава компании Xiaomi Лэй Цзюнь анонсировал смартфон Xiaomi 14 Pro Titanium Special Edition на прошлой неделе. Размер стартовой партии не уточнялся, и Xiaomi не говорила, каким вообще будет тираж данного издания. Многие решили, что это будет ограниченная серия...

Названы сроки выхода iPhone SE 4 и других устройств Apple Утечка дорожной карты Apple раскрыла дату запуска iPhone SE 4, складного iPhone и очков AR. Документ, предоставленный финансовой компанией Samsung Securities, был опубликован информатором Tech_Reve. Согласно источнику, в нынешнем году стоит ожидать выход 11-дюймового iPad…

Советы по IT-обслуживанию организаций IT-обслуживание организаций — это процесс поддержки и обслуживания информационных технологий компании. Он включает в себя такие задачи, как установка, настройка и поддержка программного обеспечения, мониторинг производительности компьютерных систем, резервное копирование дан...

Высокая производительность и «убийственная цена». Инсайдер раскрыл характеристики Redmi K70 Ultra Инсайдер Wisdom Pikachu раскрыл характеристики будущего смартфона Redmi K70 Ultra, эта модель должна стать четвертой в линейке после Redmi K70E, Redmi K70 и Redmi K70 Pro. Redmi K70 Ultra станет самой мощной моделью в линейке, так как он будет построен на SoC MediaTek ...

Сообщается, что Qualcomm запросила у Samsung и TSMC образцы 2-нм чипов Слухи предполагают, что Snapdragon 8 Gen 5 может использовать двойной литейный процесс, поскольку Qualcomm стремится получить заказы от Samsung и TSMC. Разработка прототипа может занять от 6 до 12 месяцев, и Qualcomm уже запросила 2-нм образцы для оценки. Производительность ...

Вышел новый релиз RuPost 2.6 с расширенным функционалом и повышенной производительностью В числе ключевых улучшений – добавление страницы мониторинга с визуализацией основных характеристик работы почтового сервера, повышение производительности системы, усовершенствование механизма логирования, упрощение работы со списками рассылок.

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

ASUS готовит к выходу систему ROG NUC с Core Ultra 9 185H и RTX 4070 Выход системы ROG NUC состоится 10 апреля по цене 2500 евро

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

Инсайдер рекомендует не смотреть обзоры Samsung Galaxy S24 до 23 января, когда выйдет большое обновление для камеры Флагманские смартфоны Samsung Galaxy S24 уже завтра должны получить очень важное обновление программного обеспечения, о чем сообщил инсайдер Макс Джамбор (Max Jambor). Обновление программного обеспечения будет доступно для всех устройств #GalaxyS24 во вторник, 23 января...

Методика iXBT.com 2024 года для измерения производительности в играх, версия 24.0 В новой версии методики предлагается тестирование систем при помощи семи игр 2020-2023 гг. выпуска. Главные требования к ним — наличие встроенных бенчмарков и отображение в отчетах о тестировании средних и минимальных fps. С учетом возможности раздельного включения трассиров...

Предстоящий Intel Core i5-14450HX показал свои способности в бенчмарке Производительность i5−14450HX соответствует производительности настольного Core i5−13 400, если верить недавним результатам бенчмарка GeekBench, пишет TomsHardware. Это позволяет предположить, что пределы энергопотребления этих двух процессоров схожи: i5−14450HX может работа...

Для SMIC выпуск 5-нм продукции будет дорогим удовольствием Но у Китая, похоже, нет другого выхода.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Nintendo Switch 2 получит новый процессор На прошлой неделе в сети появилось множество новостей о том, что компания Nintendo готовится к релизу консоли Switch 2 — нового поколения портативного решения, которое будет обладать приличной производительностью для запуска современных видеоигр. Проблема в том, что на самом...

Google Pixel 9 получит медленный процессор В базе данных популярного бенчмарка Geekbench 5 обнаружился смартфон Google на базе фирменной платформы Tensor G4. Именно этот процессор будет использоваться во флагманах Google Pixel 9 и Pixel 9 Pro, которые представят осенью. Аппарат набрал 1082 балла в однопоточном испыта...

Samsung и LG уже не котируются. Лидерами на российском рынке телевизоров стали Haier, Hisense и Xiaomi В 2023 году продажи телевизоров в России выросли на 28%, достигнув отметки в 8,7 миллиона устройств, как сообщает «Коммерсантъ» со ссылкой на ритейлеров. Лидерство захватил китайский бренд Haier, вытеснив с первых позиций южнокорейские Samsung и LG. Hisense ...

Представлены новейшие телевизоры LG QNED и QNED Mini 2024 Компания LG официально анонсировала новейшие серии светодиодных телевизоров QNED и QNED Mini 2024 модельного года, которые будут показаны на выставке CES 2024 в начале этого месяца. Согласно данным Displayspecs, LG выпустит три модели 4K MiniLED серии 90T и две модели 8...

AMD наконец-то даст бой Nvidia в сегменте мощных мобильных видеокарт. К выходу готовится Radeon RX 7900M В текущем поколении у AMD пока нет высокопроизводительных мобильных видеокарт. Но вскоре они могут появиться.  Сейчас лучшее, что AMD предлагает в рамках линейки Radeon RX 7000M, — это карты на основе Navi 33 с 2048 потоковыми процессорами. Но сообщается, чт...

Redmi K70E возглавила рейтинг субфлагманов AnTuTu Команда бенчмарка AnTuTu опубликовала очередной рейтинг самых производительных суббфлагманских смартфонов под управлением операционной системы Android. Его возглавил Redmi K70E, основанный на 4-нанометровой однокристальной системе MediaTek Dimensity 8300 Ultra. Отметим, что...

Apple скоро представит новые ноутбуки на процессорах М3 В прошлом месяце достаточно известные поставщики секретной информации заявили, что компания Apple уже работает над созданием ноутбуков нового поколения на процессоре M3. Тогда никакой детальной информации у инсайдеров не было, но сегодня она появилась, так как западные журна...

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

TSMC начнёт выпуск 3-нм продукции в США не ранее 2027 года Это заметно позже, чем планировалось изначально.

Мишустин: в России в 2023 году в разы увеличился выпуск военной продукции От техники до боеприпасов.

Как МегаФон разгоняет мобильный интернет в небольших городах? МегаФон установил дополнительное оборудование в городах, где значительно выросла нагрузка на мобильную сеть: Новочеркасске и Таганроге. На родине писателя Антона Чехова в 4 районах установлены дополнительные базовые станции LTE. Рядом находятся культурные и исторические мес...

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

Замена Skoda Octavia, Kia Cerato, Hyundai Elantra и Ford Focus. В России сильно изменились цены на BAIC U5 Plus за год с момента выхода Китайский автомобиль BAIC U5 Plus подорожал более чем на треть миллиона рублей спустя год после выхода в России. Если говорить точнее, то цена выросла на 346 тыс. рублей, а это на 20% больше его первоначальной стоимости. Сегодня седан 2023 модельного года предлагается п...

Выход Huawei P70 перенесли на апрель Любителям китайских смартфонов, с нетерпением ожидающим выхода Huawei P70, придется подождать еще немного. Первоначальные слухи указывали на мартовский релиз в Китае, но, судя по инсайдам, запуск откладывается, и новое окно запуска назначено на конец апреля.

[Перевод] Когда одного Postgres'a мало: сравнение производительности PostgreSQL и распределенных СУБД Общеизвестно, что PostgreSQL - крайне эффективная СУБД с богатой функциональностью. При этом не секрет, что PostgreSQL масштабируется только вертикально и её производительность ограничена возможностями одного сервера.Написано много хороших постов, в которых сравнивают архите...

Yuzu обновляет управление VRAM для улучшения производительности игр Эмулятор Yuzu вводит новые опции управления VRAM в своем последнем обновлении Early Access, предлагая пользователям улучшенную производительность в играх на Nintendo Switch

Обновление Windows 11 KB5031455 может снизить производительность игр Обновление для Windows 11 KB5031455, похоже, вызывает проблемы у некоторых игроков, отказываясь устанавливаться у одних и вызывая сбои в играх и проблемы с производительностью у других.

Компания NVIDIA анонсировала чип Blackwell Согласно пресс-релизу NVIDIA, новые чипы Blackwell предлагают значительное улучшение производительности и энергоэффективности. Чипы Blackwell в 7-30 раз быстрее предшественников H100 и потребляют в 25 раз меньше энергии. Это достижение стало возможным благодаря использованию...

Революционные аккумуляторы повысят привлекательность электромобилей даже в холодных регионах Твердотельные аккумуляторы Winter Pro от SK On и твердотельные аккумуляторы Samsung SDI — это прорыв в технологии электромобилей, обещающий повышение производительности и экологичности. Эти инновации решают такие проблемы, как производительность в холодную погоду и время зар...

Fujitsu полностью уходит из России. Компания не намерена это комментировать Японский разработчик электроники и поставщик инфраструктурных решений Fujitsu запустил процесс ликвидации российского юрлица ООО «Фуджитсу технолоджи солюшнз». Согласно данным сервиса Rusprofile, дата ликвидации назначена на 15 августа 2024 года. Как пишет Р...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Не стоит бояться, что новые процессоры AMD обеспечат незначительный прирост производительности. CPU на Zen 5 уже тестируются клиентами AMD Чистая прибыль AMD выросла на 1000% и сравнялась с чистой прибылью Intel Компания AMD уже располагает образцами процессоров на архитектуре Zen 5, а некоторые клиенты AMD уже успели опробовать такие CPU и остались довольны.  Глава компании Лиза Су (Lisa Su) говорит...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Nvidia создала GPU с TDP 1,2 кВт и ускоритель для ИИ с TDP 2,7 кВт. Компания раскрыла новые подробности о Blackwell Компания Nvidia уже представила архитектуру Blackwell и ускорители на её основе. При этом во время анонса нам раскрыли далеко не все особенности и характеристики. Теперь же некоторые пробелы заполнили старший вице-президент Nvidia и архитектор графических процессоров Дж...

TSMC сократила долю 3-нм продукции в выручке первого квартала С 15 сразу до 9 %.

Сенатор США призвал Intel, AMD и Texas Instruments активнее препятствовать поставкам продукции в Россию Всё течёт, всё меняется.

iPhone 16 Pro Max получит рекордную батарею, а iPhone 16 Plus заменит iPhone 16 Plus SE В 2024 году поклонников продукции Apple ждут радикальные перемены.

Некоторые производители бытовой техники препятствуют параллельному импорту продукции в РФ Например, Electrolux, Tefal, Braun и Rowenta

В Сети появилась утечка о выходе GTA VI: игра выйдет в феврале 2025 года В Сети появились прогнозы двух инсайдеров касательно выхода GTA VI. Оба инсайдера сходятся на том, что с высокой долей вероятности игра выйдет зимой 2025 года.

Российские космонавты выйдут в открытый космос для осмотра теплообменника Госкорпорация «Роскосмос» сообщила на своем сайте, что космонавты готовятся к выходу в открытый космос в рамках 70-й длительной экспедиции на Международной космической станции (МКС). Олег Кононенко и Николай Чуб совершат выход с целью проверки и ремонта негерметичного радиац...

Samsung проделала большую работу: Exynos 2400 уже гораздо ближе по производительности к Snapdragon 8 Gen 3 В базе данных бенчмарка Geekbench появились новые результаты тестов Galaxy S24 Plus и Galaxy S24 Ultra. И судя по ним, Samsung добилась большого прогресса в оптимизации фирменной SoC Exynos 2400 – она стала меньше уступать Snapdragon 8 Gen 3. Вот такие результаты...

Поставщиком титана для Samsung Galaxy S24 Ultra выступит китайская компания Solomon Корейский ресурс TheElec рассказал подробности о будущем флагмане Samsung Galaxy S24 Ultra. Он подтвердил, что боковая рамка Galaxy S24 Ultra будет выполнена из титанового сплава, а поставщиком этого сплава выступит китайская компания Solomon. Источники TheElec со...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

Китайские процессоры Loongson догоняют Intel и AMD Новый процессор Loongson 3A6000 смог достичь производительности по числу инструкций на такт современные чипы AMD архитектуры Zen 4 и Intel Raptor Lake. Однако из-за того, что таковая частота китайских CPU заметно ниже, общая производительность пока отстаёт от мировых ли...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Украдено 100 000 iPhone, которые Apple хотела уничтожить 100 000 единиц продукции отправлено в Китай для перепродажи

TSMC должна будет к 2028 году освоить в США выпуск 2-нм продукции И построить три предприятия вместо двух.

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Настоящий хит с 24 ГБ ОЗУ и 240-ваттной зарядкой. Даже через несколько месяцев после выхода Realme GT5 продолжает пользоваться огромным спросом Компания Realme официально сообщила о том, что входе распродажи Double 11 смартфон Realme GT5 пользуется огромной популярностью. По сравнению с предыдущим поколением продажи Realme GT5 выросли на 124% в годовом исчислении. Это флагман Realme, выпущенный в конце августа....

Oppo Reno 12/12 Pro, Oppo Pad 3/OnePlus Pad 2 и Enco X3 уже запущены в производство В ноябре 2023 года Oppo представила в Китае серию смартфонов Reno 11. Недавние сообщения показали, что в В настоящее время Oppo работает над серией смартфонов Reno 12 для китайского рынка. Кроме того, бренд разрабатывает планшет Oppo Pad 3 и наушники Enco X3 TWS. Инсайд...

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Чип Apple A18 Pro не удивит своей производительностью В этом году компания Apple выпустит новые смартфоны с чипами Apple A18 Pro. Однако если верить слухам, то последние в плане производительности будут мало чем отличаться от прошлогодних процессоров, которые использовались в iPhone 15 Pro и iPhone 15 Pro Max.Читать дальше... ...

MediaTek Dimensity 9300 уничтожит Snapdragon 8 Gen 3. Новые подробности о топовой SoC тайваньской компании от надежного источника Инсайдер Digital Chat Station раскрыл новые подробности о будущей флагманской однокристальной системе MediaTek Dimensity 9300. По его словам, она превзойдет Snapdragon 8 Gen 3 по производительности в бенчмарке AnTuTu в одно- и многопоточных тестах. MediaTek Dimensity 9...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

GeForce RTX 4090 на фоне запрета в Китае теперь стоит от 3500 до 5500 долларов, а сам запрет касается также и России Вчера мы сообщали о том, что в рамках новых санкций США в Китай запретили поставки GPU для GeForce RTX 4090 и самих видеокарт. Мы предположили, что на этом фоне цены на карту сильно вырастут и они выросли уже сейчас.  Если первоначально RTX 4090 в Китае стартовала...

BYD: более 50% новых машин в Китае в этом году будут электрифицированными. В прошлом году их было около 35% Ван Чуанфу, председатель и президент BYD, рассказал о достижениях BYD в прошлом году, заявив, что продажи автомобилей на новых источниках энергии превысили 3,02 миллиона единиц, что позволило компании занять первое место в мире. Ван Чуаньфу считает, что трансформация ав...

Nintendo Switch 2 получит производительность уровня PlayStation 4 Pro Сегодня появилась информация о том, что игровая приставка Nintendo Switch 2 в режиме подключения к док-станции, скорее всего, будет иметь производительность, сравнимую с производительностью PlayStation 4 Pro. По крайней мере, об этом уверенно говорит достаточно крупный канал...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Китайские власти расширяют запрет на использование импортных смартфонов Продукция Apple и Samsung попала под ограничения.

Samsung начнёт массовый выпуск продукции на новом предприятии в Техасе не ранее 2025 года Новогодняя переносица.

Ижевский механический завод увеличит выпуск продукции по ГОЗ на 60% Ижевский завод увеличит производство военной техники по ГОЗ на 60%

Компания Gelid представила термопрокладку, которая лучше, чем паста Компания расширила ассортимент своей продукции термопрокладок под названием HeatPhase Ultra

Samsung наладит выпуск 2-нм продукции в Техасе к 2027 году И память HBM тоже будет выпускать на территории США.

Китайское импортозамещение докатилось до процессоров, используемых в телекоммуникационном оборудовании Продукция Intel и AMD будет из него вытесняться к 2027 году.

Цены поднимает Nvidia, а заказы теряют конкуренты. Более дорогие ускорители Nvidia перетягивают на себя бюджеты, которые выделялись на адаптеры AMD и Intel Ускорители для ИИ компании Nvidia дороже конкурирующих решений, а новые модели поколения Blackwell будут ещё дороже. Можно было бы подумать, что различные компании из-за этого будут активнее покупать продукцию конкурентов, но аналитики Keybanc утверждают, что ситуация р...

Калькулятор производительности экструдера Выложили наш вариант калькулятора максимальной производительности экструдера для FDM 3D-принтеров.Калькулятор пригодится энтузиастам 3D-печати, которые хотят углубиться в тонкости настроек.Нужен для определения количества выдавливаемого пластика, а также скорости экструзии в...

OnePlus 12 установил рекорд производительности в AnTuTu Инсайдер Digital Chat Station опубликовал фото пока еще не представленного официально OnePlus 12 с результатом теста AnTuTu. И результат этот впечатляет: 2,333 млн баллов – на текущий момент это рекорд для моделей на SoC Snapdragon 8 Gen 3. При этом нужно отметит...

Qualcomm уже начинать беспокоиться? MediaTek Dimensity 9400 будет гораздо быстрее нынешней топовой SoC Dimensity 9300 Инсайдер Digital Chat Station рассказал, что ожидать от производительности будущей флагманской однокристальной системы MediaTek Dimensity 9400. По словам информатора, смартфоны на будущей SoC будут набирать в однопоточном тесте Geekbench 6 2700 баллов –...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

«До конца года, к сожалению, ещё ожидаем рост цен», — в РОАД прогнозируют 30-процентное повышение цен на машины за год Новые легковые автомобили в России продолжат дорожать вплоть до конца 2023 года. В целом за год рост цен на авторынке может достигнуть 30%, сообщил РИА «Новости» Вице-президент и исполнительный директор профильной ассоциации «Российские автомобильные д...

Представлены процессоры Intel Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF. В сравнении с прошлым поколением цены не выросли Компания Intel представила процессоры Core 14-го поколения (Raptor Lake Refresh). Как и ожидалось, сегодня анонсировали только старшие модели с разблокированным множителем.  Утечек об этих CPU было множество, так что ничего нового, кроме цены, Intel не раскрыла. Н...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Суд оштрафовал «Самокат» на 20 тысяч рублей по делу о массовом отравлении продукцией Greenbox С 14 сентября 2023 года суд приостановил работу Greenbox на два месяца.

Samsung и Qualcomm подписали новое многолетнее соглашение Это говорит о неготовности компании Samsung полностью отказаться от продукции Qualcomm.

NVIDIA в прошлом году потеряла на излишках продукции $540 млн Бум искусственного интеллекта помог перекрыть эти потери с лихвой.

Казахстан опроверг сообщения СМИ о продаже Украине российской военной продукции Это, конечно, было бы совсем уже беспределом.

Визит Тима Кука во Вьетнам связан с расширением локального производства продукции Apple Не Китаем единым жива Apple.

Micron Technology может неплохо заработать на поставках памяти HBM, как считают аналитики Сертификацию NVIDIA профильная продукция Micron уже прошла.

Мощности SK hynix по выпуску памяти HBM3 заняты на весь следующий год Этот вид продукции станет самым активно растущим для компании.

OpenYard открыла производство российских серверов – 60 000 единиц в год с процессорами Ampere Массовое производство уже развёрнуто, а продукция доступна для заказа

Intel потеснит TSMC на рынке литографии, выпуская чипы даже для AMD и NVIDIA "Синий лагерь" не против производить продукцию в том числе и для своих конкурентов

Tecno показала портативную консоль Smart Glasses: Pocket Go, AR Pocket Vision и робопса Dynamic 1 Tecno продемонстрировала, что она сделала необычный шаг, расширив существующий ассортимент продукции

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Неофициальный ввоз машин в РФ стал невыгодным. Перекупщики заявили о росте цен на иномарки на 30-40% Подтверждения того, что цены на иномарки резко выросли, появились со стороны перекупщиков, которые занимаются доставкой машин из-за границы «под ключ». Ранее Минпромторг опубликовал реестр иномарок с ценами, на которые должна руководствоваться Федеральная та...

GeForce RTX 4090 D (Dragon) сохранит тот же GPU AD102, что и оригинальная модель Видеокарта GeForce RTX 4090 D (Dragon), которая будет создана специально для китайского рынка и не будет попадать под санкции США, получит тот же GPU, что и текущая версия.  создано DALL-E Как сообщает инсайдер MEGAsizeGPU, это будет AD102, но в другой версии. Есл...

Китайский процессор Loongson 3A6000: производительность оставляет желать лучшего, но перспективы — отличные Да, звучит немного странно, но дело обстоит именно таким образом. Стоит напомнить, что чип действительно китайский. В 2021 году компания Loongson Technology практически с нуля разработала архитектуру, получившую название Loongson Architecture или LoongArch. Разработчики Loo...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Web Workers в JavaScript: Параллельные вычисления и улучшение производительности В современном мире пользователи становятся все более требовательными к производительности веб-сайтов и хороший пользовательский опыт выходит на первый план. Даже малейшее зависание или отсутствие плавности могут привести к потере пользователей.Есть случаи, когда эту проблем...

Районные суды Санкт-Петербурга зарегистрировали первые иски от физлиц, отравившихся продукцией Greenbox из «Самоката» В сентябре 2023 года из-за некачественной еды от Greenbox пострадало больше 270 человек.

ФАС: на маркетплейсах с начала 2024 года заблокированы более 2 миллионов карточек товаров В основном речь идет о контрафактной продукции

Apple пообещала не повышать цены для компенсации затрат на увеличение экологичности продукции Но это не значит, что компания не будет повышать цены по другим причинам

TSMC торжественно откроет предприятие в Японии уже 24 февраля Но выпуск продукции будет налажен только в четвёртом квартале.

После ухода из РФ Xerox, Canon, Epson и HP российские пользователи перешли на китайские подделки Продажи оригинальной продукции стремительно снижаются

Польша хочет договориться с Украиной об ограничении импорта их продукции Однако украинские власти этому препятствуют, затягивая переговоры.

Для Tesla производство Cybertruck не станет прибыльным как минимум до 2025 года В большей степени модель нужна для привлечения клиентов к другой продукции марки.

Названы лучшие видеокарты 2024 года – продукция NVIDIA получила всего 2 места из 6 возможных Изучаем мнение экспертов PC Gamer

TSMC пообещала начать выпуск 2-нм продукции во второй половине следующего года И представить технологию N4C для снижения себестоимости чипов.

В App Store появятся эмуляторы PlayStation 1 В скором времени пользователи продукции Apple смогут окунуться в мир ретро-игр прямо со своих устройств

Американские санкции коснулись одного из крупнейших дистрибьюторов ускорителей NVIDIA в Китае Он теперь переключается на продукцию Huawei.

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

«Жидкий Рыцарь» выходит 11 апреля. Realme GT Neo6 SE получит окантовку модулей камеры из нержавеющей стали Realme сегодня объявила дату выхода смартфона Realme GT Neo6 SE — аппарат дебютирует 11 апреля. Устройство именуют «Жидким Рыцарем» или «Жидким Серебряным Рыцарем» за его внешнее исполнение и визуальное сходство с жидким металлом. Если ран...

iOS 17.0.3 не повлияла на производительность iPhone 15 Pro В iOS 17.0.3 компания Apple должна была устранить причину излишнего нагрева iPhone 15 Pro и iPhone 15 Pro Max. Однако многие опасались, что это негативно скажется на производительности новых яблочных смартфонов. Авторы 9to5mac решили проверить, как сильно изменились результа...

Intel просто выпускает новый драйвер, а производительность её видеокарт Arc повышается вплоть до 268% Компания Intel в очередной раз выпустила драйвер, который снова очень существенно повышает производительность её видеокарт Arc в ряде игр.  На сей раз ПО позволяет повысить количество кадров в секунду более чем в 20 играх. Среди них есть Tekken 8, The Last of Us P...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Большой успех OnePlus Ace 2 Pro стал неожиданностью для самой OnePlus. А на новые флагманы компания ответит флагманом OnePlus 12 Pro О том, что OnePlus Ace 2 Pro стал хитом на домашнем рынке, стало известно после старта продаж – китайцы охотно раскупали эту модель. Но, как оказалось, спрос даже превзошел ожидания самой OnePlus. Об этом рассказал инсайдер, известный в соцсети Weibo под ником Dig...

Наконец-то ноутбуков с 8 ГБ ОЗУ станет меньше? Microsoft установила минимум для ПК с ИИ в размере 16 ГБ Активный акцент на искусственный интеллект может повысить средний объём оперативной памяти не только в смартфонах, но и в ПК.   Как сообщают аналитики TrendForce, Microsoft установила объём ОЗУ в 16 ГБ в качестве минимума для ПК с искусственным интеллектом. Конечн...

Поставки Electrolux, Tefal, Braun и Rowenta в Россию начали активно блокировать: некоторые бренды могут внести в список параллельного импорта Продукцию Tefal и Rowenta могут включить в перечень товаров для параллельного импорта в Россию, о чем рассказали в пресс-службе Минпромторга РФ может. Tefal и Rowenta не включены в механизм параллельного импорта. Это означает, что в случае прекращения поставок, наличия ...

Премьер-министр Армении Никол Пашинян озвучил условие выхода страны из ОДКБ Армянские власти ждут ответа касаемо зоны ответственности оборонного блока на армянских территориях. Если ответ не поступит, то Армения инициирует выход из альянса, но когда - неизвестно.

Китайские производители смартфонов доминируют на ведущей выставке мобильных телефонов Компании из Поднебесной задали тон на выставке Mobile World Congress в Барселоне. Их продукция вне конкуренции.

Nvidia создаст новое подразделение для производства чипов Продукция будет использоваться в облачных вычислениях, игровой и автомобильной индустриях, а также в разработке ИИ

ТОП-6 технологических компаний, продукцию которых я не куплю в ближайшие годы Рассказываю о том, товаров каких брендов я избегаю и почему пришел к подобному решению

Электромобиль Aito M5, созданный при участии Huawei, остаётся самым популярным в Китае среди продукции молодых производителей На протяжении уже двух месяцев подряд.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)