Социальные сети Рунета
Среда, 22 мая 2024

В США усилили БМП M2 Bradley с помощью КАЗ Iron Fist, повысив выживаемость в 3 раза Похоже, речь о массовом производстве не идёт, поскольку модернизация оказалась слишком дорогой

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Как снизить стоимость заявки производственной фабрики в 10 раз за счёт методики JTBD, которой не пользуются 95% бизнесов Делюсь опытом, как мы упаковали ключевые смыслы бизнеса  фабрики светильников SMD-Line на лендинге по методике Jobs to be done, снизили стоимость целевой заявки с 8300 р. до 754 р. и увеличили количество заявок в десятки раз.  Узнать подробности

ГАЗ возобновляет выпуск автомобилей «Волга» Российский автозавод ГАЗ планирует возобновить производство легендарных автомобилей «Волга» к середине 2024 года. Завод подал заявку на регистрацию нового товарного знака Volga в 22 классах МКТУ.

Intel отказалась от выпуска графического процессора Ponte Vecchio, созданном во времена Раджи Кодури Ponte Vecchio был настоящим прорывом, но оказался слишком сложным и дорогим

Стало известно число подавших заявку на гражданство Сальвадора за криптоинвестиции Не успели власти Сальвадора пообещать паспорта в обмен на инвестиции в страну биткоинами или стейблкоинами USDT, как уже 153 человека подали заявки на участие

Foxconn подала заявку на независимое строительство предприятия по выпуску чипов в Индии Подробности проекта пока не уточняются.

Nike подала в суд на New Balance и Skechers из-за патента на производство верхней части кроссовок В New Balance заявили, что у Nike «нет исключительного права на традиционные методы производства обуви».

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Безработный инженер подал 5000 заявок с помощью ИИ — и нашел работу Инженер-программист Джулиан Джозеф решил найти хорошую работу, используя высокотехнологичный ИИ-инструмент LazyApply. С его помощью он разослал порядка 5000 резюме в различные компании по профилю своей деятельности. При этом отправка такого количества онлайн-заявок потребова...

Сбер открывает приём заявок в пятый сезон международного акселератора Sber500 Подать заявку могут стартапы со всего мира до 25 мая 2024 года.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Tata Group инвестирует $0,5 млн в завод по производству чипов в Ассаме Tata Group подала заявку на создание завода по переработке полупроводников в Ассаме.

В США хотят создать буферный биткоин-ETF, поглощающий убытки Разработчик финансовых продуктов First Trust подал заявку в Комиссию по ценным бумагам и биржам США на выпуск буферного биткоин-ETF

Автозавод ГАЗ регистрирует товарный знак Volga Стало известно, что нижегородский автомобильный завод ГАЗ подал заявку на регистрацию нового товарного знака Volga. Согласно данным Роспатента, заявка поступила 14 марта 2024 года и была опубликована 15 марта. Товарный знак Volga планируется зарегистрировать по 22 класс...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

В России налажено массовое производство авиационных бомб ФАБ-3000 Министерство обороны РФ сообщило об увеличении выпуска бомб "малого" калибра, а также о начале производства трёхтонных авиационных бомб

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Искусственный интеллект помог программисту найти работу С помощью нейросетей было подано 5000 заявок - и работа нашлась.

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

Китай стал лидером по количеству ИИ-патентов, оставив США позади Китай вырвался вперед в гонке инноваций в области ИИ, подав 29 853 заявки на патенты, связанные с ИИ, по сравнению с 29 000 в предыдущем году. Напротив, в США количество патентных заявок на ИИ сократилось на 5,5%. В целом разрыв между двумя странами составляет 80%.

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Асбест в алкоголе: как «горный лен» проник в мир дорогих напитков Промышленное применение асбеста в мире не исчерпывается строительными материалами, фрикционными прокладками или сложными системами термоизоляции. «Горный лен» активно применяется при производстве более чем 300 видов продукции, среди которой есть отдельный класс — фильтры на ...

США откажутся от замены всего авиапарка F-16 на новейшие F-35 Новинка является слишком дорогой и сложной в обслуживании, а для замены большинства устаревающих F-16, разработают более бюджетный самолёт

В РязГМУ изучают новый метод изготовления искусственных сосудов Студент Рязанского государственного медицинского университета имени Павлова Александр Захаров работает над методикой производства органических сосудистых протезов с использованием живых клеток и 3D-печатных форм.

Новый метод производства Tesla может вдвое снизить затраты на производство В автомобиле Tesla стоимостью 25 000 долл. будет применен инновационный подход к производству, который позволит сократить расходы. Tesla называет новый подход к производству методом "unboxed".

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

АвтоВАЗ высказался о возобновлении производства универсалов Lada Vesta SW АвтоВАЗ опроверг информацию о том, что завод приостановил производство универсалов Lada Vesta SW, о чем сообщают «Автоновости дня». Отвечая на вопрос, который автомобильное издание направило на завод, пресс-служба ответила коротко: «Это фейк». Р...

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

В России разработали экологически чистое топливо из водорослей Ученые из Балтийского федерального университета разработали метод получения биоэтанола из водорослей Балтийского моря. Инновационная методика производства этого вида топлива делает процесс безотходным, подчеркивает пресс-служба университета, пишет ТАСС.

Xiaomi начала массовое производство своего народного флагмана Redmi K70 Xiaomi объявила о старте массового производства своего новейшего флагмана — Redmi K70.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

По мнению западных экспертов, самоходки RCH 155 могут оказаться слишком дорогими в производстве За счёт высокой стоимости, от инновационной артиллерийской установки могут отказаться

Китай объявил о разработке нового метода массового производства оптических чипов Это может радикально изменить глобальный рынок полупроводников и укрепить технологическое лидерство страны.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

В России создали безмасочный нанолитограф Ученые Санкт-Петербургского политехнического университета Петра Великого (СПбПУ) создали две установки, которые позволят «решить вопрос технологического суверенитета России в этом направлении в сфере микроэлектроники». Это установка безмасочной нанолитографи...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

LG начала массовое производство гибких OLED-дисплеев для 17-дюймовых ноутбуков будущего LG Display объявила о старте массового производства 17-дюймовых гибких OLED-панелей для ноутбуков.

Использование гранульной 3D-печати в индустрии развлечений Обычно в производстве реквизита для аттракционов, декораций в помещениях и на открытом воздухе используются традиционные материалы, такие как гипс, глина, дерево, пенопласт, стекловолокно и эпоксидную смолу. Такие процессы отнимают много времени и сил, а заодно характеризуют...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Завтра в Ирландии начнётся выпуск продукции по технологии Intel 4 Это первый случай применения EUV-литографии в массовом производстве на территории Европы.

Российский «Аквариус» приступил к массовому производству 27-дюймовых отечественных IPS-мониторов К концу 2025 года ожидается выпуск 2.5 миллиона устройств за календарный год

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Опросы с использованием метода Дельфи Метод Дельфи, представляет собой уникальный подход к систематическому и структурированному сбору мнений экспертов для прогнозирования или решения сложных проблем. Цель статьи — не только описать метод Дельфи, его основные этапы и применение, но и показать, как его преимущест...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Возможности 3D-cканирования при инспекции газо- и нефтепроводов Повреждения газо- и нефтепроводов часто включают деформации сложной формы и трещины, образующиеся в результате коррозии. Оценка таких повреждений, включая данные о глубине коррозии и остаточной толщине трубопроводов, визуальными и ручными измерениями — дело очень сложное и д...

В России снова продадут десятки машин должников по ценам гораздо ниже рыночных В ближайшее время в Башкирии запланирован аукцион, на котором будут продаваться автомобили, конфискованные у должников. Местное издание UfaTime сообщает, что на торги будет выставлено несколько десятков машин, начальная стоимость которых стартует от 68 тысяч рублей. Сам...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Tower Semiconductor подала повторную заявку на строительство предприятия в Индии Оно сможет выпускать 40-нм чипы.

Яндекс и Сириус проведут бесплатный интенсив по iOS и Flutter Подать заявку можно до 4 февраля

Пакистан подал заявку на вступление в БРИКС Собирается сделать это в следующем году.

Слишком дорого даже для Apple. Экран MicroLED для новых часов Watch Ultra в четыре раза дороже экрана OLED Компания Apple, согласно ранним слухам, собирается использовать экраны MicroLED для своих умных часов Watch Ultra в обозримом будущем. Однако оказалось, что эти экраны слишком дороги.  Сообщается, что изначальные планы выпуска таких часов в 2026 году могут оказать...

Почему рукописную подпись считают надёжным способом аутентификации (и так ли это на самом деле) Печать считалась более сложным инструментом для подделки. В Азии даже сейчас есть персональные физические печати, которые регистрируются в муниципалитетах как аналог собственноручной подписи. Подпись — один из основных методов идентификации личности. Не самый надёжный, но...

Apple отвергла предложение Samsung, посчитав её дисплеи для iPhone слишком дорогими Samsung Display предлагала Apple поставлять панели для iPhone SE 4 по 30 долларов за штуку. Но Apple сочла эту цену слишком высокой и, как пишет gizmochina, отклонила это предложение. В результате Samsung вышла из переговорного процесса. Сообщается, что компания из Купе...

С помощью ДНК-оригами создали передовые фотонные кристаллы Используя ДНК-оригами, исследователи LMU построили алмазную решетку с периодичностью в сотни нанометров, представив новый метод производства полупроводников. В отличие от традиционных методов литографии, этот подход использует самособирающиеся структуры ДНК для создания фото...

Lada Niva с ABS уже сходят с конвейера АвтоВАЗа Судя по всему, АвтоВАЗ скоро запустит массовое производство внедорожников Niva Legend и Niva Travel с ABS. Как пишет инсайдерский паблик Avtograd News, такие машины в единичных экземплярах уже собирают. «С конвейера АвтоВАЗа сошло несколько пробных автомобил...

TSMC подала заявку на получение бессрочной лицензии на поставку оборудования в Китай Но пока она не одобрена.

Сбер проведет хакатон по цифровой трансформации Подать заявку на «СберПро Тех 2023» можно до 4 октября.

Volkswagen заменит кроссовер T-Roc электромобилем ID. Roc Volkswagen подала в Исландии заявку на новый торговый знак

Samsung намерена начать производство своего 3-нм чипсета Exynos во второй половине 2024 года О выпуске Samsung Galaxy S25, который ожидается в 2025 году, говорят уже давно. Наконец, новый чипсет Exynos, по слухам, поступит в массовое производство во второй половине 2024 года.

FT: 48% американцев считают, что США тратят слишком много на помощь Украине Издание отмечает, что согласие с текущим объемом финансирования помощи Израилю выразило 30% опрошенных. 40% респондентов считают, что США тратят «слишком много» на эти цели.

Стартап Hysata производит водород с минимальной потерей энергии с помощью нового метода Потери энергии при новом методе производства водорода минимальны, что также существенно снижает затраты.

Xiaomi объявила старт глобального бета-теста HyperOS Подать заявку на участие можно через приложение Xiaomi Community

Яндекс открыл новый набор в Школу анализа данных Подать заявку нужно до 12 мая

GlobalFoundries подала заявку на предоставление субсидий по «Закону о чипах» Разумеется, исключительно в контексте развития предприятий в штате Нью-Йорк.

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Минцифры: в правительстве России одобрили компенсации пострадавшим от утечек Правительство поддержало инициативу о компенсации пострадавшим от утечек персональных данных в рамках закона об оборотных штрафах. Об этом рассказала пресс-служба Министерства цифрового развития, связи и массовых коммуникаций Российской Федерации (Минцифры России). ...

Huawei подала заявку на регистрацию товарного знака «Far Ahead» На международном уровне он классифицируется как транспортные средства и научные гаджеты.

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Intel запускает в Ирландии завод нового поколения для выпуска 7-нм чипов под брендом Intel 4 Intel готовится к запуску процессорного завода в Ирландии для массового производства чипов по технологии Intel 4, ранее известной как 7-нм процесс

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Производитель стейблкоинов Circle подает заявку на IPO Компания не раскрыла данные о цене и количестве акций, которые планирует продать в ходе первичного размещения.

Яндекс планирует подать заявку на листинг акций на Мосбирже Компания также утвердила политику по выплате дивидендов

Китай обошел США в общем количестве поданных патентных заявок за 2023 год Вместе с этим, лидером среди крупнейших компаний стала Huawei Technologies

Cognizant применит генеративный ИИ NVIDIA BioNeMo для поиска лекарств фармкомпаниями Cognizant развивает применение технологии генеративного ИИ, используя платформу NVIDIA BioNeMo для решения сложных задач открытия лекарств в медико-биологической отрасли, и тем самым повышает эффективность процесса разработки и ускоряет вывод новых спасающих жизни методов ле...

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

МТС разместит второй выпуск облигаций с плавающей ставкой, привязанной к индикатору RUONIA ПАО «МТС» планирует открыть 14 ноября книгу заявок на размещение второго выпуска биржевых облигаций серии 002Р-02 с плавающей ставкой купона, привязанной к индикатору RUONIA Банка России.

Приложение Сбера для инвесторов: новое название — новые возможности Приложение Сбера для инвестиций сменило название и стало более функциональным. Приложение Сбера для розничных инвесторов СберИнвестиции стало более функциональным и удобным.  Активно торгующим клиентам стала доступна маржинальная торговля – возможность осуществлять сделки с ...

IX международная бизнес-премия и конференция WOW!HR Открыт прием заявок на IX международную бизнес-премию и конференцию по управлению персоналом WOW!HR 2024 Открыт прием заявок на участие в IX международной бизнес-премии и конференции по управлению персоналом WOW!HR 2024. Мероприятие состоится 22 и 23 апреля в Москве, а такж...

По вселенной «Первому игроку приготовиться» анонсирован баттл-рояль OPEN Даты релиза нет, но можно подать заявку на ранний доступ

Роскомнадзор: хостинг-провайдерам нужно подтвердить свою деятельность до 15 декабря Подать заявку можно через личный кабинет на сайте ведомства

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Илон Маск подал заявку на регистрацию SpaceX в Техасе вместо Делавэра, как и обещал Ни одна из принадлежащих ему крупных компаний в итоге не сохранит прописку в Делавэре.

Китайский телескоп FAST подаст глобальные заявки на участие в наблюдениях в 2024 году FAST будет принимать заявки на участие в бесплатных наблюдательных проектах с августа 2024 года по июль 2025 года.

Юрист, выступающий за XRP, хочет занять место Элизабет Уоррен в сенате Джон Дитон официально подал заявку на место сенатора Элизабет Уоррен в Сенате Массачусетса

Оператор Tele2 подал в Роспатент заявки на новый логотип Российский мобильный оператор Tele2 подал в Роспатент пять заявок на новый логотип, следует из реестра заявок. Мы уже писали о том, что Tele2 собирается сменить название и стиль в России, поскольку лицензия на использование шведского бренда Tele2 истекает и её решили не...

Россиянам предложат десятки машин по сниженным ценам: это автомобили должников В России состоится аукцион, на котором будут реализованы конфискованные у должников автомобили. На аукцион выставят десятки моделей по ценам, ниже рыночных. На Hyundai Accent 2004 года выпуска установлена стартовая цена 290 тыс. рублей, Volkswagen Jetta 2011 года &mdash...

Samsung Galaxy S24 будут не просто смартфонами, а ИИ-смартфонами. Компания регистрирует торговые марки AI Phone и AI Smartphone Похоже, свои грядущие смартфоны линейки Galaxy S24 компания Samsung будет продвигать в том числе, как аппараты с упором на искусственный интеллект. Компания даже подала заявки на регистрацию товарных знаков AI Phone и AI Smartphone.  Учитывая слишком общие названи...

Fidelity подала новую заявку на запуск спотового ETF на биткоин Инвестиционная компания Fidelity подала обновленную заявку на запуск спотового биржевого фонда (ETF) на биткоин «Wise Origin Bitcoin Trust» в Комиссию по ценным бумагам и биржам США (SEC)

Представлена «активная воздушная юбка» Hyundai Hyundai Motor Group представила технологию Active Air Skirt (AAS), которая служит для увеличения запаса хода. Речь идёт об установке «воздушных юбок» перед ведущими колесами, но за передним бампером, чтобы минимизировать турбулентность, создаваемую колесами....

В России продадут машины должников по ценам гораздо ниже рыночных В России выставили на продажу десятки автомобилей по ценам ниже общего рыночного уровня. Их снова предлагают в Башкирии, это машины ранее арестованные и изъятые судебными приставами у должников. Желающие могут подать заявки на участие в торгах до 18 марта 2024 года. Сре...

ТОС-3 «Дракон» получит гусеничное шасси и реактивные снаряды ТБС-М3 «Омсктрансмаш» подал заявку на регистрацию товарного знака тяжелой огнеметной системы (ТОС-3) «Дракон», которая является продолжением линейки ТОС-1А «Солнцепек» и ТОС-2 «Тосочка».

Поданы заявки на запуск в космос более 1 миллиона спутников В базе данных Международного союза электросвязи (ITU), который отвечает за предоставление мест на орбите, находятся заявки на запуск более 1 миллиона спутников

Sonos объявила о задержке выпуска своих новых наушников Компания Sonos столкнулась с технической неисправностью своих новых наушников, что привело к задержке массового производства. Этот этап, известный как тестирование валидации производства (PVT), был приостановлен из-за обнаруженного бага всего за две недели до начала массовог...

Ростех начал выпуск остекления для новых поездов метро Государственная корпорация «Ростех» объявила о запуске производства остекления кабин машиниста для новых поездов метрополитена «Москва — 2024». Технология производства позволяет создавать сложные и современные дизайны.

Microsoft запатентовала ИИ-терапевта Microsoft недавно подала заявку на патент на приложение-терапевта на базе искусственного интеллекта.

Турция примет участие в разработке Российской космической станции Турция подала заявку на разработку орбитальной космической станции.

Не раскрывает всей сути и попросту слишком похоже на «айфон». CEO Samsung против применения бренда AI Phone к смартфонам Galaxy S24 Samsung не будет поднимать цены на линейку Galaxy S24 в сравнении с текущими флагманами Недавно мы говорили о том, что Samsung подала заявки на регистрацию торговых марок AI Phone и AI Smartphone. Оказалось, что не всем в компании нравятся такие варианты для грядущей л...

Заявки на создание спотовых ETF на биткоин подходят к финальной стадии одобрения Крупные финансовые компании подали измененные формы S-1 в последнем этапе процесса одобрения спотовых ETF на биткоин

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Nvidia не нравится, когда кто-то выпускает видеокарты и GeForce, и Radeon? ASRock заявила, что для неё сейчас сложно начать производство карт Nvidia Компания ASRock уже какое-то время производит видеокарты AMD и с недавних пор адаптеры Intel. А вот карты Nvidia она не выпускает и теперь стало известно, почему.  В интервью представитель ASRock ответил на вопрос, какие у компании планы и собирается ли она начать...

Разработчики Trader Joe запросили грант на 1,83 млн ARB Крупнейшая децентрализованная биржа (DEX) на основе Avalanche Trader Joe, подала в Arbitrum DAO заявку на получение гранта на сумму 1,83 млн Arbitrum (ARB)

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Китай продолжает наращивать своё доминирование в технологиях искусственного интеллекта За 2022 год китайские компании подали 29 853 заявки на патенты, связанные с технологией ИИ

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Сеченовский университет, Yandex Cloud и Beltel Datanomics создали облачную платформу медицинских данных для изучения с помощью ИИ новых методик лечения Решение поможет исследователям, врачам, студентам и аспирантам Сеченовского Университета Минздрава РФ проводить научные исследования, оценивать эффективность методов лечения на разных группах пациентов, а IT-командам – создавать сервисы искусственного интеллекта в области зд...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Зеттелькастен рисование на бесконечном канвасе или как помнить всё на свете Каждый автор, обдумывая статью, сталкивается с проблемой. С одной стороны хочется передать читателю крутую идею и тем самым принести большую пользу, а с другой страшно давать что-то “тяжелое”, потому что тема может оказаться неподъемной и следовательно бесполезной.В первых м...

Fox Business: Криптовалютные компании отказались от рекламы на Супербоуле-2024 Ведущие компании криптовалютной индустрии не подали заявки на размещение рекламы во время Супербоула. Об этом пишет Fox Business. Финал НФЛ состоялся ночью 12 февраля.

Спальная система в авто — проект, реализация, изученные уроки ЭпиграфКакой самый живучий паразит? Бактерия? Вирус? Кишечный глист? Идея. Она живуча и крайне заразна. Стоит идее завладеть мозгом, избавиться от неё уже практически невозможно.Система хранения и сна в авто. Как при помощи методов проектного управления, ...

Apple подала заявку на патент сенсорной панели на боковой части iPhone и iPad Панель может отображать кнопки управления и пользовательские интерфейсы или предоставлять различную информацию.

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Патент геймпада PlayStation, что изменит ваше восприятие ... Компания Sony подала патентную заявку на контроллер DualSense нового поколения с функциями искусственного интеллекта – он сможет значительно упростить обучение новичкам в той или иной игре.

Более 14 тысяч владельцев Qiwi-кошельков подали заявки на возврат финансов После прекращения деятельности КИВИ Банка инициирован возврат средств для пользователей Qiwi, с заявленным общим объемом в 167 миллионов рублей.

[Перевод] Nintendo Game Processor — компьютер для создания игр, который не выпустила Nintendo В 1994 году Nintendo подала в США заявку на крайне примечательный патент. Патент US6115036A — «Оборудование для редактирования программ видеоигр/видеографики с функциями остановки программ и передачи данных» Иными словами: Nintendo Game Processor — это специализированный к...

OpenAI подала ходатайство об отклонении части иска The New York Times OpenAI подало ходатайство в федеральный суд в с просьбой отклонить некоторые ключевые элементы иска, поданного The New York Times Company из-за нарушения авторского права.

Xiaomi подала заявку на регистрацию «интеллектуального центра» для своей HyperOS Компания Xiaomi обратилась в патентное бюро КНР за регистрацией товарных знаков для новой операционной системы HyperOS, заменившей оболочку MIUI.

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

Минцифры РФ: за неделю подано более миллиона заявок на дистанционное участие в выборах президента Механизм дистанционного электронного голосования будет задействован в 29 регионах страны

Как мебельная фабрика удвоила выручку интернет-магазина, несмотря на засилье маркетплейсов Как небольшому интернет-магазину мебели увеличить свои продажи, конкурируя с крупными игроками рынка и их огромными рекламными бюджетами? Чем опасно отсутствие рекламы? Как эффективно использовать свой  рекламный бюджет? Делимся методикой контекстной рекламы для e-com. ...

Методика iXBT.com 2024 года для измерения производительности в играх, версия 24.0 В новой версии методики предлагается тестирование систем при помощи семи игр 2020-2023 гг. выпуска. Главные требования к ним — наличие встроенных бенчмарков и отображение в отчетах о тестировании средних и минимальных fps. С учетом возможности раздельного включения трассиров...

Быстрое пополнение словарного запаса при изучении иностранного языка методом «Ознакомление-забывание-вспоминание» Привет, Хабр!В этой статье мы описываем полную методику быстрого пополнения словарного запаса при чтении неадаптированной литературы на иностранном языке. Статья будет интересна тем, кто владеет иностранным языком на уровне B2-С1 и столкнулся с необходимостью увеличить слова...

Как растить it-продукты с помощью метода VelocityBoost В этом материале вы узнаете о методе построения эффективного и непрерывного конвейера экспериментов. Метод я разрабатывал и тестировал на протяжении шести лет, работая с growth-командами и сам будучи growth-менеджером — он создавался постепенно из огромного количества проб и...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Tether (USDT) идёт в Россию? Компания зарегистрировала четыре торговые марки Компания Tether, выпускающая стейблкойн USDT, подала заявки на регистрацию товарных знаков в России, о чем сообщает РБК. Эмитент крупнейшего по капитализации стейблкоина USDT собирается закрепить за собой право на использование в России четырех названий своих продуктов:...

Google получила торговую марку на… букву «G» на задней панели Pixel Google закрепляет ключевой элемент дизайна своих телефонов Pixel — логотип «G» на задней панели. Недавно компания подала заявку на регистрацию товарного знака в США на это специфическое расположение.

В России создали безмасочный фотолитограф и плазменную установку травления СПбПУ: ученые университета создали комплекс из двух установок: безмасочного фотолитографа и плазменной установки травления. При помощи оборудования можно создавать наноструктуры для микроэлектроники.

Российские астрономы доказали эффективность нового метода расчёта веса звёзд Российские учёные разработали методику определения массы звезды измерив периоды её пульсации

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Яндекс запускает программу грантов для поддержки Open Source Команда Яндекса открыла ежегодную программу грантов Yandex Open Source. Принять участие может любой независимый разработчик или группа разработчиков, которые занимаются созданием решений с открытым исходным кодом. Фото: Shahadat Rahman / Unsplash Первые 15 победителей ...

Киборги идут, или Как Neuralink Илона Маска приближает киберпанк 78% опрошенных граждан США заявили, что никогда не хотели бы имплантировать нейрочип для улучшения когнитивных способностей и памяти. В то же время с сентября 2023-го в компанию Илона Маска, Neuralink, было подано более тысячи заявок на участие в эксперименте по вживлению ус...

Криптобиржа OKX подала заявку на разрешение работать в Гонконге Криптовалютная биржа OKX подала заявку гонконгскому регулятору на получение лицензии, которая позволит торговой площадке оказывать криптовалютные услуги институциональным и розничным инвесторам

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

В Ижевске вовсю готовятся к перезапуску производства Lada Largus. В конце января будет сварен первый кузов из панелей, сделанных на предприятии АвтоВАЗ планомерно реализует проект по возобновлению производства Lada Largus в России – в Ижевске. По словам генерального директора завода Александра Богачёва, в конце января на предприятии сварят первый кузов из узлов, произведённых непосредственно в Ижевске. П...

Игроки массово подали многомиллиардный иск на Sony PlayStation за слишком высокие цены на игры Sony Interactive Entertainment столкнулась с колоссальным иском в размере $7,9 млрд.

«Тинькофф» подал заявку на включение в список «экономически значимых» российских компаний Этот статус упрощает переход компании в российскую юрисдикцию.

В России спустя два года возобновляется производство современных европейских кроссоверов Citroen C5 Aircross и Peugeot 4008 В России возобновится выпуск современных европейских кроссоверов после двухлетнего перерыва. Завод «ПСМА Рус» в Калужской области планирует собирать соплатформенные модели французских брендов Peugeot и Citroen, используя модульную платформу EMP2 (Efficient M...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

К России и Китаю присоединяется Турция для реализации проекта Международной лунной станции Турция подала заявку на присоединение к китайско-российскому проекту Международной лунной исследовательской станции (ILRS), которая призвана составить конкуренцию американской программе «Артемида».

11 компаний подали в SEC финальные поправки к заявкам на спотовые биткоин-ETF Речь идет поправках 19b-4 — формах, которые распорядители активов подают в Комиссию по ценным бумагам и биржам США (SEC), чтобы сообщить о предлагаемых изменениях в правилах торговли

«АвтоВАЗ» подал заявку в Роспатент на регистрацию товарного знака с буквой Х «АвтоВАЗ» собрался зарегистрировать товарный знак с буквой Х

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

Redmi K70, который будет мощнее Xiaomi 14 Pro, запущен в массовое производство Ван Тен Томас (Wang Teng Thomas), представитель бренда Redmi и генеральный менеджер отдела маркетинга Redmi, опубликовал в Weibo сообщение, в котором предположил, что смартфоны Redmi K70 вступили в стадию массового производства. Вчера Лу Вейбинг, президент Xiaomi Group,...

Стоимость производства iPhone 15 Pro Max самая высокая за всю историю iPhone В Сети появилась информация о стоимости производства новых смартфонов Apple. Оказалось, что iPhone 15 Pro Max является самым дорогим смартфоном за всю историю Apple.  Новый флагман Apple обходится в 558 долларов, что на 12% больше, чем iPhone 14 Pro Max год назад....

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Ученые нашли эффективный метод изменения ДНК бактерий с помощью радиоволн Исследователи из Австралии и США разработали новый способ эффективного изменения ДНК бактерий, который используется для производства многих важных медикаментов, включая инсулин.

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Как применять метод PCA для уменьшения размерности данных Одной из ключевых задач при работе с данными является уменьшение размерности данных, чтобы улучшить их интерпретируемость, ускорить алгоритмы обучения машин и, в конечном итоге, повысить качество решений. Сегодня мы поговорим о методе, который считается одним из наиболее мощ...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Samsung подала заявку на регистрацию нового товарного знака под названием "Ironflex" Неизвестно, что будет включать в себя данный товарный знак, но абсолютно точно понятно, что Samsung уделяет внимание повышению долговечности своих следующих складных устройств

VK подводит итоги стипендиальной программы Обладателями подписки в 2023–2024 учебном году стали 273 студента бакалавриата и специалитета. Всего было подано порядка 4800 заявок от студентов из более чем 300 вузов в 160 городах России. Общий бюджет стипендиальной программы составил 45 млн рублей.

3 скринкаста о связывании данных (DataBinding) в Silverlight 2 Представляю Вам 3 скринкаста о связывании данных (DataBinding) в Silverlight 2. Выпуск №14: Связывание данных. Часть 1. Выпуск №15: Связывание данных. Часть 2. List Binding. Выпуск №16: Связывание данных. Часть 3. В данной серии скринкастов показаны основы связывания...

Вы не знали, а у панд есть собственный «Вконтакте» Срочные новости из китайского заповедника Волун: ученые раскрыли неожиданную социальную жизнь гигантских панд, похожую на социальную сеть. Исследователи из Мичиганского государственного университета обнаружили, что панды, которые долгое время считались одиночками, общаются с...

Новый метод микроскопии поможет изучить «злейшего врага» квантовых битов Исследователи НИТУ МИСИС разработали более точную методику микроволновой микроскопии, перспективную для изучения структуры и состава полупроводников, магнетиков и органических материалов, например структуры молекул ДНК.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

В ТГУ готовят площадку для 3D-печати индивидуальных эндопротезов Ученые Томского государственного университета отрабатывают аддитивное производство медицинских имплантатов из никелида титана с помощью двух методов — селективного лазерного спекания и прямого лазерного выращивания.

Cerabyte: технология оптического хранения считается очень надежной и прослужит 5000 лет С помощью Cerabyte немецкая компания хочет произвести революцию на рынке долгосрочного хранения данных. Для этого используются стеклянные пленки с керамическим покрытием, на которые наносится лазерная надпись и которые считаются нечувствительными к процессам старения и возде...

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Российские врачи успешно закрыли дефекты черепа с помощью 3D-моделирования В Минздраве Кировской области сообщили, что нейрохирургии областной клинической больницы достигли нового успеха в лечении пациента, применив технологию 3D-моделирования для решения сложных дефектов черепа. Этот инновационный метод впервые был использован в их практике, пишет...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

В России освоили и ввели в производство критическую технологию для газовых турбин Александр Конюхов, генеральный директор АО «Силовые машины»: «Открытие производства литых лопаток газовых турбин — это знаковое событие для «Силовых машин» и всей отрасли. Новое производство основано на принципе 100% импортозамещения: наши специалисты разработали и внедрили ...

На FINOPOLIS-2023 «Ростелеком» и Альфа-Банк выпустили цифровые финансовые активы для широкого круга инвесторов В рамках форума финансовых инновационных технологий FINOPOLIS состоится выпуск цифровых финансовых активов для широкого круга корпоративных и частных инвесторов в режиме онлайн. 8 ноября 2023 года Альфа-Банк организует сбор заявок для выпуска ЦФА «Ростелекома» объемом 1 млрд...

Генетически модифицированная корова производит молоко с инсулином Инсулин жизненно важен для больных диабетом. Вещество изготавливается с помощью дрожжей и бактерий. Теперь исследователи пробуют новый метод производства препарата.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Starlink захотел «перехватить» диапазоны частот, пока их не заняли конкуренты SpaceX, возглавляемая Илоном Маском, подала в Международный союз электросвязи (МСЭ) заявку на создание огромного количества спутников W-диапазона – 29 988 штук. Эта загадочная заявка, первоначально приписываемая Королевству Тонга, вызвала вопросы о намерениях компании.

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Прокачиваем вёрстку ARIA-атрибутами. Атрибут role В разговорах людей, интересующихся доступностью, часто можно услышать слово «Роль». Так, что это такое? Это специальная форма представления элемента для скринридера. Устанавливается она с помощью атрибута role. Сегодня поговорим о нем. Я не буду описывать всё. Атрибут слишк...

Tesla выпустит Cyberhammer. Что это такое? Компания Tesla готовится выпустить фитнес-молот для тренировок в стиле Cybertruck под названием Cyberhammer. С тех пор, как компания представила Cybertruck, Tesla регулярно выпускает мерч, вдохновленный его дизайном. Electrek обнаружил новую заявку на товарный знак от T...

Как подать заявление в загс: инструкция для тех, кто решил пожениться Сам процесс довольно простой. Гораздо сложнее поймать день, на который вы хотите назначить церемонию.

В США похвалили регулятор за улучшение знаний о криптовалютах Известный в США инвестор и идеолог биткоина Кэти Вуд, глава компании ARK Invest, подавшей заявку на одобрение спортового ETF, высказала мнение, что госрегулятор, Комиссия по ценным бумагам и биржам США (SEC), улучшила свои знания в сфере криптовалют.

Кружковое движение НТИ запускает третий Всероссийский конкурс проектов с открытым кодом Участниками могут стать школьники и студенты вузов и колледжей, которые разрабатывают программное обеспечение с открытым кодом или дорабатывают уже существующие проекты. Подать заявку можно на сайте конкурса до 1 марта 2024 года.

[Перевод] На крупнейшую медицинскую страховую компанию США подали иск из-за использования ИИ с 90%-ным процентом ошибок UnitedHealthcare, крупнейшая медицинская страховая компания в США, предположительно использует глубоко ошибочный алгоритм искусственного интеллекта для того, чтобы отменять решения врачей и неправомерно отказывать пожилым пациентам в важнейших медицинских услугах. Это привод...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Стоматолог перечислил лучшие и худшие методы отбеливания зубов Доктор Майкл Вей рассказал, какие методы отбеливания зубов считаются безопасными, а от каких лучше отказаться.

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Немецкий концерн Rheinmetall и Литва договариваются о производстве 155-мм артиллерийских снарядов Литва намерена подписать соглашения с компанией Rheinmetall, считающейся одним из крупнейших производителей военной техники и вооружения в Германии и Европе, о создании на ее территории завода по производству 155-мм артиллерийских боеприпасов.

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Украина начала массовое производство беспилотника Backfire K1 собственной разработки 5 апреля 2024 года в социальных сетях было сделано заявление о запуске серийного производства инновационного беспилотного дрона-бомбардировщика Backfire K1, разработанного внутри страны.

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Ученые ORNL работают над методами борьбы с остаточными напряжениями при 3D-печати Исследователи из Ок-Риджской национальной лаборатории Министерства энергетики США изучают способы предотвращения образования трещин при аддитивном производстве методом электродугового наплавления металлической проволоки (WAAM).

Ученые создали пластик из искусственных бактерий Исследователи из Университета Кобе разработали принципиально новый метод производства высококачественного, экологически чистого пластика с помощью искусственных бактерий.

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Российский мобильный оператор Tele2 подал в Роспатент пять заявок на новый логотип Команда российского оператора Tele2 сообщила о планах провести ребрендинг до конца 2024 года. Как отметили в пресс-службе, компания уже разработала проект, сохраняющий преемственность с текущим брендом.

Ученые «взломали ДНК» для разработки метода получения 3D-наноструктур Исследователи из Брукхейвенской национальной лаборатории Министерства энергетики США, Колумбийского университета и Университета Стоуни-Брук разработали универсальную методику создания разнообразных металлических и полупроводниковых 3D-наноструктур.

Ученые нашли «переключатель» нападения иммунной системы человека на хозяина Исследователи обнаружили важнейший механизм, с помощью которого клетки не позволяют иммунной системе атаковать здоровые ткани. Это открытие, опубликованное в журнале Nature, проливает свет на сложную регуляцию иммунного ответа и открывает перспективы для разработки новых мет...

Как введение Security Buddy повысило на 25% киберграмотность пользователей Всем привет! Меня зовут Джамил Меджидов, и я лидирую внутреннее направление Security Awareness в МТС RED. Что бы вы сказали, если бы компании удалось снизить вероятность возникновения киберинцидентов на 70%? Мы постоянно работаем над тем, чтобы минимизировать хакерам векторы...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Подушки безопасности, электронные блоки управления, рулевое колесо и ремни безопасности. В России откроют новое производство Российская группа «Соллерс» готовится к самостоятельному производству компонентов для системы пассивной безопасности автомобилей, как сообщает РБК, ссылаясь на источник в компании. По данным собеседника издания, проект будет реализован в сотрудничестве с кит...

Российские ученые разработали метод для работы с алмазом в микроэлектронике Группа ученых из Санкт-Петербурга представила методику использования алмазов как полупроводников в микроэлектронике. Этот метод позволяет создавать компоненты, способные работать в критических условиях, включая космос.

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

General Motors увела у Tesla «гигалитейного» партнера Корпорация General Motors выкупила мичиганскую компанию Tooling & Equipment International (TEI), сыгравшую ключевую роль в совершенствовании методов крупноформатного литья под давлением, применяемых компанией Tesla. TEI полагается на аддитивное производство литейной оснастки...

Как мы тестируем серверы Привет! Меня зовут Олег Рябов, я главный эксперт Управления исследований и разработок новых решений компании «Ростелеком-ЦОД» и автор программы и методики испытаний (ПМИ) серверов. В этой статье расскажу, как мы проводим тестирование серверов и какие утилиты и методы использ...

Новый товарный знак Bethesda намекает на анонс следующей части Doom Франшиза Doom может получить новое продолжение, на это намекает заявка на регистрацию товарного знака под названием IDKFA, которая была подана ZeniMax Media.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Nissan начнет массовое производство электромобилей с новыми батареями Nissan планирует начать массовое производство электромобилей на основе новых батарей к началу 2029 года. Компания видит шанс догнать и возможно опередить конкурентов с помощью более мощных, дешевых, безопасных и быстрых в зарядке батарей нового поколения.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

«Северсталь» начала производство нержавеющих порошков для промышленности На Череповецком металлургическом комбинате «Северсталь» запустила первую в России установку по производству водораспыленных нержавеющих и низколегированных порошков для изготовления деталей для промышленности методом прессования.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Ирак начинает массовое лицензионное производство китайского БТР Norinco VN22 6×6 Комиссия оборонной промышленности Ирака (DICI) недавно объявила о начале местного производства бронемашин Norinco VN22 6x6, чтобы укрепить обороноспособность своей страны.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

TSMC считает High-NA EUV слишком дорогим удовольствием, но присматривается к соответствующему оборудованию Выпускать чипы по технологии A16 планируется на имеющемся.

Глава «Ростеха» пояснил, почему Т-14 «Армата» не будет сражаться в СВО рядом с Т-90М «Прорыв» Чемезов отмечает, что танк слишком дорогой

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

ИИ изучил сетчатки глаз в 100 раз быстрее по сравнению с ручным методом Ученые из Национального института здоровья США (NIH) использовали искусственный интеллект для усовершенствования методики получения изображений клеток глаза с высоким разрешением, что позволило ускорить процесс визуализации в 100 раз и повысить контрастность изображений в 3,...

Коммивояжер на GPU Мы уже решали задачу коммивояжёра точно методом динамического программирования. С тех пор прошло немало времени. Мне бы хотелось поделиться некоторыми соображениями по улучшению алгоритма, а также представить алгоритм пригодный для расчёта задачи коммивояжера на GPU.Динамиче...

Reddit устремляется на Уолл-стрит: крупнейший интернет-форум подал заявку на IPO Приготовьтесь к появлению «RDDT» на биржах, потому что Reddit официально подал заявку на первичное публичное размещение акций (IPO) в Комиссию по ценным бумагам и биржам США. Это значительный шаг для крупнейшего интернет-форума, который сделает его первым гигантом социальных...

Эмоциональное восприятие шрифтов. Метод семантического дифференциала Я занимаюсь в Иви UX-исследованиями. Однажды ко мне пришел директор по дизайну с запросом: “Разрабатываем новый шрифт для внешних коммуникаций. Хотим, чтобы вызывал у людей эмоции, соответствующие новой платформе бренда. Сделали несколько вариантов. Помоги понять, действител...

Методы оптимизации в машинном и глубоком обучении. От простого к сложному В данной статье представлен обзор различных популярных (и не только) оптимизаторов, которые применяются в машинном и глубоком обучении, в частности для обучения нейронных сетей. Мы рассмотрим их основную идею и ключевые особенности, переходя от простых к более сложным концеп...

SpaceX начала собирать заявки желающих полететь на Марс и МКС Компания SpaceX начала прием заявок от всех желающих испытать себя в роли космического туриста.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Wuthering Waves: Подай заявку на участие в бета-тесте Wuthering Waves — ролевая игра с открытым миром, которая предложит геймплей наподобие Genshin Impact, только в более мрачным сеттингом и упором на проработку битв, как в Punishing Gray Raven. Для Wuthering Waves пройдёт ЗБТ в Китае. Чтобы поучаствовать в нём надо заполнить...

В Свердловской области построят завод по производству микропроцессоров На Урале планируется возведение нового завода компании «Мультиклет» для производства мощных микропроцессоров RISC-V, что поможет удовлетворить потребности предприятий в сфере микроэлектроники. Этот проект считается важным для достижения технологического суверенитета России.

В продаже в России появились новые Volkswagen Multivan, Caravelle, Transporter и Crafter. Крупный дилер привез более 100 машин, все они — немецкого производства Крупный дилер привез в Россию большую партию микроавтобусов и фургонов Volkswagen — моделей Multivan, Transporter и Crafter. Как сообщает Quto.ru, доставлено более 100 автомобилей, большинство из них 2023 года выпуска и абсолютно все — из Германии. Изображе...

[Перевод] Вероятно, вы неправильно используете метод __init__ в Python Автор статьи обращает внимание на проблему, которая заключается в использовании метода __init__ для сложной логики создания объектов, что приводит к разрастанию и усложнению кода. Статья предлагает использовать вспомогательные методы, чтобы разделить логику создания объектов...

Глава NVIDIA признался, что не стал бы основывать компанию, если бы ему сейчас было тридцать лет Слишком сложная это работа.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

В 2028 году появятся самозаживляющиеся экраны Сегодня зарубежные СМИ рассказали о достаточно интересной технологии самозаживляющегося дисплея, которая по прогнозам специалистов будет доступна для массового производства уже в 2028 году. Суть данной технологии в том, что плёнка, которая накладывается поверх дисплея, созда...

Mercedes-Maybach нового класса Sport Utility Sedan (SUS) — смесь седана и кроссовера — отменили через шесть лет после анонса Представленный в 2018 году Vision Mercedes-Maybach Ultimate Luxury не пойдет в серию, как сообщает немецкая деловая газета Handelsblatt. Производитель автомобилей класса люкс отказался от массового производства странной смеси седана и кроссовера. Идея заключалась в том,...

Свыше 140 тысяч школьников и студентов стали участниками Национальной технологической олимпиады в 2023-2024 учебном году Заявки на участие в девятом сезоне самых масштабных в России командных инженерных соревнованиях подали более 141 тысячи школьников и студентов из всех регионов страны и семи зарубежных государств — Азербайджана, Белоруссии, Казахстана, Киргизии, Молдовы, Узбекистана и Черног...

В России продают самый дорогой «Гелендваген» В России появилось объявление о продаже редкого пикапа Mercedes-Benz G 63 AMG от Brabus. Этот внедорожник, названный моделью B700, был представлен 10 лет назад. Конкретный автомобиль был собран в 2013 году, он проехал всего 1340 километров, и в связи с этой редкостью и ...

Шпаргалка для алгособеса — алгоритмическая сложность, структуры данных, методы сортировки и Дейкстра Привет, Хабр!Так уж повелось, что любой уважающий себя работодатель перенимает передовые методики FAANG — по этой причине практически во всех IT-собесах есть она: секция алгоритмов. Кто-то ей рад, кто-то не очень, но секция есть и уходить пока не планирует. Поэтому нужно зак...

Microsoft, Google и Adobe столкнулись с убытками от своих ИИ технологий Оказалось, что такие техногиганты, как Microsoft, Google, Adobe и Zoom не зарабатывают на своих продуктах с ИИ, а накапливают убытки. Причина — слишком дорогое обслуживание такой инфраструктуры.

Яндекс запускает тестирование новой «Алисы» с расширенными возможностями – можно подать заявку на участие Команда Яндекса открыла приём заявок на бета-тестирование нового поколения своего цифрового помощника - «Алисы». Для участия в тестировании потребуется платная подписка «Яндекс Плюс».  Сгенерировано нейросетью Midjourney Как отмечают разраб...

ИИ поможет в производстве стволовых клеток для регенеративной медицины Исследователи из Северо-Восточного университета продемонстрировали, как искусственный интеллект (ИИ) может использоваться для массового производства плюрипотентных стволовых клеток, что может быть использовано в лечении рака, болезни Альцгеймера или Паркинсона, а также в рег...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Ученые научились перерабатывать «неуязвимые» виды пластика Группа британских ученых представила революционный метод, который приближает нас к переработке различных сложных пластиков, включая резины, гели и клеи. Инновационная методика позволяет вводить разлагаемые связи в термореактивные полимеры — традиционно трудно перерабатываемы...

LIME и SHAP Модели МО часто сравнивают с "черными ящиками" из-за их неспособности ясно объяснить свои решения. Проблема "черного ящика" возникает, когда внутренняя работа модели настолько сложна или неясна, что даже ее создатели не могут точно понять, как был получен тот или иной резуль...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Немного о сварочных аппаратах в быту обычного человека и зачем оно надо Картинка Vwalakte, Freepik Сварка является одним из уникальных способов соединения металлических деталей. В мировых масштабах объём сварочных работ и получение с их помощью производных изделий растёт быстрее, чем увеличивается производство металла на заводах. Маленький сп...

В России продадут десятки машин по ценам гораздо ниже рыночных. Это автомобили должников В России доступны десятки автомобилей по ценам ниже общего рыночного уровня. В Башкирии запланирован еще один аукцион, на котором будут выставлены на продажу автомобили должников. Заявки на участие в аукционе принимаются до 26 февраля 2024 года через электронную пл...

Развивая технологию F5G, Huawei запускает три корпоративные оптические сети На саммите «Эволюция F5G, повсеместное внедрение интеллекта», проведенном в рамках конференции HUAWEI CONNECT 2023, компания Huawei поделилась своими методами применения технологии F5G с глобальными клиентами и партнерами в различных отраслях, от электроэнергетики до образов...

Россия готовится запустить в серийное производство ракету "Буревестник" с ядерным двигателем После успешных испытаний Россия готовится к массовому производству межконтинентальной ракеты "Буревестник" с ядерной энергетической установкой, обладающей практически неограниченной дальностью полета.

Готовимся прощаться с RTX 4070 Ti и RTX 4080, но не с RTX 4070. Последняя будет существовать вместе с RTX 4070 Super Как мы недавно сообщали, массовое производство видеокарт RTX 4070 Ti и RTX 4080 уже завершено, а запасы иссякнут до конца года. При этом модель RTX 4070, как сообщается, никуда с рынка не денется.  RTX 4070 будет младшим решением в линейке, для которого выйдет вер...

Официальный анонс Sonic Rumble на iOS и Android с матчами на 32 человека Издатель SEGA представил официальный трейлер для мобильной игры Sonic Rumble. В ней показан геймплей, который похож на Fall Guys — 32 игрока соревнуются друг с другом на коротких уровнях, только вместо безымянных аватаров представлены персонажи из Sonic the Hedgehog. Тестир...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

SK hynix утверждает, что первой начала массовый выпуск памяти типа HBM3E И уже в этом месяце начнёт отгружать её клиенту.

Xiaomi патентует «Гиперразум». Он будет подключен ко всем устройствам компании под управлением HyperOS Xiaomi подала в патентное ведомство Китая заявку на регистрацию товарного знака HyperMind («Гиперразум»). Предполагается, что такое название получит большой аналитический центр для всех устройств под управлением HyperOS. «Гиперразум» будет...

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

Data Award 2024 ищет новых героев Открылся прием заявок на получение премии для data-driven-организаций и директоров по данным. Заявки на участие в Data Award 2024 принимаются с 2 октября 2023 года до 1 марта 2024 года.

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

NYT: Соцсеть Маска находится в более сложном положении из-за его высказываний, чем считалось ранее Отчеты, просмотренные изданием NYT, показывают, что соцсеть X может потерять до 75 млн долларов из-за ухода крупных рекламодателей

[Перевод] Выпуск новостей ReactOS №104 Здравствуйте, дорогие друзья! Многие кто давно следит за проектом, наверное помнит что на официальном сайте ReactOS выходили выпуски новостей. Потом ~где-то после 2013 года их выпуск прекратился, а все переводы после переезда сайта на новый движок были удалены.Но, весь архив...

В России запустили производство лазерных станков для печатных плат Московская компания начала выпуск лазеров для производства печатных плат и полупроводников

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Глава «Ростех» Чемезов: «Армата» не будет отправлена на СВО, потому что это слишком дорого Дорогое удовольствие иметь небоевой танк в своем составе

Процессор Intel Lunar Lake будет доступен в конце 2024 года, но в ограниченном количестве Процессор Intel Lunar Lake будет доступен в конце 2024 года в ограниченном количестве, а его массовый выпуск запланирован на 2025 год

Samsung начнёт массовый выпуск продукции на новом предприятии в Техасе не ранее 2025 года Новогодняя переносица.

В России может начаться массовый выпуск наземных штурмовых роботов Дело нужное.

Ученые поставили на ноги парализованного мужчину при помощи нового метода лечения Прорыв в медицине: пациент, парализованный 7 лет назад, снова может ходить благодаря новому методу лечения стволовыми клетками.

Современные Hi-Tech методы реабилитации после инсульта. Часть 2 — новые методики восстановления Это вторая часть обзора о том, как выйти из инсульта с минимальными потерями. Первая часть была о том, как распознать инсульт, что делать, куда бежать и что дает нейровизуализация инсульта для определения объема реабилитационных мероприятий. Эта «Часть 2» — про хай-тек метод...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

«Росатом» будет создавать детали для АЭС с помощью 3D-печати По словам главы входящей в структуру «Росатома» компании «РусАТ» Ильи Кавелашвили, в следующем году некоторые элементы и детали для АЭС будут изготавливаться с помощью 3D-печати. При этом тестовая печать уже идет — в рамках НИОКР запущено опытное производство корпусов насосо...

5 убеждений и привычек, которые делают вашу жизнь слишком сложной Ищите преимущества в любых переменах и не становитесь героем чужой драмы.

Wuthering Waves: Стала известна дата открытия тестовых серверов В январе начался приём заявок на ЗБТ Wuthering Waves. Тогда было известно, что поучаствовать смогут минимум мобильные геймеры; теперь известно, что к ним присоединятся ПК-геймеры. Также стала известна точная дата открытия серверов — 19 февраля в 5 утра по МСК. Даты закрыти...

Как мы решали задачу оптимизации доставки грузов с использованием численных методов на примере метода имитации отжига В статье хотим поделиться своим опытом реализации алгоритма решения задачи маршрутизации на основе метода имитации отжига в Norbit CDS – умной системе управления доставкой. Проанализировав материалы, можно обнаружить различные предлагаемые способы решения VRP-задач (Veh...

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

CRTP в C++ Привет, Хабр!CRTP — это метод в C++, при котором класс наследуется от шаблона класса, используя себя в качестве параметра шаблона. Это выглядит примерно так: класс X наследуется от класса-шаблона Y<X>. Этот паттерн позволяет базовому классу напрямую обращаться к метода...

Reuters: конгресс США одобрил помощь Украине слишком поздно Затягивание с утверждением законопроекта о военной помощи Украине отрицательным образом сказывается на возможностях ВСУ

Новый логотип АвтоВАЗа — X — напоминает лого Xpeng. Какие машины будут выпускать под новым брендом, рассказал главный редактор «За рулем» Максим Кадаков Главный редактор «За рулем» Максим Кадаков рассказал о том, какие автомобили будут выпускать на заводе АвтоВАЗ под новым брендом X, изображение которого мы публиковали вчера. Он также опубликовал еще один (плоский) вариант логотипа. Это изображения из базы ...

Роверы Яндекса оказались слишком дорогими почтальонами Итоги двухлетнего эксперимента использования роботов-доставщиков Яндекса в Почте России оказались предсказуемы.

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0 на архитектуре RISC-V InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0, который работает на архитектуре RISC-V

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

The Times: Наступление российских войск на сам Харьков - маловероятно По мнению аналитиков, это слишком сложная задача, учитывая что Москва не проводит мобилизационных мероприятий

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Представлен уникальный снегоход «Резвый». Создатели уверяют, что аналогов нет, а собирать его будут на 100% из российских автокомпонентов В России создан электрический гусеничный снегоход «Резвый», который предназначен для использования в экстремальных условиях. Разработчики утверждают, что аналогов в России сегодня нет, а собирать его будут полностью из российских автокомпонентов. Снегоход ра...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Прогноз Matrixport об отклонении заявок на ETF вызвал отвал цены биткоина ниже $40 000 Платформа криптовалютных финансовых услуг Matrixport обнародовала отчет, в котором утверждается, что Комиссия по ценным бумагам и биржам США (SEC) отклонит заявки на спотовый биткоин-ETF

Парламент Турции одобрил заявку Швеции на членство в НАТО Во вторник турецкий парламент проголосовал за одобрение заявки Швеции на членство в НАТО, что приблизило северную страну на один шаг к вступлению в военный альянс после нескольких месяцев задержек.

Российские ученые предложили метод снижения массы космических аппаратов В пресс-службе Новосибирского государственного технического университета сообщили, что ученые вуза представили новую методику оценки надежности композитных конструкций для космических аппаратов. Этот подход позволит уменьшить массу космических аппаратов без ущерба для их про...

Для SMIC выпуск 5-нм продукции будет дорогим удовольствием Но у Китая, похоже, нет другого выхода.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

АвтоВАЗ: серийное производство Lada e-Largus стартует летом, а с 15 мая начнут собирать бензиновые машины Президент АвтоВАЗа Максим Соколов заявил, что серийное производство электромобиля e-Largus на заводе в Ижевске стартует летом этого года. Перед этим, уже с 15 мая, стартует массовое производство бензиновых Lada Largus, о чем пишет главный редактор «За рулем»...

Самая дорогая Lada Vesta с вариатором отправилась к дилерам. АвтоВАЗ возобновил поставки Vesta SW Cross спустя практически полгода с момента приостановки производства машин На этой неделе инсайдеры паблика Avtograd News сообщили о возобновлении производства Lada Vesta в кузове универсал, а сейчас опубликованы фото таких машины на автовозе — Vesta SW отправляется к дилерам. Конкретно на фото представлены Vesta SW Cross. Изображение: ...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

В ПНИПУ исследовали 3D-печать графеном Исследователи из Пермского национального исследовательского политехнического университета провели опыты по 3D-печати изделий из графена. Методика может найти применение в аддитивном производстве крупных деталей.

WhatsApp получил новое обновление для голосовой связи Хорошие новости для участников групповых бесед: теперь существует новый, менее сложный способ участия в массовых беседах

RTX 4090 подорожала на 16,9% за месяц - видеокарта продается в Европе дороже рекомендованной цены RTX 4090 показала отличную производительность, но потребляет слишком много электроэнергии и дорого стоит.

ASML повысила цены на литографы на 80%, сделав их слишком дорогими даже для тайваньской TSMC Полупроводниковый гигант отказался закупать сканеры High-NA EUV по такой высокой стоимости

Джордж Мартин работает сразу над тремя мультсериалами по вселенной «Игры престолов» Игровой сериал «Девять путешествий» сделают анимационным из-за слишком дорогих сцен на море.

Такой шильдик будет на новых «Волгах». Появилось первое изображение Появилось изображение логотипа, который должен присутствовать на новых автомобилях «Волгах», а точнее Volga — да, он выполнен латиницей, как и соответствующий товарный знак. А вот и фирменный логотип для «новой Волги». Графическое решение &...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Sony приостановила выпуск гарнитуры виртуальной реальности PSVR2 из-за низкого спроса AR и VR технологии пока так и не стали массовыми

InnoGrit представила первый китайский PCI-E 5.0 SSD-контроллер Он уже поступил в массовое производство

Intel запустила массовое производство по технологии Intel 4 в Ирландии Впервые в истории компании.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

От 40 до 75 млн рублей. Названы самые дорогие советские автомобили, которые продаются в России Рейтинг самых дорогих советских автомобилей опубликовало профильное издание 110km.ru. На первом месте эксклюзивный лимузин ГАЗ 13 «Чайка», который стоит 75 млн рублей. Фактически это рестомод, который построили на базе советского автомобиля образца 1959&mdas...

Apple откладывает выпуск новых Apple Watch Ultra из-за сложностей с поставками Apple объявила о задержке выпуска Apple Watch Ultra с микроLED-дисплеем из-за высоких затрат на производство и сложностей в цепочке поставок

«Билайн» выявил схему мошенников, которые обманом получают доступ к мобильным банкам и «Госулугам» «Билайн» рассказал о новой схеме телефонного мошенничества, при помощи которой злоумышленники получают доступ к номерам абонентов и дальнейшего входа в банковские приложения, «Госулуги» и другие сервисы. Мошенники звонят жертвам под видом предста...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Roco Kingdom Mobile — игра от Tencent наподобие Покемонов Начиная с 22 января 2024 года, для игры Roco Kingdom Mobile пройдёт 7-дневный тест в Китае. При этом поиграть можно будет только офлайн, в присутствии разработчиков. Вероятно, такая таинственность окружает проект из-за того, что он будет китайской альтернативой Pokemon с доб...

Сбербанк уже выпускает собственные серверы Сбербанк начал заниматься разработкой и производством собственных серверов, о чем сообщили «Ведомостям» три источника из компаний-производителей вычислительной техники, а также подтвердил представитель банка. Это оборудование используется для внутренних целе...

Физики из CERN ищут способ доказать существование частиц-призраков Новый эксперимент SHiP, позволяет обнаружить неуловимые частицы-призраки. Это может помочь в раскрытии тайн Вселенной и считается более чувствительным методом по сравнению с БАК.

[Перевод] Использование фреймворков модульного тестирования для выявления уязвимостей Формулировка проблемы Поиск уязвимостей — сложный процесс, а еще сложнее пользоваться уязвимостями на нескольких целях в разных конфигурациях. Именно по этой причине существует множество фреймворков, таких как Metasploit, и различных сканеров уязвимостей, таких как nuclei....

Бывший глава Tesla осудил компанию за отказ от идеи выпуска массового электромобиля И призвал не увлекаться «технологиями ради технологий».

Легендарный самолет-разведчик U-2 снова в деле 8 самолетов U-2 Dragon Ladies оказались на взлетно-посадочной полосе во время маневра «Слоновья прогулка». Легендарный самолет-разведчик считается одним из самых сложных в управлении.

SMIC и Huawei готовятся к массовому производству 5-нм чипов Технологическая гонка: Китай стремится завоевать лидерство в микроэлектронике.

NVIDIA, Microsoft и другие инвестировали $675 млн в разработчика роботов-гуманоидов Figure AI Стартап, основанный в 2021 году бывшими инженерами Google, нацелен на создание роботов, которые смогут выполнять задачи, слишком опасные, утомительные или сложные для человека.

Компания Lumafield сравнила кабели разного ценового диапазона: Кабель Apple USB-C слишком дорогой Кабели Apple USB-C отличаются высоким качеством.

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Инсайдеры объявили апрель месяцем возрождения Lada Granta: возобновится производство машин с «автоматом» и универсалов Инсайдерский паблик Avtograd News сообщил о том, что сегодня на вазовском конвейере собрали первый после долгого перерыва универсал Lada Granta — Lada Granta Cross. «Скоро пойдут в более существенном количестве. Апрель будет месяц возрождения на линии Granta...

Представлены Honor 100 и 100 Pro в оригинальном дизайне по ... Неплохие и не слишком дорогие смартфоны со спорным дизайном и чипом для улучшения мобильной связи.

Представлены Honor 100 и 100 Pro в оригинальном дизайне по ... Неплохие и не слишком дорогие смартфоны со спорным дизайном и чипом для улучшения мобильной связи.

Ученые достигли "революции" в производстве солнечных батарей Солнечная энергетика стоит на пороге прорыва. Исследователи разработали новый метод производства солнечных батарей с использованием перовскита — материала нового поколения, который может значительно превзойти традиционные кремниевые панели.

Открыт сверхчувствительный метод обнаружения частиц света, и почему это важно Новаторская методика обнаружения фотонов, разработанная исследователем Дебашисом Чанда из Университета Центральной Флориды, обещает изменить технологии в различных областях. В отличие от традиционных методов, основанных на модуляции напряжения или тока, инновация Чанды заклю...

Неудачный ремонт ноутбука Acer V3-572: диагностируем неисправность с помощью тока и тепловизора Привет, Хабр! Обычно я рассказываю, как легко и просто ремонтирую какие-либо устройства. Конечно, за этим процессом может скрываться несколько часов изучения платы: как под микроскопом, так и по схеме. Но чаще всего заканчивается хорошо — девайсы начинают работать, а мне ра...

Авторы Genshin Impact подали в суд на создателей правок Издатель Genshin и Honkai: Star Rail подал иск в Федеральный суд Канады на авторов, которые создали программы Akebi GC, Acrepi и Genshin XYZ

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Подробности последнего бета-теста Tarisland перед релизом Разработчики Tarisland сообщили, что 18 марта начнётся финальное тестирование игры. Предварительно, бета-тест пройдёт в Китае на iOS, Android и PC. Заявку на участие можно подать через китайский маркет 3839. Предварительная загрузка клиента игры начнётся 16 марта. Отмечу, чт...

МТС успешно закрыла книгу заявок на размещение второго выпуска биржевых облигаций с плавающей ставкой ПАО «МТС» объявляет о закрытии книги заявок на размещение пятилетних биржевых облигаций серии 002Р-02 объемом 13,5 млрд рублей с плавающей ставкой купона RUONIA + 1.25% годовых.

Яндекс готовится к массовому выпуску роботов-курьеров Роботы занимают всё больше рабочих мест, которые ранее оставались за человеком

TSMC начнёт выпуск тестовых 4-нм чипов на новом предприятии в Аризоне в этом месяце Массовое будет развёрнуто к первой половине следующего года.

Интервью с кристаллографом, химиком, материаловедом Артёмом Огановым о деталях премии «Вызов» Информационная служба Хабра в конце ноября 2023 года побывала на пресс‑конференции, посвящённой премии в области будущих технологий «Вызов». Напомню, премия была учреждена в июле 2023 года на Форуме будущих технологий, проходившем в&nb...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

LG Display представила монитор с переключателем разрешения Компания LG Display, ведущий производитель дисплеев в мире, сегодня официально объявил о создании первой в мире игровой OLED-панели с возможностью переключения частоты обновления и разрешения. Более того, если верить пресс-релизу, компания уже начала массовое производство 31...

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

NVIDIA могла прекратить массовое производство RTX 4080 и 4070Ti, сосредоточившись на SUPER вариантах Слухи об этом доносятся с китайских форумов.

Индия старается перетянуть на себя часть именитых производителей ноутбуков и компьютерного железа Страна объявила об одобрении заявок 27 производителей ПК в рамках новой схемы стимулирования производства

Министр обороны Франции заявил, что в стране закончился порох для выпуска снарядов для Украины Производство пороха расширяется, но первые поставки начнут оказывать влияние на выпуск боеприпасов только к середине 2025 года

В России закончились Lada Largus В наличии у российских дилеров не осталось автомобилей Lada Largus, о чем сообщает Wroom.ru. Стоит отметить, что массовое производство Lada Largus прекратилось более двух лет назад, при этом в августе прошлого года АвтоВАЗ выпустил последнюю партию, в которую вошли 650 ...

Нужно больше Lada Granta. АвтоВАЗ увеличит производство своей самой популярной модели АвтоВАЗ планирует увеличить производство своей самой массовой и доступной модели, Lada Granta, о чем сообщил инсайдерский паблик Avtograd News в соцсети «ВКонтакте». АВТОВАЗ планирует увеличить выпуск автомобилей LADA GRANTA в последний месяц 2023 года. Для ...

[Перевод] Астрономы зафиксировали столкновение нейтронной звезды с неизвестным объектом 29 мая 2023 года детектор LIGO Livingston наблюдал загадочный сигнал, названный GW230529. Он возник в результате слияния нейтронной звезды с неизвестным компактным объектом, скорее всего, необычайно лёгкой чёрной дырой. Имея массу, лишь в несколько раз превышающую массу наше...

РУСАЛ разработал жаропрочный алюминиевый сплав для 3D-печати Компания «РУСАЛ» завершила разработку порошкового сплава, предназначенного для производства изделий методом 3D-печати по технологии лазерного сплавления. Сплав с эксплуатационными температурами свыше 350°С может найти применение в производстве двигателей, оборудования для не...

Премьер-министр Франции Элизабет Борн подает в отставку Премьер-министр Франции Элизабет Борн подала в отставку, но останется исполнять обязанности до назначения преемника.

Министерство юстиции США подало антимонопольный иск против Apple Apple обвиняется в монополизме и подавлении конкуренции. Минюст США подал иск, компания намерена защищаться в суде.

Южная Африка подает дело о геноциде против Израиля в Международный суд Южная Африка подала иск в Международный суд ООН против Израиля, обвиняя его в геноциде во время конфликта с ХАМАС в Газе

В сеть просочились видео с поражением гражданского автомобиля танками ЦАХАЛ Местным средствам массовой информации всё сложнее транслировать происходящее в городе из-за блокировки сотовой связи и интернета

Шелкография в полиграфии: особенности и преимущества метода Шелкография, которую также называют трафаретной печатью, является одним из древнейших и в то же время наиболее востребованных методов печати. С его помощью создаются неповторимые и высококачественные изображения на самых разных материалах, в том числе текстиле, пластике, мет...

Военная хроника: ВС РФ нанесли критический урон элитной бригаде ВСУ "Магура" под Работино Методом "податливой обороны" её заманивали на самые сложные участки ЛБС, а затем контратаковали

Появились цены и график выпуска Moto Edge 50 Pro в ЕС Moto Edge 50 Pro выйдет в Европе гораздо дороже чем в Индии.

Android движется по пути RISC-V Экосистема Android движется по пути архитектуры RISC-V, которая в скором времени получит поддержку данной ОС. Компания Qualcomm объявила о выпуске первой массовой SoC для Andoid архитектуры RISC-V.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Samsung первой в мире начала массовое производство чипов V-NAND 9-го поколения емкостью 1 ТБ Samsung разработала самый маленький в мире размер ячейки.

Представлены флагманские AR-очки Meizu MYVU Discovery Meizu выпустила устройство, которое называет самыми легкими в массовом производстве AR-очками с полноцветным изображением.

Боевая машина "Тайфун-ПВО" готовится к массовому производству Разработка бронированного автомобиля "Тайфун-ПВО" завершена, и машина готова к серийному производству.

Samsung начинает массовое производство флэш-памяти V-NAND 9-го поколения Первые кристаллы имеют ёмкость 1 терабит.

OpenYard открыла производство российских серверов – 60 000 единиц в год с процессорами Ampere Массовое производство уже развёрнуто, а продукция доступна для заказа

Китай стремится запустить массовое производство роботов в 2025 году Роботы, вероятно, станут такими же обыденными и популярными, как компьютеры, смартфоны и электромобили

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

КамАЗ предлагает допустить подростков к работе на вредных и опасных производствах Руководство КамАЗа выступило с инициативой внести поправки в статью 265 Трудового кодекса РФ, которая запрещает привлекать несовершеннолетних к работам с вредными и опасными условиями труда. Автопроизводитель обеспокоен тем, что действующее законодательство не позволяет...

GAC будет собрать свои машины в Казахстане. Решение по сборке машины в России пока не принято Гендиректор GAC International Вэй Хайган в рамках конференции для российских и центральноазиатских СМИ сообщил о том, что компания запустит сборку автомобилей в Казахстане. Что касается сборки машин GAC в России, то на этот счет пока конкретных решений нет: в китайской ...

В США один из худших показателей сроков строительства промышленных объектов. Это влияет и на постройку заводов по выпуску полупроводников США уже несколько лет придерживаются стратегии постройки на своей территории новых фабрик по производству полупроводников и не только. Оказалось, при этом в США одни из самых долгих сроков строительства таких объектов.  создано DALL-E Исследователи CSET оценили пр...

Южная Корея наращивает производство авиадвигателей для истребителей Компания Hanwha Aerospace начала строительство нового завода по выпуску авиационных двигателей для истребителей KF-21 Boramae и учебных самолетов TA-50 в городе Чханвон. Инвестиции в проект составляют $30 млн, а мощность производства - 300 двигателей в год.

Zend_Db – объекты модели, связи и сложные условия 1. Модель данных, таблицы и связи Многие задавались вопросом, как правильно задать метод $articles->findAllByCategoryId($categoryId); или $category->findAllArticles(); Читать дальше →

В России создали технологию для улучшения бурильных труб В пресс-службе Саратовского государственного технического университета имени Гагарина Ю. А. (СГТУ) сообщили, что ученые вуза разработали методику моделирования цилиндрических панелей из пористого материала. Новая технология значительно улучшит качество материалов для произво...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Apple планирует сократить количество моделей iPad, в связи со слишком сложным ассортиментом Количество различных вариантов и модификаций сбивают столку даже самых преданных фанатов компании

Министерство Обороны Германии заявляет о слишком малом бюджете на следующий год для помощи Киеву По заявлению ведомства, в 2024 году Германия вряд ли сможет оказывать существенную помощь Украине

В России началось массовое производство авиабомб ФАБ-3000 Трёхтонная фугасная бомба — это вам не шутки-прибаутки с дронами за $500.

Массовое производство 2-нм чипов TSMC обещает начать в конце следующего года И тогда же победить дефицит чипов для систем искусственного интеллекта.

Tesla объявила дату первых поставок Cybertruck — 30 ноября 2023 года Компания планировала начать массовое производство модели ещё в 2021 году.

В Москве выпуск высокотехнологичной продукции вырос в 2,7 раза Производство высокотехнологичной продукции в Москве стремительно развивается, как сообщил заместитель мэра Москвы Максим Ликсутов. За первые три месяца текущего года объем выпуска компьютерной и оптической техники вырос почти в 2,7 раза по сравнению с прошлым годом.

В Wildberries запустили сервис онлайн-кредитования Команда крупнейшей российской торговой онлайн-площадки Wildberries сообщила о запуске сервиса онлайн-кредитования продавцов. Как рассказали в пресс-службе, процесс выдачи кредита будет проходить полностью онлайн. Продавцы смогут подать заявку из своего личного кабинета ...

Lada Iskra станет первой «Ладой», которой не грозит дефицит компонентов? АвтоВАЗ будет самостоятельно выпускать бамперы, панель приборов и другие детали из пластика для этой модели Несмотря на то, что выпуск Lada Iskra перенесен с 2024 года на 2025 год, Волжский автозавод ведет планомерную работу по этому проекту. Так, как сообщает паблик Avtograd News, ВАЗ уже получил пресс-формы для проекта Iskra, с помощью которых будет самостоятельно выпускать...

Правительство США выделило 285 миллионов долларов на создание "цифровых двойников" Администрация Байдена открыла прием заявок на финансирование в размере 285 миллионов долларов для разработки цифровых двойников в сфере производства микросхем.

VPN-провайдер HideMy.name подает в суд на Роскомнадзор, требуя отмены блокировки VPN-провайдер HideMy.name подал иск в суд против Роскомнадзора, требуя снять блокировку, которая была наложена на его сервис в России без предварительной регистрации в реестре запрещенных сайтов.

НАСА отказывается от нынешней миссии по возвращению образцов с Марса и будет разрабатывать ее с нуля В конечном итоге НАСА согласилось с мнением экспертов, которые утверждали, что миссия слишком дорогая и медленная.

Следующий шаг Илона Маска – запуск собственного университета в Техасе Илон Маск снова набирает обороты. На этот раз инсайдеры объявили о запуске им собственного университета в Остине, штат Техас, посвященного науке, технологиям, инженерии и математике (STEM). Налоговые декларации показывают, что благотворительная организация Маска, The Fo...

Apple дала официальный ответ на обвинения в монополии Apple официально отклонил обвинения в монополизации и антиконкурентных практиках, выдвинутые против нее в рамках антимонопольного иска, поданного Департаментом юстиции. Компания заявила, что не блокирует приложения и сервисы конкурентов, а также не применяет антиконкурентные...

В НГТУ НЭТИ тестируют метод повышения твердости титана с помощью аддитивных технологий Технология основана на формировании титаноматричных композитных материалов методом прямого лазерного выращивания и позволяет повышать микротвердость в два раза. Проект занял первое место в конкурсе исследовательских работ IX Международной научно-практической конференции «Чап...

Геймплейный трейлер и начало тех-теста ролевого экшена Duet Night Abyss Разработчики из Pan Studio вместе с издателем HERO GAMES закрыли приёма заявок на предстоящее техническое тестирование анимешного ролевого экшена Duet Night Abyss ещё 22 марта. С того момента все участники, кто подал заявку на официальном сайте игры, уже получили приглашения...

Раскрыта самая дорогая порода кур Вы вряд ли даже слышали об этой породе кур, но тем не менее она считается самой дорогой. К примеру, на острове Ява, где таких куриц держат, один цыплёнок может стоить 200 долларов, а в США — 2500 долларов и больше.

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Apple сокращает производство своей самой дорогой «безделушки» Очки никому не ннннада?

Ремонт одной детали в смартфоне Huawei Mate 60 RS стоит дороже iPhone 15 Современные смартфоны становятся всё более сложными и дорогими, и Huawei Mate 60 RS не исключение.

Начался массовый выпуск Lada Granta в новом цвете АвтоВАЗ победил европейское оборудование, которое не хотело дружить с китайскими эмалями: завод наладил массовый выпуск Lada Granta, окрашенных в цвет «Борнео» при помощи китайской металлизированной эмали. «Сегодня, 29 ноября, с конвейера ВАЗа сошло 9...

Как Data Science помогает разрабатывать лекарства На протяжении более чем десяти лет я профессионально занимаюсь анализом медицинских данных и участвую в разработке инновационных препаратов. Не скрою, меня приятно удивляет, что в данный момент наука о данных (Data Science) привлекает все больше внимания и захватывает умы ма...

Безлитиевые натриевые аккумуляторы поступают в массовое производство Новые аккумуляторы имеют множество преимуществ. Пока неизвестно, можно ли их эффективно использовать.

Украина запустила массовое производство роботизированных турелей «ШаБля» Украинские власти заключил государственный контракт по закупке роботизированных пулемётов.

Массовое производство тяжёлых ударных БПЛА С-70 "Охотник" начнётся во второй половине 2024 года Представители ВПК сообщили, что беспилотник С-70 "Охотник" пойдёт в серию во второй половине 2024 года

Tesla начала массовые увольнения своих сотрудников Компания приступила к процессу сокращения производства и рабочих мест на 10%

Китайская EHang получила разрешение на массовое производство летающих такси Последний этап пройден, можно начинать фактические продажи.

Samsung разработала самую быструю в отрасли память LPDDR5X со скоростью 10,7 Гбит/с Массовое производство планируется начать во второй половине года.

Начало массового производства H20 и других ускорителей вычислений для Китая ожидают в 2-м квартале NVIDIA разработала новые модели под новые санкции США.

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

В России началось массовое производство авиабомб ФАБ-3000 — Минобороны Трёхтонная фугасная бомба — это вам не шутки-прибаутки с дронами за $500.

Military Watch Magazine: массовое производство модернизированных Су-57М начнётся с 2025 года Су-57 получит новый двигатель и станет самым быстрым истребителем 5-го поколения

На российском сегменте МКС проведен эксперимент по 4D-биопечати Эксперимент направлен на отработку методики получения биоинженерных конструкций из комбинации синтетических материалов с памятью формы и биологических компонентов с помощью магнитной фабрикации на биопринтере «Орган.Авт», сконструированном компанией 3D Bioprinting Solutions.

Canon начнет выпуск установок для нанопечатной литографии уже в этом году Компания Canon готовится к выпуску своей машины для нанопечатной литографии FPA-1200NZ2C в этом году. Хироаки Такеиши, председатель совета директоров и генеральный директор компании, сообщил о планах начать поставки в 2024 году, подчеркнув простоту и экономичность производст...

9 слишком дорогих и горячих процессоров, которые не стоит покупать в игровой ПК в 2024 году Ситуация на рынке процессоров заметно изменилась в 2024 году — одни модели быстро дешевеют, другие, наоборот, стоят слишком дорого для своей производительности, да еще и требуют мощную систему охлаждения.

На Apple был подан иск из-за AirTag Иск, поданный против Apple, содержит серьёзные обвинения в адрес технологического гиганта. Около 30 человек, ставших истцами, заявили, что компания должна нести юридическую ответственность за неправомерное использование устройств слежения.

Никакой шуток, все серьезно: бывшие клоуны подали в суд на Clowns.com за кражу зарплаты Четверо бывших клоунов подали иск против своего работодателя, компании Clowns.com, обвинив его в массовом хищении заработной платы и нарушении трудового законодательства. Браян Ангуло, Камерон Пилле, Янина Салорио и Ксандер Блэк утверждают, что их неправильно классифицировал...

iPhone 17 Slim получит совершенно новый дизайн и будет стоить существенно дороже iPhone 15 Pro Max Выпуск iPhone 16, напомним, состоится в сентябре 2024 года.

CATL начнёт выпуск твердотельных аккумуляторов не ранее 2027 года И они в любом случае будут долго оставаться дорогими и нераспространёнными.

Специалисты СПбПУ объявили о создании безмасочного нанолитографа Изображение: Midjourney Ученые питерского СПбПУ объявили о создании двух передовых установок, которые должны позволить России сохранить суверенитет в области технологий микроэлектроники. Речь идет об установке безмасочной нанолитографии и установке по плазмохимическому трав...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Старший брат Geely Coolray с 299 л.с. и запасом хода 1280 км за 18,7 тыс. долларов. Представлен кроссовер Lynk & Co 06 EM-P Max с увеличенным запасом хода У кроссовера Lynk & Co 06 EM-P на домашнем рынке в Китая появилась новая комплектация — Max. Она оснащена примерно на уровне более дорогой старшей версии Halo, а стоит чуть-чуть дороже базовой версии Pro с запасом хода 126 км на чистом электричестве. Иными сло...

Место крипты в реальном мире, или Куда придёт веб завтра? Криптовалюты пока не захватили мир, потому что мозг начинает кипеть от малейших попыток погружения в этот зоопарк токенов, майнинга, стейкинга, фарминга, мостов и прочей сложной терминологии — единицы готовы в этом разбираться. Проблема в том, что сегодня вся крипта сделана ...

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

HIT: The World — японская версия MMORPG HIT 2 MMORPG HIT 2 пока доступна только в Южной Корее. Теперь издатель Nexon собирается перенести её в Японию под новым названием — HIT: The World. Для игры проходит предрегистрация в App Store, Google Play и на ПК через официальный сайт. Издание pocketgamer передаёт, что релиз H...

БПЛА С-70 "Охотник": последняя информация о ТТХ и массовом производстве многообещающей новинки Вся актуальная информация о вооружении, характеристиках и скором появлении в войсках дрона С-70 "Охотник"

Китай планирует развить массовое производство человекоподобных роботов через два года Если помнишь древний мем про "боевых человекоподобных роботов" напиши об этом в каментах

В SK Hynix подтвердили, что компания планирует перенести своё производство в Соединённые Штаты Массовое производство чипов памяти на новом предприятии может начаться в 2028 году

В России запустили массовое производство электродвигателей для беспилотных летательных аппаратов Сообщается, что продукция создаётся исключительно из отечественных комплектующих

Смартфон Xiaomi 15 проходит внутреннее тестирование с Snapdragon 8 Gen 4 Также стоит ожидать, что предстоящие флагманы могут выйти на стадию массового производства уже в сентябре.

Bild: В России перешли на массовое производство тяжелой планирующей бомбы ФАБ-1500-М54 Дымовой "гриб" от подрыва такой бомбы подымается на 20 этажей над земной поверхностью

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Единственный в мире универсал Mercedes-Benz с 6-литровым V8 от AMG и управляемостью суперкара выставили на продажу На предстоящем аукционе RM Sotheby’s в Майами, запланированном на начало марта, будет представлен уникальный семиместный универсал Mercedes-Benz 300 TE 6.0 под названием Mallett. Этот автомобиль, оснащенный мощным V8 и построенный американским подразделением AMG в...

Против Sony подали иск на $7,9 млрд из-за завышенных цен в PS Store Против Sony подали коллективный иск от 8,9 миллионов клиентов, которые требуют от компании компенсации в общей сумме $7,9 миллиарда. В иске, впервые поданном ещё в августе 2022 года, утверждается, что Sony злоупотребляла своим доминирующим положением на рынке, вынуждая…

Писатели подали в суд на Nvidia за непровомерное использование ИИ их произведений Группа писателей-романистов подала коллективный иск против Nvidia, обвинив компанию в копировании их книг для обучения нейросети без разрешения и денежной компенсации.

Власти США подали в суд на eBay Правительство США подало иск против eBay, утверждая, что эта интернет-площадка нарушила Закон о чистом воздухе и другие экологические законы, разрешив продажу вредных продуктов. Иск может привести к миллиардным штрафам для eBay.

НАСА ищет более простой и дешевый способ доставки образцов с Марса на Землю Американское космическое агентство НАСА ищет решение проблемы дороговизны транспортировки образцов с Марса на Землю. Первоначальный план транспортировки был сочтен слишком дорогим и сложным.

«Москвич 3» — самый дешевый кроссовер отечественного бренда. А кто еще в топ-5? В России много китайских кроссоверов, но есть и модели отечественных брендов. Самые доступные из них в своем обзоре перечислил ресурс «Автоновости дня» Москвич 3. Изображение: Москвич Самый-самый дешевый кроссовер Made in Russia — «Москвич 3&raq...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Российский завод Nissan полноценно заработает в 2024 году. Будут выпускать Lada Х-Cross 5 и какой-то седан Глава АвтоВАЗа Максим Соколов на конференции «Национальная технологическая революция 20.35» рассказал, когда начнется по-настоящему массовое производство автомобилей на бывшем российском заводе Nissan.   Изображение: Lada «Мы рассчитываем, что по...

Окаменелость 200000000-летнего растения опровергла теорию их эволюции В течение десятилетий ученые считали, что сложная сеть жилок, которую можно увидеть на цветущих растениях, таких как розы или маргаритки, появилась сравнительно недавно. Однако новое исследование показало, что эта ключевая особенность может быть гораздо древнее, чем считалос...

Официально подтверждена дата выпуска смартфона Redmi Turbo 3, который считается убийцей флагманов Смартфон набрал более 1,75 миллиона баллов на AnTuTu, что значительно опережает нынешний топовый смартфон OnePlus Ace 3V.

Логотип, похожий на Hyundai. АвтоВАЗ решил зарегистрировать новый бренд Х Площадка АвтоВАЗа в Санкт-Петербурге решило зарегистрировать собственный товарный знак, который будет уникален и не похож на Chery, о чем сообщает «Агентство Бизнес Новостей». ООО «Автозавод Санкт-Петербург» подал заявки в Роспатент на регистраци...

Разработан быстрый метод синтезирования тонких пленок для гибкой электроники Ученые из университета Гонконга совершили прорыв в области гибкой электроники, разработав сверхбыстрый и недорогой способ синтезирования тонких пленок. Тонкие пленки являются основой многих современных электронных устройств, таких как солнечные батареи, дисплеи и датчики. Од...

Betavolt создала аккумулятор, позволяющий использовать телефоны без подзарядки 50 лет Цель Betavolt - запустить технологию ядерной батареи в массовое производство и обеспечить ее использование в таких устройствах, как телефоны и беспилотники.

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Аквариус и Nemifist запускают производство игровых и корпоративных компьютеров в Твери Компания "Аквариус" в сотрудничестве с Nemifist объявила о начале производства специализированных игровых ПК и компьютерных сборок для корпоративного сектора на своих мощностях в Твери. Планируется выпуск шести моделей, включая бюджетные, среднебюджетные и топовые устройства...

Разблокировка видеокарты, почти как в старые добрые времена. Бразильские умельцы превратили Radeon RX 5600 XT в RX 5700 с 16 ГБ памяти Современные процессоры и видеокарты очень редко можно существенно изменить хотя бы каким-то образом. Оказалось, что есть исключение. Энтузиасты из Бразилии смогли добавить видеокарте Radeon RX 5600 XT памяти и даже расширить шину.  Radeon RX 5600 XT по умолчанию п...

Будущий контроллер DualSense от Sony может помочь побеждать в играх с помощью ИИ Sony подала патент на новый контроллер DualSense со встроенным экраном и искусственным интеллектом.

Google стала жертвой мошенников и подала на них в суд Компания Google подала в суд на двух мошенников, которые с помощью обмана заставили ее разместить 87 фальшивых криптовалютных приложений в магазине Play Store

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Центр аддитивных технологий Ростеха получил лицензию на обучение аддитивному производству Центр аддитивных технологий (ЦАТ) Ростеха стал первым предприятием в контуре государственной корпорации «Ростех», получившим лицензию на обучение по программе «Аддитивные технологии». Программы повышения квалификации затронут все аспекты производства методом 3D-печати — от к...

Boeing подал иск против Virgin Galactic из-за невыплаты $25 млн Boeing и его дочерняя компания Aurora Flight Sciences подали иск против Virgin Galactic, обвинив подорожного космического предприятия в отказе выплатить более $25 млн и незаконном использовании коммерческих секретов, связанных с проектом по разработке нового самолета.

Восстановление доступа к аккаунтам Mail.ru теперь возможно за несколько минут Компания VK (бывшая Mail.ru Group) объявила о запуске полезного обновления для своих фирменных сервисов. Новая система ускорит восстановление учетных записей пользователей «Почты» и «Облака Mail.ru».   Иллюстрация: Mail.ru Как рассказали в ...

[Перевод] Трюк из линейной алгебры для быстрого нахождения чисел Фибоначчи Я участвовал в онлайн-группе чтения книги Thirty-three Miniatures: Mathematical and Algorithmic Applications of Linear Algebra математика Иржи Матушека. Это самая нетрадиционная книга о математике, с которой мне приходилось сталкиваться. Первые две главы посвящены способам ...

Kioxia начала массовое производство самой емкой в мире карты памяти объемом 2 Тб Новинка получила название Exceria Plus G2 microSDXC 2 Тб. Прототип этой карты памяти производитель показывал осенью 2022 года.

Массовое производство Snapdragon 8 Gen 4 намечено на III квартал 2024 года Компания Qualcomm сообщила о выходе Snapdragon 8 Gen 3 SoC в октябре прошлого года, и уже в начале 2024 года в розничной продаже появятся рабочие аппараты

Складной смартфон Pixel Fold 2 может появиться летом в 2024 году Pixel Fold 2 выйдет на рынок позднее в этом году: аналитики рассказали о размерах экранов и сроках массового производства

Массовое производство AR-гарнитуры Apple Vision Pro начнется уже в декабре В сети появилась информация, согласно которой Apple готовится запустить массовое производство своего первого продукта в области смешанной реальности — Vision Pro.

В «Технополис GS» произвели полмиллиона материнских плат В 2023 году одна из ведущих российских площадок массового контрактного производства электроники – АО «НПО «ЦТС» – изготовила 500 тыс. материнских плат.

Tesla начнет производство электротягачей Tesla Semi в Берлине Илон Маск заявил, что Tesla начнет производство электрических грузовиков Semi на заводе Gigafactory Berlin. Однако для компании важно начать массовое производство сначала в США.

В США запретят дроны производства DJI – политики говорят о рисках для национальной безопасности В США нет массовых производителей БПЛА, способных занять рыночную долю китайской DJI

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Ученые доказали реальность феномена Zoom-истощения Исследователи из Грацского технического университета собрали медицинские сведения, которые доказывают реальное существование феномена Zoom-истощения. О наличии такой проблемы люди заговорили уже в первые недели после массового перевода офисных тружеников на удаленную работу ...

Дескрипторы в Python Привет, Хабр!Дескриптор — это объектовый атрибут с поведением, определяемым методами в его классе. Если просто — это способ, с помощью которого объект может контролировать доступ к его атрибутам, используя специально определенные методы __get__, __set__, и __delete__. Если г...

Компания представила Motorola Razr 40 Ultra (Plus): цвет года в ... Сложные смартфоны Motorola уже получили популярность на рынке, и сегодня компания объявила о выпуске Motorola Razr 40 Ultra в новом ярком цвете.

Разработчик из Google раскрыл сроки выхода первой тестовой версии Android 15 Google, кажется, немного отстает от графика с выпуском первой предварительной версии Android 15, но фанатам Pixel не придется ждать слишком долго.

В сети появилось видео массового взлета 12 бомбардировщиков B-2 Spirit с базы ВВС США Дюжина B-2 приняла участие в учениях по массовому взлету на базе ВВС США Уайтмен, штат Миссури, в рамках ежегодного учения Spirit Vigilance. Этот массовый взлет служит сигналом об оперативной готовности самолетов B-2 на случай серьезных кризисных ситуаций.

Ученые создали метод оценки важности правил и данных в ИИ Ученые представили метод оценки значимости правил и данных в моделях «осведомленного машинного обучения». Это позволяет искусственному интеллекту (ИИ) интегрировать законы реального мира, улучшая решение сложных задач.

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

Порт для ПК и регистрация на бета-тест коллекционной RPG Star Wars: Galaxy of Heroes Компания Electronic Arts решила сделать неожиданный подарок для фанатов «Звёздных Войн» и объявила о выходе бесплатной коллекционной RPG Star Wars: Galaxy of Heroes на платформе ПК. Дата релиза пока что не названа, но уже в мае начнётся первое закрытое бета-тестирование, на ...

Более 1,5 тысячи волонтеров прошли обучение для участия в выставке-форуме «Россия» Набор в волонтерский корпус продолжится до 31 марта следующего года. Подать заявку можно на сайте ресурсного центра «Мосволонтер». Уже более 1,5 тысячи волонтеров прошли обучение для участия в Международной выставке-форуме «Россия», которая откроется 4 ноября на ВДНХ. Первые...

Газета The New York Times засудит OpenAI за обучение чат-бота на статьях издания Одно из крупнейших американских медиа, газета The New York Times, подала в суд на компании, разрабатывающие ИИ — Microsoft и OpenAI — за обучение своих чат-ботов на статьях. Это первое крупное издание, которое подало в суд на Microsoft и OpenAI.

В ASRock назвали сложной задачей сотрудничество с NVIDIA для производства видеокарт На данный момент, похоже, таких планов нет.

Московские производства увеличили выпуск комплектующих для электротранспорта Министр правительства Москвы Владислав Овчинский сообщил о росте производства комплектующих для электротранспорта в столице. По его словам, московские компании активно развивают производство инновационных зарядных станций и новых видов аккумуляторных батарей. По итогам прошл...

Китайский производитель памяти YMTC подал в суд на Micron за нарушение патентов 3D NAND Китайская компания YMTC, занесенная в черный список, подала в суд на Micron в Калифорнии.

Вредные «вечные химикаты» удалили из воды с помощью нового метода Ученые из Университета Рочестера разработали новый многообещающий метод удаления вредных «вечных химикатов» из воды. Эти химикаты, известные как пер- и полифторалкильные вещества (PFAS), содержатся в широком спектре продуктов, таких как одежда, упаковка для продуктов питания...

Практика измерения коэффициента шума при помощи анализатора спектра. Заметки и наблюдения Одним из показателей качества аналогового тракта приемника является коэффициент шума (КШ). Чем он меньше, тем меньше дополнительных шумов вносит аналоговый тракт в сигнал, поступающий на его вход. Выбор устройств с низким КШ может позволить увеличить дальность или скорость п...

Нефтяная компания Exxon подала в суд на инвесторов-защитников климата Компания ExxonMobil заняла агрессивную позицию в отношении инвесторов-активистов, подав иск против двух групп, которые представили предложения акционеров, призывающие компанию сократить выбросы.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Производство систем ESP в России запустят до конца декабря. Их будут выпускать там же, где выпускают системы ABS Сначала в России начали выпускать системы ABS (производство в Костроме стартовало летом), а сейчас готовится к запуску линия по выпуску противозаносных систем (ESP). По словам замглавы Минпромторга РФ Василия Шпака, выпуск ESP начнется уже в текущем месяце. «Сего...

Кажется, дождь начинается: похоже, искусственный интеллект превосходит традиционные методы прогнозирования погоды Новая компьютерная ИИ-модель GraphCast для предсказания погоды, созданная Google, во много раз превзошла традиционные способы, которые десятилетиями использовались по всему миру и привлекали многомиллионные инвестиции. Европейская модель прогнозирования погоды считалась «зол...

Arm запустит собственный ИИ ускоритель в массовое производство к 2025 году Японский технологический гигант в очередной раз меняет свой бизнес, на этот раз делая многомиллиардную ставку на технологии искусственного интеллекта.

Xiaomi вышла на прибыль Во время публикации финансовой отчетности руководство компании подтвердило планы по запуску массового производства электрокаров в первой половине 2024 года.

В Южной Корее начинается массовое производство новых управляемых ракет Они должны заменить американские TOW, в данный момент находящиеся в распоряжении корейской армии.

АвтоВАЗ опроверг остановку производства универсалов Lada Vesta АвтоВАЗ опроверг остановку выпуска и отгрузки универсалов Lada Vesta, о чем сообщила пресс-служба компании по запросу Autonews.ru. Ранее в сообществе Avtograd news во «ВКонтакте» появилась информация о том, что АвтоВАЗ возобновил производство автомобилей Lad...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Tesla подает в суд на шведский регистрационный орган Tesla подала иск против Шведского транспортного управления из-за отказа в выдаче номерных знаков для своих автомобилей на фоне забастовки почтовых работников

Минкультуры РФ прорабатывает вопрос охраны и безопасности массовых мероприятий На заседании Госдумы министр культуры России Ольга Любимова выразила необходимость повышения мер безопасности на культурных массовых мероприятиях и обсудила с комитетом по культуре методы и технологии для их обеспечения.

Против Насти Ивлеевой подали иск о взыскании миллиарда рублей из-за "Голой вечеринки" Группа из более чем двадцати недовольных граждан во главе с известным российским продюсером Александром Иншаковым подала коллективный иск против популярной блогерши Насти Ивлеевой

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Неандертальцы были не просто грубиянами, они еще пользовались клеем Новое исследование показало, что неандертальцы, которых часто изображают менее развитыми, чем наши предки, на самом деле были способны к сложным технологиям изготовления инструментов с использованием многокомпонентных клеев. Это открытие, опубликованное в журнале Science Adv...

АвтоВАЗ назвал цвета, комплектации и другие детали о Lada Largus 2024 Старт серийного производства Lada Largus официально назначен на 15 мая 2024 года, а пока что АвтоВАЗ завершает подготовку к серийному производству, о чем рассказано в следующем видео. Прессовое производство локализовало 13 штампованных комплектующих, в основном это лице...

Kioxia начала массовое производство карт памяти microSDXC объемом 2 ТБ Карта получила официальное название Kioxia Exceria Plus G2

Дилеры начали спекулировать ценами, за древней машиной выстроилась очередь. Производство Peugeot Pars (Peugeot 405) решили продолжить еще на три месяца Иранская автомобильная компания Khodro Bank объявила о продлении производства культового седана Peugeot Pars. Этот автомобиль представляет собой рестайлинговую версию легендарного Peugeot 405, выпускавшегося в конце 1980-х годов. Решение о возобновлении сборки Pars было...

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Прогреть и продать: полный цикл производства вебинаров для бизнеса Запускаем продвижение сложных продуктов.

Илон Маск подал иск против OpenAI, обвиняя компанию в отклонении от своей миссии. С OpenAI намерена подать иск об отклонении претензий Маска и опубликовала серию электронных писем между Маском и его соучредителями, чтобы опровергнуть его обвинения.

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Книга «Основы компиляции: инкрементный подход» Привет, Хаброжители! Компиляторы традиционно считаются одной из самых трудных для понимания и изучения тем. Обычно в книгах каждая глава посвящена отдельному проходу компилятора. Но такая структура не позволяет раскрыть, как языковые средства влияют на решения, принимаемые...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)