Социальные сети Рунета
Среда, 22 мая 2024

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Смартфон Xiaomi 15 Pro получит перископический объектив и изогнутый экран с разрешением 2K Надёжный инсайдер Digital Chat Station раскрыл некоторые подробности о характеристиках грядущего флагманского смартфона Xiaomi 15 Pro. Источник заявил, что ему удалось изучить ранний прототип устройства. Сообщается, что аппарат получит экран с небольшим изгибом и разреш...

Apple может выпустить улучшенную колонку HomePod с ЖК-экраном сверху Появились фотографии интригующего прототипа Apple HomePod, на которых видно, что на верхней панели умной колонки расположен ЖК-дисплей. На снимках, которыми поделился известный коллекционер прототипов по имени Kosutami, изображен прототип HomePod с полностью функциональным Ж...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Nvidia уже работает над несколькими системами охлаждения для видеокарт GeForce RTX 50. СО рассчитаны на TDP от 250 до 600 Вт Компания Nvidia уже тестирует различные варианты кулеров для видеокарт GeForce RTX 50.   прототип топовой СО для RTX 40; фото: Gamer2live В работе находится несколько вариантов систем охлаждения, рассчитанных на TDP от 250 Вт до 600 Вт. При этом это не означа...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Прототип сверхзвукового пассажирского самолета Overture совершил свой первый полет Сверхзвуковой самолет XB-1, разрабатываемый компанией Boom Supersonic, совершил свой первый полет. Он является прототипом будущего пассажирского Overture и должен стать его основой.

Haval Jolion 2024 начали выпускать в России. Первое фото, ориентировочные цены На заводе Haval под Тулой начали выпускать обновленный Haval Jolion. Судя по всему, выпускают его с конца 2023 года, так как на фото показана товарная машина. Автомобиль отличается чёрными акцентами: у него чёрные корпуса зеркал заднего вида, чёрная решётка радиатора, ...

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Pixel Quest: путь от прототипа до первого игрового заведения Полгода прошло с момента публикации моей статьи о прототипе интерактивной светодиодной игровой платформы «Пол — это лава». Самое время рассказать, что с проектом и куда движемся сейчас. Мы основали компанию, запустили в Москве первую пиксельную игровую и даже успели ста...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Глава Apple поделился впечатлениями от первых прототипов шлема Vision Pro В недавнем интервью для издания Vanity Fair, Тим Кук, глава Apple, поделился своими впечатлениями от первого знакомства с прототипом шлема дополненной реальности Vision Pro.

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Кроссоверы Jetour начнут выпускать в Калининграде. Первая модель — Jetour Dashing Drom.ru раскрыл подробности запуска производства кроссоверов Jetour в России. По словам заместителя генерального директора «Джетур Мотор Рус» Михаила Роткина, выпуском автомобилей китайского бренда займется калининградский «Автотор» — он же...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

У Apple есть минимум три прототипа iPhone 16 По данным ресурса MacRumors, компания Apple создала несколько прототипов iPhone 16. Источникам известно о трех устройствах, которые отличаются друг от друга в первую очередь расположением и видом кнопок, а также дизайном основной камеры.Читать дальше... ProstoMAC.com.| Пост...

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Motorola привезла на MWC 2024 прототип смартфона-браслета Компания Motorola представила уникальный прототип смартфона, который может трансформироваться в смарт-часы и обратно.

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Интересный прототип Apple Watch Ultra попал в сеть: ... В сеть попали изображения одного из первых прототипов Apple Watch Ultra. Он сильно отличается от конечного варианта!

Интересный прототип Apple Watch Ultra попал в сеть: ... В сеть попали изображения одного из первых прототипов Apple Watch Ultra. Он сильно отличается от конечного варианта!

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Энтузиасты начали делать PC-порт Marvel’s Spider-Man 2 Основным фундаментом проекта стал ранний билд грядущего переиздания — его, напомним, «слили» вместе с документацией и прототипом Marvel’s Wolverine ещё в декабре

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

Новый год 2024: что положить в мешок Деда Мороза? Мы постарались выбрать разноплановые продукты, которые могут оказаться интересными и полезными, как гикам, так и тем, кто даже не знает, что значит это слово. Итак, в сегодняшнем меню заготовлены: Смартфон Игровой монитор Ноутбук Мобильная аудио-система Электронная книг...

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

Продажи Skoda Octavia и Skoda Kodiaq, собранных в Казахстане, стартуют через два месяца Появились подробности о проекте сборки в Казахстане сразу четырех моделей Skoda: Octavia, Kamiq, Karoq и Kodiaq. На данный момент соглашение между Skoda и заводом Allur подразумевает только отверточную сборку, но если сотрудничество будет плодотворным, а автомобили буду...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Смарт-часы с камерами могут получить второй шанс Помните неуклюжие часы Samsung Galaxy Gear с их 2-мегапиксельными камерами? Те первые попытки, возможно, и провалились, но с развитием технологий смарт-часы с камерами могут снова стать популярными, считает редактор 9to5Google. Это возрождение может совпасть с новым витком W...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

В США военно-промышленная корпорация представила прототип беспилотного подводного аппарата Manta Ray 8 апреля 2024 года американская военно-промышленная корпорация Northrop Grumman опубликовала в сети первое изображение прототипа полноразмерного непилотируемого подводного аппарата.

«Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона». Появились первые фотографии, сделанные на новые смартфоны Эффектные фотографии, которые сделаны на камеры Vivo X100 и X100 Pro, опубликовал китайский инсайдер Ice Universe. «Vivo X100 и X100 Pro снова обновили мое понимание камеры мобильного телефона», — так прокомментировал снимки Ice Universe. Стоит отметит...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Snapdragon 8 Gen 4 окажется очень мощной. Инженерный образец даже с ограничением частоты до 2,4 ГГц демонстрирует производительность на уровне Snapdragon 8 Gen 2 В Сети появился первый тест инженерного образца будущей флагманской однокристальной системы Qualcomm Snapdragon 8 Gen 4. SoC протестировали в AnTuTu, и она выдала результат в 1,85 млн баллов. Это уровень Snapdragon 8 Gen 2, но есть нюанс: частота CPU не превысила 2,4 ГГ...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Samsung начнёт устанавливать Micro LED-дисплеи в смарт-часах и подобных гаджетах Стало известно, что Samsung готовится использовать свою новую технологию, Micro LED-дисплеи, на телевизорах не только с распространённой потребительской диагональю, но и на 114". При этом компания намерена применить Micro LED и в «умных» часах.

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Nvidia GeForce RTX 4090 Ti выглядит просто огромной на фоне Titan RTX последнего поколения В сети снова появились фотографии прототипа Nvidia GeForce RTX 4090 Ti. В отличие от фото, опубликованных летом, сейчас мы можем видеть эту видеокарту в сравнении с Titan RTX последнего поколения и реально оценить габариты так и не вышедшего гиганта.  Традиционно ...

Прототип стратегического бомбардировщика B-21 Raider совершил свой первый испытательный полет B-21 стал первым новым американским бомбардировщиком созданным за 34 года.

Выпущены первые «кредитки» с OLED-экранами Пластиковые карты с дисплеями уже скоро начнут выпускать некоторые банки. Не в России, а в США.

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

HUAWEI может показать 75-дюймовый смарт-телевизор 14 марта В сети активно обсуждают, что HUAWEI в марте покажет несколько новых продуктов. Одним из самых волнующих стал грядущий смарт-ТВ с диагональю 75 дюймов и крайне высоким разрешением. Читать полную статью

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

У Apple прототип iPhone 16 Pro без Dynamic Island Инсайдер, известный под ником Majin Bu, рассказал о том, что компания Apple тестирует несколько прототипов iPhone 16 Pro и iPhone 16 Pro Max без Dynamic Island. Вместо последнего в экране есть всего одно небольшое «окошко» для объектива фронтальной камеры.Читать дальше... P...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Анонсированы смарт-часы Garmin Lily 2 для женщин Garmin выпускает пульсометр для спортивных бюстгальтеров с расширенной функциональностью

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Специальная версия Xiaomi Civi 4 Pro поступает в продажу в Китае Сегодня в продажу поступает ограниченная цветная версия Xiaomi Civi 4 Pro, которая оснащена 16 ГБ оперативной и 512 ГБ флеш-памяти, а цена составляет около 500 долларов. Ограниченная версия Xiaomi Civi 4 Pro доступна трех цветовых сочетаниях: черном и белом, розовом и ч...

На калужском заводе «ПСМА Рус» появились новые иномарки Peugeot и Citroen. Их выпуск могут наладить на предприятии Мы уже сообщали об активных работах по перезапуску производства на заводе «ПСМА Рус» в Калужской области, а сейчас Autonews заметил на предприятии новые иномарки. Вероятно, их-то и будет выпускать предприятие. Peugeot 4008. Изображение: Peugeot Одним из так...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Названы характеристики камеры самого быстрого в мире Android-cмартфона Основная камера нового флагманского смартфона Vivo X100 Pro оснащена 1-дюймовым датчиком изображения Sony IMX989 разрешением 50 Мп, а также объективом с диафрагмой F/1,75. Есть поддержка оптической стабилизации изображения OIS. Кроме того, vivo X100 Pro оснащен перископ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Смарт-часы Samsung Galaxy Watch 7 смогут при помощи ИИ распознавать проблемы с сердцем Медицинские возможности новых часов Samsung могут стать ещё обширнее

В России начнут выпускать отечественные серверы на базе технологий Intel Российский производитель электроники «Гравитон» начнёт выпускать серверы на чипах Intel Xeon Emerald Rapids

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

В сети появились фото прототипа AirPower Инсайдер, известный под ником Kosutami, поделился несколькими снимками прототипа AirPower. На фотографиях изображена одна из нескольких тестовых версий. Судя по информации из прошивки, прототип был создан в начале 2017 года.Читать дальше... ProstoMAC.com.| Постоянная ссылка...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Xiaomi готовит к выпуску детские смарт-часы Mitu Kids Smartwatch 7X Компания Xiaomi выпускает массу разнообразных продуктов, не забывая уделять внимание детям.

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Кому-то в руки попал странный прототип часов Google с урезанной мощностью Пользователь Reddit сделал удивительное открытие, купив на eBay Pixel Watch всего за 100 долларов, — он получил редкий прототип смарт-часов со значительно меньшим объемом оперативной памяти и хранилища, чем в финальной версии.

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Geely Coolray первого поколения получил российское стекло и колесные диски. В Белоруссии рассказали об импортозамещении BelGee X50 На предприятии «БелДжи», которое выпускает автомобили Geely для Белоруссии и России рассказали об импортозамещении Geely Coolray первого поколения – такая модель продается под названием BelGee X50. Оказалось, что в нем есть и российские компоненты. Фо...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Представлено самое тонкое и легкое в мире смарт-кольцо Circular Ring Slim Смарт-кольца все еще находятся на начальной стадии своего развития и ожидаются как потенциальная альтернатива смарт-часам и фитнес-браслетам по различным причинам.

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Apple работает над складным iPhone Если верить западным журналистам, которые получили информацию от анонимных источников, компания Apple в настоящее время разрабатывает сразу два прототипа складных смартфонов iPhone. Данные прототипы построены в дизайне, который напоминает флагманские складные модели Samsung ...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Прототип Steam Machine десять лет спустя: во что можно на нём играть? Более 10 лет назад стали появляться прототипы игрового девайса Steam Machine. В итоге компания Valve разработала несколько специализированных устройств вместе с партнёрами — такими брендами, как Alienware, Gigabyte Technology, Zotac и другими. Появилась целая экосистема дева...

Lada Aura и Iskra проходят испытания вместе с двумя «китайцами» На автополигоне в Сосновке в Самарской области начали испытывать прототип новой седана Lada Aura, который является увеличенной и более премиальной версией Lada Vesta. Об этом сообщил инсайдерский Telegram-канал «Автопоток» со ссылкой на собственный источник:...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Вслед за ABS в России начали выпускать системы стабилизации ESP На заводе Пегас в Костроме (он принадлежит компании «Итэлма») стартовало производство систем курсовой устойчивости для автомобилей (ESP). Напомним, на том же предприятии летом текущего года начали выпускать системы ABS. Фото: Максим Кадаков Россий...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

MSI не будет выпускать игровой 500-Гц монитор с разрешением QuadHD и подсветкой Mini-LED В компании заявили, что это был лишь прототип

Придётся запастись терпением. Стало известно, когда возобновится производство Lada Vesta SW и Lada Vesta SW Cross Если с производством седанов Lada Vesta АвтоВАЗ как-то справляется, то с универсалами ситуация печальная. Их выпускали всего несколько месяцев, а с 11 декабря производство Lada Vesta SW и Lada Vesta SW Cross вновь прекратилось. Когда ждать очередной перез...

В Узбекистане на заводе ADM Jizzakh начали выпускать кроссовер Kia Sonet Это машина дорестайлингового образца. Цены пока не объявлены, а первые товарные машины были изготовлены буквально вчера.

На старых моделях умных телевизоров Samsung теперь можно играть в облаке Samsung выполнила свое обещание обновить многие смарт-телевизоры 2020 модельного года, чтобы они могли работать с облачными игровыми приложениями, такими как Xbox Game Pass, GeForce Now и др. Благодаря этому обновлению современные игры, такие как Starfield, появились на стар...

OnePlus Watch 2 – обновленные смарт часы теперь на Wear OS – ... По информации инсайдеров, компания OnePlus планирует выпустить преемника смарт-часов OnePlus Watch, который был скорее фитнес трекером, однако в этот раз будет полноценный смарт-гаджет

В 2024 году Samsung представит бюджетные смарт часы Galaxy Watch FE Samsung представит в 2024 году первую модель бюджетной версии флагманских смарт-часов Galaxy Watch.

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Шлем Apple Vision Pro могут начать продвигать как инструмент для лечения психических расстройств Первый AR-шлем от Apple — Vision Pro — может стать революционным инструментом в области лечения психических расстройств.

Это прототип Samsung Galaxy Z Fold6. Известный инсайдер опубликовал фото грядущего смартфона Известный инсайдер Ice Universe опубликовал фото прототипа складного смартфона Samsung Galaxy Z Fold6.  Внешне, правда, это больше похоже на макет, но, возможно, это прототип без дополнительного экрана. В любом случае, это означает, что прототип весьма ранний. Учи...

В Калуге перезапустили бывший завод Volvo Trucks. Теперь предприятие выпускает «Урал Next» Губернатор калужской области Владислав Шапша сообщил о том, что бывший российский завод Volvo Trucks возобновил свою работу. Только вместо грузовиков шведского бренда с конвейера сходят отечественные «Уралы Next», а само предприятие теперь называется АМО (АО...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

УАЗы могут начать производить в Гане Автомобили марки УАЗ могут начать производить в столицы Ганы и первые ласточки сойдут с конвейера в африканской стране уже в первом квартале 2024 года. Об этом рассказал журналистам председатель ганско-российского совета по развитию бизнеса Лоуренс Ауку-Боатенг. Фото: ...

Xiaomi выпускает свой первый электромобиль Xiaomi SU7 станет первым электромобилем компании. Xiaomi хочет войти в пятерку крупнейших производителей автомобилей.

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

ABS в России уже выпускают, на очереди ESC. Производство отечественных систем стабилизации ESC запустят в ноябре «Российская» газета рассказала, когда в стране начнется выпуск систем стабилизации ESC. За комментарием обратились к компании «Итэлма» (летом она, напомним, начала выпуск в России систем ABS): оказалось, выпускать ESC начнут уже в ноябре. Ф...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

В сети появилось фото раннего прототипа MagSafe-зарядки Сегодня коллекционер и инсайдер, известный под ником Kosutami, поделился снимком, на котором можно увидеть один из ранних прототипов беспроводной зарядки MagSafe. Фотография позволяет увидеть, как выглядела зарядка за несколько лет до официального анонса.  Читать дальше... ...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Линейка казахстанских Chevrolet станет разнообразнее: в соседней стране начнут выпускать Chevrolet Captiva В Казахстане выдан сертификат на Chevrolet Captiva. Судя по документам, собирать кроссовер будут на предприятии «СарыаркаАвтоПром» (оно выпускает другие авто Chevrolet, а также машины Kia и JAC). Chevrolet Captiva нынешнего (второго) поколения – довол...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Сообщается, что Apple создала несколько прототипов складных iPhone По информации из The Information, Apple разрабатывает прототипы складных iPhone, но выпуск на рынок не ожидается раньше 2026 года.

GreenMDC и DataDome совместно создали масштабируемый МЦОД в особой экономической зоне «Липецк» Новый МЦОД реализован по заказу «Смарт ЦОД Липецк», резидента ОЭЗ «Липецк», и стал первой в России инсталляцией масштабируемой модели GreenMDC ScalableMDC TelecomOutdoor NG.

Microsoft может разработать сразу несколько прототипов портативной консоли Xbox Эти прототипы совершенно другие и не связаны с ранее представленными устройствами

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Infinix представила прототип технологии охлаждения Coolmax для игровых смартфонов При помощи прототипа Coolmax удалось снизить температуру чипсета на 10 градусов Цельсия.

Компания SpaceX провела испытания прототипа Starship 20 октября SpaceX ненадолго запустила один из шести двигателей Raptor на своем прототипе корабля Starship SN26

Apple нарушила традицию, которой она следовала 12 лет: компания так не выпустила новый iPad в прошлом году Apple нарушила традицию, которую поддерживала на протяжении 12 лет, не выпусктив новые iPad в 2023 году. Это первый раз, когда компания решила не выпускать новый планшет за весь календарный год с момента запуска линейки продуктов. С момента своего дебюта в 2010 году iPa...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

Розовый в тренде: OnePlus Ace 3 получит этот цвет, а еще ... Наконец-то производители начали предлагать более яркие цвета, а не только белый, черный и зеленый. Розовый и синий прототипы OnePlus Ace 3 уже существуют, осталось дождаться релиза.

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Проект: AI Note Recorder или как я не выполнил цель на 2023 год В 2023 году одной из моих целей стало собрать команду, забрейнштормить и запустить вместе проект, набрать 100 пользователей.Я подошёл к этому серьёзно, но у меня не получилось. Этим постом я хочу прорефлексировать весь путь, почему не вышло, что сработало и что помешало.С ну...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Слух: Microsoft может разработать прототипы сразу нескольких портативных Xbox Известный инсайдер, редактор портала Windows Central Джез Корден в своём подкасте Xbox Two рассказал, что Microsoft разрабатывает сразу несколько прототипов портативных консолей Xbox.

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Первые покупатели начнут получать гуманоидного робота Tesla Optimus уже в 2025 году В мире технологий наступает новая эра: Tesla планирует начать поставки своего первого гуманоидного робота Optimus уже в 2025 году.

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

В России будут выпускать флагманский кроссовер Chery Tiggo 9. Производство наладят на бывшем заводе Mercedes-Benz в Подмосковье Слухи о том, что автомобили Chery могут получить российскую прописку, ходят давно, причем в разных утечках фигурировали разные площадки. Но бывший российский завод Mercedes-Benz не упоминали — до сегодняшнего дня. О том, что на подмосковном заводе, который ранее ...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Тим Кук рассказал о первом прототипе Vision Pro В преддверии старта продаж Vision Pro Тим Кук пообщался с журналистами издания Vanity Fair. В интервью глава Apple рассказал о процессе разработки яблочного шлема смешанной реальности.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также можете ознакомит...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Обзор Redmi Watch 4 – это лучшие бюджетные смарт-часы на ... Обзор смарт-часов Xiaomi Redmi Watch 4 - характеристики, фото, цена, стоит ли покупать

[Перевод] Мои первые шаги в создании браузерных 2D игр на чистом JavaScript + Canvas. Часть 1. Прототип Всем привет!Поделюсь с Вами моим первым опытом в создании двумерных браузерных игр на языке JavaScript. Читать далее

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Google улучшила ПО своих смарт-часов Google представила обновления для ПО смарт-часов первого поколения Pixel Watch, включая функции мониторинга здоровья, ранее доступные во втором поколении. Теперь старые устройства могут автоматически определять, находитесь ли вы в процессе тренировки, начинать отслеживание а...

Oppo представила прототип очков дополненной реальности OPPO Air Glass 3 с ИИ Oppo обращает особое внимание на «умный» аспект умных очков в своем последнем прототипе Air Glass 3, который китайский технологический гигант анонсировал на Mobile World Congress 2024.

Заходи кто хочет: смарт-замки Xiaomi поломались и начали открывать двери кому попало Xiaomi недавно столкнулась с проблемой, связанной с их умными замками, которые неожиданно начали самостоятельно открывать двери.

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Представлены смарт-часы Vivo Watch 3 ECG, измеряющие ЭКГ В Китае состоялся официальный выпуск новой версии смарт-часов Vivo Watch 3, именуемой Vivo Watch 3 ECG.

Blue Origin представила макет прототипа лунного корабля Blue Moon Основатель Blue Origin Джефф Безос и администратор НАСА Билл Нельсон вместе осмотрели прототип на заводе по производству двигателей в Хантсвилле

Цена и внешний вид смарт-часов Honor Watch 4 Pro утекли в Сеть накануне официального анонса Honor уже сегодня представит свои новейшие смарт-часы — Watch 4 Pro.

В России испытали первое судно на водородном топливе Sitronics group провела испытания первого в России прототипа электросудна на водороде в акватории Невы, о чём говорится в заявлении пресс-службы компании.

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Оперативная память вскоре сильно подорожает Летом текущего года пользователи, углублённо изучающие компьютерные комплектующие, могли заметить, что оперативная память стала заметно дешевле, так же как и твердотельные накопители. Это было связано с избытком готовых микросхем, поскольку крупные производители активно выпу...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Представлен первый действительно рабочий смартфон от TCL, который складывается в двух местах. До этого показывали только прототипы Первый в мире рабочий складной смартфон с двумя шарнирами был представлен компанией TCL CSOT (TCL China Star Optoelectronics Technology) на выставке Society for Information Display (SID) 2024. Это произошло через пять лет после того, как в 2019 году компания показала не...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Nvidia не нравится, когда кто-то выпускает видеокарты и GeForce, и Radeon? ASRock заявила, что для неё сейчас сложно начать производство карт Nvidia Компания ASRock уже какое-то время производит видеокарты AMD и с недавних пор адаптеры Intel. А вот карты Nvidia она не выпускает и теперь стало известно, почему.  В интервью представитель ASRock ответил на вопрос, какие у компании планы и собирается ли она начать...

Выпустить зарубежную банковскую карту Visa или MasterCard станет невозможно с 1 января До марта 2022 года все российские банки без исключения могли и активно выпускали для своих клиентов банковские карты на базе международных платежных систем Visa и MasterCard. За счет этого, пользуясь такими, россияне могли расплачиваться в Читать полную версию публикации Вы...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Обновление watchOS 10.1 привело к быстрой разрядке и перегреву часов Apple Watch Пользователи смарт-часов Apple Watch, обновившиеся до watchOS 10.1, начали жаловаться на ряд проблем.

Вместо Lada – XCITE. На бывшем российском заводе Nissan начали выпускать автомобили XCITE, уже собрано несколько тысяч машин Сегодня ООО «Автозавод Санкт-Петербург» (раньше предприятие называлось «Lada Санкт-Петербург», но после введения американских санкций в отношении АвтоВАЗа осенью прошлого года отечественный флагман решил дистанцироваться от предприятия) официальн...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Футбольная суперзвезда Эрлинг Хааланд станет игровым персонажем в Clash of Clans Футбольная суперзвезда Эрлинг Хааланд (Erling Haaland) станет персонажем видеоигры в рамках нового партнерства с мобильной игрой* Clash of Clans. Партнерство позволит норвежскому нападающему стать знаменитым персонажем игры «Королем Варваров» в грандиозном сезонном игровом с...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Apple приступила к работе над прототипом умного кольца Технологический гигант приступил к разработке ранних прототипов

Представлены российские шины, заменившие Continental Matador — Gislaved Torero На заводе Gislaved в Калуге начали выпуск шин Torero, о чем сообщает главный редактор журнала «За рулем» Максим Кадаков. О бренде Torero я вам рассказывал в декабре. Шины Torero уже начали выпускать на бывшем заводе Continental в Калуге. Ребрендинг выпускаем...

Часы Google начали требовать обязательный PIN-код перед оплатой ими Безопасность против удобства. Google Wallet на смарт-часах с Wear OS вскоре может потребовать введения PIN-кода перед бесконтактными платежами. И да, у есть «счастливчики».

Новые Aurus будут выпускать при поддержке китайского партнера, возможно, Hongqi. Бывший завод Toyota также будет собирать премиальные китайские авто Новая линейка автомобилей Aurus будет выпускаться на бывшем заводе Toyota в Санкт-Петербурге в партнерстве с китайским автопроизводителем, о чем заявил главный редактор «За рулём» Максим Кадаков. «Это точно будет один из китайских производителей. Наибо...

Производство систем ESP в России запустят до конца декабря. Их будут выпускать там же, где выпускают системы ABS Сначала в России начали выпускать системы ABS (производство в Костроме стартовало летом), а сейчас готовится к запуску линия по выпуску противозаносных систем (ESP). По словам замглавы Минпромторга РФ Василия Шпака, выпуск ESP начнется уже в текущем месяце. «Сего...

Инсайдер рассказал о тестировании Samsung прототипа Galaxy S25 с тремя камерами Инсайдер под ником isaques81 сообщил, что Samsung рассматривает несколько вариантов дизайна будущих смартфонов Galaxy S25 Ultra. На данный момент вендор тестирует прототип смартфона с тремя камерами вместо привычных 4-х.

Halo 2: сиквел, для многих ставший оригиналом Halo 2 можно считать почти идеальным сиквелом – игра взяла всё лучшее, что было в первой части, избавилась от многих ее недостатков и привнесла новые идеи. Эта игра во всем превзошла Halo: Combat Evolved, даже в популярности: многие фанаты серии начали свое знакомство с Ha...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

В сети появились потенциальные рендеры четырех прототипов Galaxy S25 Ultra Samsung возможно уже начала работу над следующей моделью телефона Galaxy S25 Ultra, и согласно последним утечкам, в настоящее время находятся на тестировании четыре прототипа этой модели.

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Экзоскелет «Норникеля»: как он таким стал Всем привет! Меня зовут Владислав Давыдов, я главный менеджер центра развития цифровых технологий компании «Норникель».Возможно, вы уже знаете, что «Норникель» ведет разработку промышленного экзоскелета. У вас могли возникнуть закономерные вопросы: Почему экзоскелет именно т...

Костыли, которые горят, пока всё лопается: как выглядит разработка под децентрализованные финансы Из рекламы МММ: он не халявщик, а партнёр! Тут на днях один молодой бомж с филфака СПбГУ дал интервью Карлсону (тому, что живёт в Х). После чего куча моих знакомых стала задавать вопросы про блокчейн-разработку (крипту). Ну и ещё в Телеге запустили переводы в один клик, и ...

Подразделение SK hynix начнёт выпускать силовые полупроводниковые компоненты для Tesla Со второй половины этого года.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Как в России в XIX веке компьютер изобрели Компьютер придумал русский учёный Семён Корсаков в первой половине XIX века. Что? Да! И теоретическое обоснование к изобретению искусственного интеллекта впервые подвёл тоже он. «Как микроскоп и телескоп усилили наши чувства, так и интеллектуальные машины могут усиливать наш...

Представлены стильные смарт-часы HONOR Watch GS 4 Они могут похвастаться огромным AMOLED-дисплеем, встроенным GPS и NFC-модулем.

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

6000 мА·ч, без AMOLED и всяких излишне мощных платформ. Представлен смартфон Vivo Y38 Компания Vivo анонсировала потенциально дешёвый смартфон с огромным аккумулятором. Модель Y38 получила элемент питания ёмкостью 6000 мАч.  С автономностью тут всё должно быть отлично, так как кроме большого аккумулятора тут ещё и экран HD+, что снижает потребление...

Генную инженерию — в массы: Великобритания одобрила CRISPR для лечения генетических заболеваний. Перспективы решения Несколько дней назад стало известно о том, что Британия первой в мире одобрила CRISPR-лечение. Это означает, что в стране начнут использовать технологии генного редактирования для лечения пациентов с очень тяжёлыми генетическими заболеваниями, вылечить которые при помощи обы...

Создан прототип защищенной связи, в основе которой лежит теория хаоса Специалисты питерского университета ЛЭТИ с кафедры автоматизированного проектирования объявили о создании прототипа сверхзащищенной и весьма перспективной технологии связи с повышенной устойчивостью к разного рода помехам.

На бывшем российском заводе Volvo вновь начали выпускать машины. Это «Уралы» Telegram-канал «Автопоток» опубликовал фото производственной линии завода АМО — это бывшее российское предприятие Volvo. Грузовиков шведского бренда на конвейере больше нет, вместо них теперь «Урал» с колёсной формулой 6 × 4. Как соо...

Tesla начнёт выпускать в Китае системы стационарного хранения электроэнергии К концу следующего года.

В России начали выпускать почти на 40% больше компьютеров В России выросло производство компьютеров на 38,9% в сравнении с 2022 годом

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

Уходит эпоха: BMW прекратила выпуск двигателей внутреннего сгорания в Германии Ноябрь 2023 года стал поворотным моментом для головного предприятия BMW в Мюнхене: завод, на котором в течение 60 лет выпускали бензиновые и дизельные двигатели, прекратил выпуск ДВС. Последним собранным мотором стал V8. Теперь на предприятии наладят выпуск электромобил...

Garmin готовит к выпуску новые смарт-часы Forerunner 165 с AMOLED экраном Garmin собирается представить новые модели смарт-часов Forerunner 165 и Forerunner 165 Music с AMOLED экранами и улучшенными функциями

Состоялся глобальный выпуск смарт-часов Amazfit Bip 5 Unity Компания Amazfit официально представила на глобальном рынке свои новые смарт-часы Bip 5 Unity.

Xiaomi рассказала о характеристиках и материалах корпуса смарт-часов Redmi Watch 4 Xiaomi поделилась новыми деталями о своих предстоящих смарт-часах — Redmi Watch 4.

Apple вскоре может выпустить смарт-кольцо Samsung не так давно официально анонсировала скорый выпуск своего смарт-кольца под названием Galaxy Ring.

ТОП лучших смарт-часов 2023 года В этой подборке вы найдете самые лучшие смарт-часы в 2023 году, которые подойдут каждому. Основными критериями являются цена, универсальность и выбор потребителей.

ТОП лучших смарт-часов 2023 года В этой подборке вы найдете самые лучшие смарт-часы в 2023 году, которые подойдут каждому. Основными критериями являются цена, универсальность и выбор потребителей.

КамАЗ готовится начать сборку грузовиков К5 с зауженной и низкопосаженной кабиной КамАЗ готовится к старту производства грузовиков К5 в модификации с зауженной и низкопосаженной кабиной, о чем пишет инсайдерский Telegram-канал «Автопоток». Такая конфигурация предназначена для пожарных и городских развозных машин. На фото — прототип ...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Представлено смарт-кольцо Ringo с функцией измерения жира в организме На Kickstarter началась компания по сбору средств для разработки революционного изобретения — смарт-кольца Ringo.

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Samsung представит смарт-часы Galaxy Watch Ultra На мероприятии 10 июля компания Samsung должна представить новую серию смарт-часов Galaxy Watch 7.

Ученые МГУ разработали прототип нейроимплантов для управления протезами Специалисты Московского государственного университета (МГУ) имени М. В. Ломоносова создали прототипы нейроимплантов, предназначенных для помощи людям с ампутацией конечностей. Эти устройства позволят им "ощущать" протезы и эффективнее управлять ими, пишет ТАСС.

Теперь не только белые и чёрные. АвтоВАЗ начал выпускать Lada Vesta в новом цвете Инсайдерский паблик Avtograd News сообщил о том, что с сегодняшнего дня АвтоВАЗ начал серийно выпускать Lada Vesta, окрашенные в цвет «Борнео» – это тёмно-серый металлик. До этого Lada Vesta были в большинстве своем белые и немного чёрные. Изображение...

Российский бестселлер Chery Tiggo 7 Pro Max резко подешевел В России подешевел самый популярный кроссовер Chery, который носит названием Tiggo 7 Pro Max. Две его комплектации резко подешевели, а еще три стали дороже на символические 100 рублей. Об этом сообщают «Автоновости дня». В частости подешевела переднеприводна...

Lada Granta в новом цвете «Борнео» доставляются к дилерам Через несколько дней после начала производства Lada Granta в цвете «Борнео» такие машины уже доставляются к дилерам, о чём сообщил ресурс «Лада.онлайн» со ссылкой на инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Автомобили ...

Анонс Nokia XR21 Limited Edition – первая европейская Nokia за 10 лет HMD Global, финская фирма, производящая смартфоны под брендом Nokia, объявила, что начнёт производство 5G-смартфонов в Европе. Первым таким устройством станет прочный и водостойкий Nokia XR21 Limited Edition. Эта версия устройства будет производиться специально в Венгрии. …

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

Samsung может начать выпускать дисплеи как у Apple Vision Pro через 3-4 года Гендиректор Samsung Display Чой Джу Сун считает, что соответствующий рынок начнет стремительно развиваться в 2027-2028 году

Jaguar прекратит выпуск автомобилей с ДВС к июню этого года И начнёт выпускать электромобили на новой платформе со следующего года.

Samsung может начать выпускать квадратные часы вместо круглых Южнокорейский производитель может изменить форму Galaxy Watch

Чипы AMD Ryzen 7 5700X3D и 5000GT начали продаваться в Европе Платформа AMD Socket AM4 все еще жива и бодра, и уже 7-й год AMD выпускает новые модели процессоров

Как сейчас обстоят дела у автопроизводителей, которые ушли с российского рынка в феврале 2022 года Что же начали выпускать на оставленных заводах.

Новые смарт-часы Samsung Galaxy показали на видео до презентации В первый раз такое?

Xiaomi представила свои первые смарт-часы с ЭКГ Давление они тоже измеряют.

Смарт-часы Samsung Galaxy Watch научились показывать время на Марсе, Венере и прочих планетах Солнечной системы Samsung представила уникальную функцию для своих смарт-часов Galaxy Watch — теперь они показывают время на других планетах!

Новые смарт-часы Xiaomi Watch S3 получат 12-канальный датчик сердцебиения Xiaomi готовится к запуску своих новых смарт-часов Watch S3, которые будут работать на обновлённой операционной системе HyperOS.

В новой HomePod может появиться изогнутый сенсорный экран По словам известного инсайдера и коллекционера прототипов яблочных устройств, который известен под ником Kosutami, компания Apple продолжает работать над следующей версией своей умной колонки. Одной из фишек обновленной HomePod станет изогнутый сенсорный дисплей.Читать дальш...

Замена Miro, первый российский конструктор прототипов сайтов, сервис быстрых ответов в Telegram и другие стартапы 9 участников первого набора Product Radar. Битва за «Продукт недели» в самом разгаре! Product Radar — российская площадка для продвижения технологических продуктов, аналог Product Hunt. Читать далее

«Российская Camry всё-таки будет». Главный редактор «За рулем» раскрыл подробности проекта по созданию отечественного аналога Toyota Camry По словам главы Минпромторга Дениса Мантурова, скоро в России начнут выпускать относительно доступные автомобили в форматах седанов классов E (размером с Toyota Camry) и S (что-то вроде Mercedes-Benz S-класса).  Главный редактор «За рулем» Максим К...

FT: Грядущее лето станет временем, когда Россия начнёт свое "массированное" наступление А ВСУ могут пока "дождаться" 2025 года и провести уже свое контрнаступление, если ещё будет существовать

Сверхзвуковой коммерческий самолет следующего поколения совершил свой первый испытательный полет Уход «на пенсию» лайнера Concorde в ноябре 2003 года вовсе не стал концом эпохи сверхзвуковой пассажиркой авиации. Ее продолжение — лишь вопрос времени. 22 марта в аэрокосмическом порту Мохаве, штат Калифорния состоялся первый полет Boom ХВ-1 — прототипа будущего сверхзвуков...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Упаковка Google Pixel 8 подтвердила дизайн и характеристики смартфона На сайте Mydrivers опубликовали реальные фотографии упаковочной коробки смартфона Google Pixel 8. На верхней части коробки видно, что хотя расположение камер Pixel 8 такое же, как у Pixel 7, сами модули явно больше, чем у Pixel 7. Ожидается, что смартфон получит светочу...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Yamaha представила первый в мире водородный мотор для лодок Yamaha, во время Международной выставки яхт в Майами, представила подвесной прототип первого в мире мотора для лодок, работающего на водороде. Компания заключила партнерство с Roush и Regulator Marine для интеграции этого мотора в рабочую лодку. Компания начнет испытания сво...

Производитель российских электромобилей Evolute начнет собирать бензиновые кроссоверы Dongfeng: модели и характеристики Сергей Цыганов, автоэксперт и автор Telegram-канала «Русский автомобиль», сообщил о том, что компания «Моторинвест» в 2024 году начнет собирать в Липецке на своем заводе, где сейчас производятся электромобили Evolute, бензиновые кроссоверы Dongfe...

Создатели Genshin Impact MiHoYo начнут выпускать эксклюзивные игры для HUAWEI Компания заявила о разработке нескольких проектов для экосистемы HarmonyOS и магазина приложений AppGallery.

Черная жемчужина Apple - в Сети появились фото ультраредкого прототипа iPod Hi-Fi Бывший сотрудник Apple показал ультраредкий черный прототип культовых колонок iPod Hi-Fi 2006 года. Всего несколько экземпляров было создано во время разработки.

Infinix привезла в Россию свои первые смарт-часы и новые TWS-наушники

LG анонсировала смарт-телевизоры QNED и QNED Mini LED Компания LG анонсировала свою новейшую линейку смарт-телевизоров 2024 года QNED и QNED Mini LED.

Функции генеративного искусственного интеллекта в устройствах Galaxy S24 могут стать платными Samsung может начать взимать плату с пользователей за использование встроенного генеративного ИИ в Galaxy S24 и других устройствах

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Tesla может начать продажи роботов Optimus в 2025 году, а уже в этом году они начнут трудиться на заводах После публикации финансовых результатов Tesla за первый квартал 2024 года Маск сообщил обновленную информацию о сроках внедрения гуманоидного робота Optimus. Генеральный директор заявил, что Optimus уже выполняет заводские задачи в своей лаборатории. Он считает, что к к...

В сети появились фото одного из ранних прототипов Apple Watch Первые Apple Watch появились на полках магазинов в 2015 году. Однако компания Apple начала работать над этим гаджетом задолго до анонса. Сегодня известный коллекционер яблочных устройств Джулио Зомпетти поделился серией фотографий одного из самых ранних производственных прот...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Никогда такого не было и вот опять: китайцы начали клонировать ноутбуки с двумя дисплеями. Первым стал Yoga Book 9i Относительно недавно производители электронных устройств стали одну за другой выпускать модели гаджетов с гибким экраном, а также с двумя дисплеями. Что первые, что вторые — пока что очень нишевые девайсы, стоимость которых весьма высока. Правда, смартфоны с гибкими экранами...

Samsung готовит новые смарт-часы Galaxy Watch 7 с улучшенной производительностью Galaxy Watch 7 могут быть доступны в вариантах Classic, Pro и Ultra с чипсетом Exynos W940.

Специалисты МАМИ показали электромобиль «Амбер» в виде раннего прототипа Прототип электромобиля «Амбер» Московским политехом, также известным как МАМИ, вчера был представлен общественности электромобиль «Амбер». Вернее, это универсальная тестовая платформа для создания электромобилей класса L7 или, можно сказать, первоначальный прототип грядущег...

Первый безрамочный Windows-ноутбук с Dynamic Island показала Samsung Компания Samsung показала прототип ноутбука Samsung Galaxy с рамкой практически нулевой ширины, о чём сообщает осведомлённый инсайдер под ником Ice Universe. Его особенностью стала врезанная прямо в экран фронтальная камера, вокруг которой реализована динамическая интер...

Вагоностроили обеспечат российский автопром пружинами: в России начнут выпускать детали подвески для 300 новых моделей автомобилей На вторичном рынке появятся отечественные пружины для большого количества новых моделей. Как сообщил Минпромторг, входящий в холдинг «Объединенная Вагонная Компания» ижевский завод «Пружина» в ближайшие годы начнет выпускать детали подвески для б...

Google начала разработку бюджетных смарт-часов Pixel Watch 2a Издание GizmoChina обратило внимание на каталог сайта сертификации Федеральной комиссии по связи США (FCC). В каталоге под номером G4SKY появилось описание «умных» часов; инсайдеры считают, что это смарт-часы Google Pixel Watch 2a.

Nvidia решила начать создавать полузаказные индивидуальные чипы под специфические нужды конкретного клиента Компания Nvidia создаёт новое бизнес-подразделение. Оно займётся разработкой полузаказных решений для разных направлений.  В частности, Nvidia будет создавать специализированные чипы для компаний, занимающихся облачными вычислениями и искусственным интеллектом, но...

Новый испанский бронетранспортер Dragon проходит заводские испытания Первый прототип БМП VCR 8x8 Dragon проходит заводские (или контрольные) испытания перед отправкой на полигон.

«Лаборатория Касперского» представила прототип магазина приложений для KasperskyOS Глава отдела бизнеса «Лаборатории Касперского» по развитию KasperskyOS Дмитрий Лукиян рассказал, что компания разработала прототип магазина приложений для их ОС, который начнёт работать в третьем квартале 2024 года. По словам Лукияна, платных приложений в магазине не будет.

Exynos 2400 — действительно неплохая платформа, но с не лучшей энергоэффективностью. Тесты показывают, что потребление CPU довольно высокое Различные тесты уже доказали, что SoC Exynos 2400 действительно получилась достаточно неплохой на фоне Snapdragon 8 Gen 3. Однако свежие данные говорят о том, что всё же у платформы Samsung всё намного хуже с энергоэффективностью.  Если говорить только о процессор...

В Ленинградской области испытали первый прототип российского водородного судна В России протестировали первое отечественное водородное судно

Российская компания начнёт выпускать беспилотники для Индии В мире беспилотных технологий наступает новая эра сотрудничества между Россией и Индией.

Японская компания TDK начнёт выпускать элементы питания для Apple iPhone в Индии Программа локализации действует и приносит свои плоды.

Китайские компании начали выпускать дискретные видеокарты с мобильными чипы Nvidia RTX 4000 В Китае уже замечены адаптеры с мобильными графическими ускорителями RTX 4090 и RTX 4080

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Обзор смарт-очков Ray-Ban: прошедшие мимо, но оказавшиеся очень полезными В обзоре Филипе Эспосито из 9to5Mac рассказывает о том, почему новейшие смарт-очки Ray-Ban, произведенные совместно с Meta*, привлекли его внимание. Эти очки, что примечательно, выглядят так же, как и обычные, но обладают мощными технологиями.

Nothing выпустила первые смарт-часы и другие гаджеты под брендом CMF. Стоят копейки Из чего же их делают?

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Были Bosch — стали «Метеор»: в России начали выпускать свечи зажигания для мощных машин На бывшем заводе «Роберт Бош Саратов» (ныне предприятие называется «Энгельс Свечи зажигания») начали выпускать свечи зажигания Meteor с драгоценными металлами. Такие подходят для мощных автомобилей. Изображение: Meteor Auto Как ра...

Ростелеком покажет собственные базовые станции на конференции ЦИПР в мае Оператор Ростелеком представит на конференции ЦИПР-2024, которая пройдёт в конце мая в Нижнем Новгороде, первые полностью отечественные рабочие прототипы базовых станций мобильной связи. Президент компании Михаил Осеевский рассказал, что Ростелеком открыл отдельную комп...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Samsung Galaxy Watch 7 могут получить сенсор для измерения уровня сахара в крови Наконец-то в смарт-часах появится глюкометр.

Так могут выглядеть юбилейные Apple Watch Series X Автор YouTube-канала AppleTrack показал флагманские смарт-часы Apple на качественных рендерах со всех сторон.

Производство грузовиков на бывшем российском заводе Volvo разгоняется: уже выпускают по 9 машин в сутки В Калуге на заводе АМО (это бывший российский завод Volvo) начали выпускать больше машин: если поначалу производили около 5 машин в сутки, то сейчас объем производства достигает 9 машин в сутки, а в ближайших планах довести объем до 12 машин в сутки. На предприятии раб...

Xiaomi выпустила новые смарт-выключатели и смарт-розетки Xiaomi выпустила два новых продукта — Xiaomi Smart Switch Pro и Xiaomi Smart Wall Socket Pro.

Инсайдеры: Samsung к старту продаж в августе выпустит 400 тысяч колец Galaxy Rings Корейское инсайдерское издание ETNews сообщило, что Samsung готовится к продажам смарт-браслета Galaxy Rings. Компания начнёт готовиться к презентации и последующим продажам, произведя 400 тысяч Galaxy Rings.

Внешность как у Haval Raptor, 7 мест, 9-ступенчатый «автомат», 224 л.с. и полный привод по цене от 4,29 млн рублей. Все подробности о российском Haval H9 нового поколения В России полностью рассекретили Haval H9 нового поколения. И это довольно интересно, так как это машина еще даже не вышла на китайский рынок, но уже озвучен план запуска сборки нового H9 на заводе под Тулой в мае 2024 года, а в продажу он поступит через несколько месяце...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Раскрыты первые подробности смарт-часов Apple Watch Ultra 3 В сети появились первые подробности о следующем поколении смарт-часов Apple Watch Ultra.

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

Lenovo начнет выпускать устройства на особой версии Android — Esper Foundation Lenovo собирается представить новую линейку корпоративных устройств, работающих под управлением собственной оболочки Android Esper Foundation. Первым устройством с Esper Foundation станет Lenovo ThinkCentre M70a - ПК, оснащенный процессором Intel Core i9 12-го поколения...

Российско-белорусские роботы «Пиксель» начали работать на улицах Москвы Робот под названием «Пиксель», снабженный шарнирной рамой, разработан компанией «Автономика». Робот был представлен год назад, осенью прошлого года эту машину тестировали на ВДНХ, а теперь 10 машин начали работу в Москве. Потенциальными заказчик...

Xiaomi уверяет, что ее смарт-замки не могут самопроизвольно открываться Xiaomi ответила на жалобы пользователей относительно своего смарт-замка, после сообщений о неожиданных открытиях двери.

HUAWEI готовит продолжение популярных смарт-часов Watch Fit Новые HUAWEI Watch Fit 3 уже прошли сертификацию и могут быть представлены в любой момент.

Нет, это не iPhone, хотя очень похоже. Опубликованы живые фото специальной версии Xiaomi Civi 4 Pro Живые фотографии специальной версии Xiaomi Civi 4 Pro опубликовал осведомлённый инсайдер под ником Ice Universe. Экран имеет овальный вырез под систему фронтальных камер, как у новых iPhone. Это черно-белая версия Xiaomi Civi 4 Pro, выпущенная ограниченным тиражом. Кор...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Первые автомобили УАЗ планируют начать выпускать на заводе «Чеченавто» в 2024 году Председатель правительства Чечни Муслим Хучиев заявил, что выпуск автомобилей УАЗ на автомобилестроительном заводе «Чеченавто» планируют начать в 2024 году. «Вы уже можете проехать на чеченской "Газеле", на чеченском "Автовазе", но,...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Разработчик игр Respawn Entertainment работает над прототипом нового проекта Titanfall Таким образом, хотя официальная информация о следующем проекте Respawn не раскрыта, известно, что команда разрабатывает прототип игры во вселенной Titanfall. Эта игра будет основана на собственных брендах студии, а не на лицензионных материалах

Инсайдер показал прототип Nubia Z60 Ultra, у него просто огромный блок камеры Nubia уже подогревает интерес к своему будущему флагману на однокристальной системе Qualcomm Snapdragon 8 Gen 3, а известный инсайдер Digital Chat Station у себя на страничке в Weibo опубликовал фото прототипа. Точнее, двух прототипов, и один очень выделяется своей каме...

Смарт-колонка «Яндекс Станция Миди»: первая модель в самой технологичной линейке Судя по всему, «Яндекс Станция Миди» — не просто очередная колонка, закрывшая пустовавшую ранее нишу «среднего устройства в линейке». Она должна послужить отправной точкой для появления в будущих смарт-колонках Яндекса как минимум одной очень важной функции — голосового упра...

ChatGPT может писать смарт-контракты Пара исследователей из Salus Security, блокчейн-компании по обеспечению безопасности с офисами в Северной Америке, Европе и Азии, недавно опубликовали исследование, демонстрирующее таланты GPT-4, когда дело доходит до анализа и аудита смарт-контрактов

В России будут собирать новейший внедорожник Jetour Т2. Компания планирует продать до 50 000 машин в 2024 году К концу 2024 года в Калининграде начнутся выпускать семейный кроссовер X70 Plus, а в следующем году стартует производство новейшего внедорожника Т2. Кроме того, Jetour планирует продать в России в этом год до 50 тыс. машин, но не мене 30 тыс. единиц, о чем сообщил &laqu...

В действительности Tesla начнёт выпускать новую массовую модель электромобиля ближе к концу следующего года Об этом сообщил глава компании Илон Маск.

Xiaomi опровергает слухи: HyperOS глобально для выбранных моделей После презентации HyperOS в сети начали распространять информацию, что эта прошивка только для китайского рынка, а в остальном мире Xiaomi продолжит выпускать MIUI

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)