Социальные сети Рунета
Пятница, 10 мая 2024

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

TSMC должна будет к 2028 году освоить в США выпуск 2-нм продукции И построить три предприятия вместо двух.

TSMC придётся к 2025 году найти новое место для строительства своего передового предприятия на Тайване Иначе к 2027 году она не успеет освоить выпуск 1,4-нм продукции.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Предприятие Ростеха освоило выпуск комплектующих для аддитивного производства Обнинское научно-производственное предприятие «Технология» имени А. Г. Ромашина выпускает комплектующие из технической керамики для оборудования, применяемого в производстве металлических порошков для промышленных 3D-принтеров. Мощности предприятия позволят закрыть дефицит, ...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Уходит эпоха: BMW прекратила выпуск двигателей внутреннего сгорания в Германии Ноябрь 2023 года стал поворотным моментом для головного предприятия BMW в Мюнхене: завод, на котором в течение 60 лет выпускали бензиновые и дизельные двигатели, прекратил выпуск ДВС. Последним собранным мотором стал V8. Теперь на предприятии наладят выпуск электромобил...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Производство российских ESP запустят 19 декабря, а 18 декабря перезапустят завод «ПСМА Рус», на котором раньше выпускали Mitsubishi Outlander Под конец года состоится сразу несколько важных событий на российском автомобильном рынке: начнется выпуск отечественных систем ESP и возобновится работа калужского предприятия «ПСМА Рус», на котором ранее собирали Peugeot 408, Citroen C4, Peugeot Partner, C...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Финская компания HMD начала производство собственных смартфонов Ранее предприятие выпускало смартфоны для бренда Nokia

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Geely Coolray первого поколения получил российское стекло и колесные диски. В Белоруссии рассказали об импортозамещении BelGee X50 На предприятии «БелДжи», которое выпускает автомобили Geely для Белоруссии и России рассказали об импортозамещении Geely Coolray первого поколения – такая модель продается под названием BelGee X50. Оказалось, что в нем есть и российские компоненты. Фо...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

АвтоВАЗ избавляется от комплектующих Renault АвтоВАЗ избавляется от комплектующих и деталей для автомобилей Renault, которые хранились на Опытно-промышленном производстве. Об этом пишет инсайдерский паблик Avtograd News. Изображение: Renault «Опытно-промышленное производство ВАЗа. Сейчас здесь производится ...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Lada Niva, Vesta и Granta получили российские патрубки, шланги и сальники вместо немецких и турецких Как сообщает ТАСС со ссылкой на пресс-службу Министерства промышленности и энергетики Саратовской области, местный завод «Балаковорезинотехника» (БРТ) импортозаместил ряд компонентов для отечественных Lada Niva, Vesta и Granta. Так, для двигателя «Гра...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Новый iPad Pro выпустят в начале мая Если верить информации достаточно известного инсайдера, модели iPad Pro с OLED-дисплеем от Apple должны появиться на рынке уже в начале мая. И этой информации в целом можно доверять, потому что предстоящие модели iPad Pro с OLED-дисплеем от Apple появились в списках индийски...

В 2024 году камера Zeiss выйдет на новый уровень? Инсайдер анонсировал «камерофон-Танос» от Vivo Инсайдер Digital Chat Station анонсировал камерофон Vivo, который за передовые возможности камеры называл Таносом. «Возможности изображения [Vivo] X100 уже превзошли [возможности] всех флагманов этого [2023] года, а в следующем году появится Танос с более высоким...

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

Бывший завод Continental в России выпускает шины под брендом Gislaved. Обещают и новый премиальный бренд Бывший шинный завод Continental в Калуге произвел ребрендинг и сейчас на нем выпускают шины под брендом Gislaved, о чем пишет «Коммерсантъ». Гендиректор компании «Кордиант» Вадим Володин сообщил, что завод вошел в шинный кластер на базе АО «...

Geely Emgrand получил 150-сильный турбомотор от Geely Coolray – и такой седан может появиться в России На этой неделе в Малайзии стартовали продажи «спортивного» Geely Coolray под названием Proton X50 R3, а сейчас местный бренд Proton представил очередную новинку – седан Proton S70. И это довольно интересная новость. Proton S70 – это клон предста...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Haval подробно рассказал про антикор российских кроссоверов С конца прошлого года российский завод Haval в Тульской области приступил к комплексу модернизационных мероприятий. В цехе сварки было установлено 110 роботов, дополнительные роботы появились в автосборочном цехе и цехе окраски. Иван Душкин, руководитель внешних связей ...

Покупатели стали чаще жаловаться на КамАЗ К5, уровень брака по вырос на 21,4% Редко бывает, когда автопроизводитель сам честно говорит о браке в своих автомобилях, но КамАЗ не намерен скрывать всю правду, даже если она не очень приятная. Как сообщает корпоративный ресурс «Вести КамАЗа», завод проанализировал рекламации ...

До конца 2027 года Intel освоит выпуск чипов по технологии Intel 10A И внедрит на предприятиях роботов.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Apple отказалась от выпуска электрокара Вероятно, вы слышали, что компания Apple в тайне работала над автономным автомобилем, который должен был отправиться в релиз в обозримом будущем. К сожалению, сегодня появилось сообщение, что компания отменяет все разработки в данном направлении, и команды, работающие над эт...

KAMA TYRES вместе с сотрудниками ГИБДД провели для первоклассников «дорожное» мероприятие Предприятия KAMA TYRES вместе с гоночной командой «КАМА-МОТОР-СПОРТ» (ранее – Viatti Racing Team) и сотрудниками ГИБДД провели для первоклассников нижнекамской школы мероприятие, посвященное безопасности на дорогах. Ребята узнали, почему дети и взрослые должны быть вниматель...

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

В Челябинской области вместо грузовиков Iveco начали собирать китайские грузовики Hongyan, но это равноценная замена В России на Миасском предприятии АМТ N.V. (ранее оно называлось «Ивеко-АМТ» и выпускало грузовые автомобили Iveco), начали собирать китайские грузовики Hongyan. На самом деле, замену можно считать равноценной, так как Hongyan производятся в Китае на совместн...

Президент Южной Кореи посетил передовое предприятие ASML в Нидерландах В Южной Корее появится исследовательский центр ASML.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Линейка казахстанских Chevrolet станет разнообразнее: в соседней стране начнут выпускать Chevrolet Captiva В Казахстане выдан сертификат на Chevrolet Captiva. Судя по документам, собирать кроссовер будут на предприятии «СарыаркаАвтоПром» (оно выпускает другие авто Chevrolet, а также машины Kia и JAC). Chevrolet Captiva нынешнего (второго) поколения – довол...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

TSMC планирует освоить передовые 2-нм и 1,4-нм технологические процессы к 2025 и 2027 годам Apple, скорее всего, станет первым заказчиком обоих узлов, если в ближайшие несколько лет не произойдет чего-то неожиданного.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

«Китайский Nissan Navara» приехал в Россию. Официальные продажи Oting Palaso стартуют в сентябре, а чуть позже в продаже появятся китайские аналоги Nissan Juke и Qashqai Ещё в прошлом году компания Sinomach говорила о постепенном расширении модельной гаммы бренда Oting в России, и вот сейчас стало известно, какая модель следующей выйдет на рынок. Это будет пикап Oting Palaso, и такая машина уже приехала в Россию, правда, пока под оригин...

В России начали производить запчасти для Renault, Nissan и Mitsubishi Компания «Резинотехника» запустила на предприятии в Балакове опытное производство запасных частей для автомобилей иностранных брендов, включая Renault, Nissan и Mitsubishi. Об этом сообщила пресс-служба министерства промышленности и энергетики Саратовской об...

CCleaner больше не нужен. Появилась безопасная утилита для очистки и оптимизации компьютера Компания Microsoft начала выпускать для Windows 10 и 11 патчи, которые должны были закрыть уязвимость, связанную с  драйверами.

АвтоВАЗ: серийное производство Lada e-Largus стартует летом, а с 15 мая начнут собирать бензиновые машины Президент АвтоВАЗа Максим Соколов заявил, что серийное производство электромобиля e-Largus на заводе в Ижевске стартует летом этого года. Перед этим, уже с 15 мая, стартует массовое производство бензиновых Lada Largus, о чем пишет главный редактор «За рулем»...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Завод Toyota превратился в парковку для автобусов, завод GM — без признаков жизни. Autonews рассказал, что сейчас происходит на бывших российских заводах Toyota, Hyundai, GM и Ford Корреспондент Autonews посетил четыре больших завода, которые ранее выпускали автомобили в России – площадки Toyota, GM, Hyundai и Ford. Пока официальные лица говорят, что производства вот-вот запустят (или уже запустили), реальная картинка говорит о том, что заво...

Стартовало производство совершенно нового кроссовера Lada. Россиянам он хорошо знаком как Chery Tiggo 7 Pro На предприятии «Автозавод Санкт-Петербург» (раньше оно называлось «Lada Санкт-Петербург», а до этого на нём выпускали автомобили Nissan) началась сборка кроссоверов Chery Tiggo 7 Pro. Об этом сообщил телеграм-канал «Автопоток» со ссыл...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Kia Sorento будут выпускать в Казахстане, а в Узбекистане наладят выпуск кроссовера Kia Sonet, причем по полному циклу Как сообщает ресурс Autostrada.uz, в Узбекистане на заводе ADM Jizzakh начнут выпускать компактный кроссовер Kia Sonet — это, можно сказать, «младший брат» Kia Seltos. Что интересно, сборку планируется вести по полному циклу, со сваркой и окраской кузо...

Бывший российский завод Bridgestone будет выпускать премиальные шины Бывший завод Bridgestone в Ульяновске перезапустит производство шин до конца 2024 года, о чем пишет «Автопоток» со ссылкой на Вадима Володина. Гендиректор компании «Кордиант» Вадим Володин заявил журналистам, что в 2025-м бывший завод Bridgestone...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Бывший российский завод Nissan терпит миллиардные убытки Убыток предприятия «Автозавод Санкт-Петербург» (сейчас так называется бывший российский завод Nissan) за 2023 год составил 13,7 млрд рублей, об этом сообщает издание «Деловой Петербург». Для сравнения, в 2022 году убытки предприятия составили 6 м...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Старт продаж кроссоверов Xcite X-Cross 7 (Chery Tiggo 7 Pro) откладывается Представленный в прошлом месяце кроссовер Xcite X-Cross 7, выпуск которого наладили на бывшем заводе Nissan в Санкт-Петербурге, должен был поступить в продажу в начале мая, но, как сообщает Telegram-канал «Русский автомобиль», старт продаж откладывается. И п...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

Глава Роскосмоса: в России будут производить до восьми тяжёлых ракет «Ангара-А5» в год Производственное объединение «Полёт» (входит в Роскосмос) при работе на максимальной мощности должно быть способно выпускать до восьми тяжёлых ракет-носителей «Ангара-А5» в год. Об этом сообщил генеральный директор Роскосмоса Юрий Борисов во врем...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Apple Watch Ultra 3 не получат серьёзных улучшений в этом году В этом году компания Apple планирует выпустить несколько действительно интересных устройств вроде серии смартфонов iPhone 16 и новых умных часов из серии Apple Watch. Однако сегодня появилась новая информация, утверждающая, что Apple Watch Ultra 3, которые должны появиться в...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

В Узбекистане хотят собирать по 500 000 машин BYD к 2027 году. Локализацию планируют довести до 60% BYD планирует выпускать на заводе BYD Uzbekistan Factory до 500 тыс. электромобилей и гибридных автомобилей к 2027 году. Об этом сообщил заместитель директора BYD Uzbekistan Factory Диёр Мухамедов. Ранее стало известно, что BYD создаст на территории Узбекистана предприя...

Компания TSMC успешно прошла «проверку на прочность» после стихийного бедствия Несмотря на разрушительное землетрясение, крупнейший производитель чипов сумел запустить большинство передовых предприятий всего через 10 часов после катастрофы.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Сборку российских двигателей для Haval показали на фото и видео. Новые детали Фотографии и видеоролик, которые демонстрируют сборку российских двигателей для автомобилей Haval в Тульской области, опубликовал главный редактор журнала «За рулем» Максим Кадаков. Строительство завода двигателей началось в ноябре 2020 года. Китайцы обещали...

Импортозаместили: новые комплектующие для Lada начали изготавливать в России На производственном предприятии «Резинотехника» в городе Балаково, Саратовская область, успешно запущено производство новых комплектующих и запчастей для автомобилей отечественных и зарубежных марок. Особенное внимание уделяется импортозамещению, и часть про...

Уже не Lada. АвтоВАЗ назвал сроки начала продаж автомобилей под совершенно новым брендом АвтоВАЗ начнет продажи автомобилей под совершенно новым брендом, которые будут выпускаться на площадке в Санкт-Петербурге, уже весной этого года, о чем заявил президент компании Максим Соколов. «Мы уже сказали, что линейка нашей продукции будет расширяться. В том ...

На Lada Granta начали устанавливать «автомат» Toyota АвтоВАЗ все никак не освоит производство хотя бы вариаторных трансмиссий, но частные фирмы уже научились устанавливать на Lada Granta классические «автоматы». Причем речь идет об очень надежной четырехступенчатой трансмиссии Aisin. Изображение: Lada Передел...

В России упал спрос на мотоциклы «Урал»: за 2023 году собрано всего 400 единиц. Завод готов выпускать и больше, но «заказа нет» Россияне охладели к мотоциклам «Урал»: в текущем годы было собрано всего 400 единиц, хотя в прошлые года в среднем собирали в три раза больше. По словам исполнительного директора Ирбитского мотозавода Владимира Курмачева, предприятие может выпускать в разы б...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Сэм Альтман планирует создать компанию для производства чипов, способных конкурировать с Nvidia Компания должна будет выпускать графические ускорители для обучения искусственного интеллекта

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

HUAWEI начала продажу охлаждающих чехлов для смартфонов. Нужны ли они? HUAWEI в последнее время активно подкидывает инфоповоды. То компания выпускает современный смартфон Mate 60 Pro на собственном домашнем процессоре, который обсуждают во всём мире, потому что он ставит рекорды продаж на родине и теснит iPhone, да и вообще не должен был появ...

Производство систем ESP в России запустят до конца декабря. Их будут выпускать там же, где выпускают системы ABS Сначала в России начали выпускать системы ABS (производство в Костроме стартовало летом), а сейчас готовится к запуску линия по выпуску противозаносных систем (ESP). По словам замглавы Минпромторга РФ Василия Шпака, выпуск ESP начнется уже в текущем месяце. «Сего...

Skoda променяла Россию на Казахстан. В соседней стране будут собирать Skoda Kodiaq и Octavia, а также Superb, Kamiq и Karoq На прошлой неделе стало известно, что казахстанская Allur подписала соглашение с Volkswagen Group и запуске в стране сборки автомобилей Skoda, и вот сейчас появились подробности на этот счет. Изображение: Skoda Оказалось, что в Казахстане будут выпускать две модели, ко...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Китай к 2032 году будет выпускать не более 2% мирового объёма передовых чипов Но серьёзно продвинется в сфере зрелой литографии.

«Аквариус» и Росатом хотят выпускать микроконтроллеры для шифрования данных По сообщению издания «Коммерсантъ», Росатом и «Аквариус» создают совместное предприятие по выпуску микроконтроллеров для шифрования данных в вычислительной технике. Об этом изданию рассказал источник, знакомый с проектом, но сами компании информа...

Больше никаких неубиваемых смартфонов Motorola, CAT и Land Rover. Выпускавшая их компания Bullitt Group закрылась Похоже, на рынке станет немного меньше защищённых смартфонов, выпущенных под известными брендами.  фото: CAT Речь о брендах Motorola, CAT и Land Rover. Эти бренды объединяет то, что соответствующие защищённые аппараты выпускала компания Bullitt Group, которая, пох...

Китай решил привлечь более $ 27 миллиардов для развития полупроводников Китай готовит более $ 27 миллиардов для своего крупнейшего фонда для полупроводников, ускоряя разработку передовых технологий в ответ на кампанию США по торможению его роста. Национальный фонд интегральных микросхем собирает капитал от местных правительств и государстве...

Apple готовится существенно обновить компьютер iMac Инсайдеры заявили, что процессор M3 от Apple изначально должен был появиться в этом году с улучшенными характеристиками и более высокой производительностью в рамках новых ноутбуков MacBook Air. Однако по новым данным, которые появились сегодня ночью, запуск передового процес...

В России планируют освоить производство 28-нм чипов Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов на кремниевых пластинах. Говорится в «дорожной карте» развития индустрии, которую представил замглавы Министерства промышленности Василий Шпак в ра...

Renesas перезапустила предприятие в Японии, которое простаивало почти десять лет Теперь на нём будут выпускать востребованную силовую электронику.

Tesla приступила к расширению предприятия в Неваде, где будет выпускать грузовики Semi А также аккумуляторные ячейки типоразмера 4680.

На калужском заводе «ПСМА Рус» появились новые иномарки Peugeot и Citroen. Их выпуск могут наладить на предприятии Мы уже сообщали об активных работах по перезапуску производства на заводе «ПСМА Рус» в Калужской области, а сейчас Autonews заметил на предприятии новые иномарки. Вероятно, их-то и будет выпускать предприятие. Peugeot 4008. Изображение: Peugeot Одним из так...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

На бывшем российском заводе Mercedes-Benz заканчиваются работы по подготовке к производству люксовых китайских авто Hongqi Telegram-канал Carsfan изложил свой вариант будущего подмосковного завода, который ранее выпускал автомобили Mercedes-Benz. Как сообщается, на предприятии все-таки будут собирать не Chery, а люксовые автомобили Hongqi (это суббренд FAW). Собственно, слухи о том, что на...

Вагоностроили обеспечат российский автопром пружинами: в России начнут выпускать детали подвески для 300 новых моделей автомобилей На вторичном рынке появятся отечественные пружины для большого количества новых моделей. Как сообщил Минпромторг, входящий в холдинг «Объединенная Вагонная Компания» ижевский завод «Пружина» в ближайшие годы начнет выпускать детали подвески для б...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Во Львове неизвестный организовал пожар на фабрике, выпускавшей до 5000 дронов в месяц К настоящему моменту здание сильно разрушено, а предварительная оценка нанесённого ущерба только начинается. До ЧП здесь выпускали БПЛА "Лелека 100", RAM II UAV и "Фурия"

Вместо Lada – XCITE. На бывшем российском заводе Nissan начали выпускать автомобили XCITE, уже собрано несколько тысяч машин Сегодня ООО «Автозавод Санкт-Петербург» (раньше предприятие называлось «Lada Санкт-Петербург», но после введения американских санкций в отношении АвтоВАЗа осенью прошлого года отечественный флагман решил дистанцироваться от предприятия) официальн...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

SUNRATE совместно с YeePay помог китайским компаниям найти путь к глобальному развитию  SUNRATE, интеллектуальная глобальная платформа для управления платежами и денежными средствами, объявила об установлении партнерских отношений с YeePay, ведущим поставщиком корпоративных платежных решений, с целью обеспечения китайским компаниям возможности выхода на мировые...

В Казахстане будут выпускать десятки тысяч автомобилей Kia В Казахстане уже есть крупное производство автомобилей Hyundai под названием Hyundai Trans Kazakhstan, а скоро появится еще более крупное производство, на котором будут выпускать автомобили Kia. Новый завод разместится в Костанае и будет выпускать по 70 тысяч машин Kia ...

Береговые ветровые турбины наибольшего диаметра для ветропарка «Хайань» во Вьетнаме Компания Electric Wind Power, дочерняя компания Shanghai Electric, ведущий китайский производитель оборудования для береговой ветроэнергетики и один из крупнейших китайских производителей оборудования для морской ветроэнергетики, подписала соглашение о поставке своих передов...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

УАЗ «Патриот» получит обновленный двигатель уже в декабре. Что заменили? Автомобили УАЗ получат обновленный двигатель с другими звездочками привода газораспределительного механизма (ГРМ). «Коломенский завод порошковой металлургии» в городе Коломна Московской области запустил серийное производство комплектующих для новых двигателе...

Дженсен Хуанг заявил, что NVIDIA будет выпускать GPU для Китая в соответствии с законами США Ранее министр торговли США раскритиковала деятельность компании по обходу санкций

Poco F6 Pro совсем скоро отправится в релиз Совсем скоро компания Poco, которая является дочерним предприятием компании Xiaomi, должна обновить свою линейку так называемых «убийц флагманов». Информация об этом появилась достаточно давно, а сегодня предстоящий Poco F6 Pro был замечен в базе данных FCC — смартфон получи...

Белорусский кроссовер BelGee X50 станет еще лучше исходного Geely Coolray, но не сразу Президент Белоруссии Александр Лукашенко на встрече с работниками белорусский предприятий рассказал, как будет проходить локализация кроссовера BelGee X50, который пока является лишь переименованным Geely Coolray. Глава страны заявил, что локализацию нужно увеличивать. ...

В Узбекистане начнут выпускать Hyundai Elantra, Tucson, Sonata и Santa Fe После того, как в России закрылся завод Hyundai, концерн Hyundai-Kia начал наращивать производство машин в сопредельных странах: и Hyundai, и Kia активно собирают в Казахстане, а сейчас стало известно о том, что сборку едва ли не всего модельного ряда Hyundai налад...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

GIGABYTE выпускает новые бета BIOS для плат Intel Z790 и B760 после сообщений о проблемах Ранее в Сети появились жалобы на зависания в BIOS после обновления.

Власти Японии готовы выделить $5 млрд на строительство второго предприятия TSMC, которое будет выпускать 6-нм чипы Строительство начнётся до конца текущего года.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Книга «Грокаем Continuous Delivery» Привет, Хаброжители! Код должен быть готов к релизу всегда! Пайплайн Continuous Delivery автоматизирует процессы контроля версий, тестирования и развертывания при минимальном вмешательстве разработчика. Освойте инструменты и методы непрерывной доставки, и вы сможете быстро...

Intel воздержится от строительства передового предприятия по упаковке чипов в Италии На неопределённый срок.

АвтоВАЗ выпускает по машине каждые 26 секунд. Уже в декабре начнётся производство новой модели Президент компании АвтоВАЗ Максим Соколов заявил, что завод работает без остановки несмотря на санкции. «Хотя, конечно, проблемы в связи с этим возникли, но мы их решаем. Каждые 26 секунд с конвейеров предприятия сходит один новый автомобиль, и каждый из них наход...

В России появился новый автомобильный бренд Входящий в состав «АвтоВАЗа» «Автозавод Санкт-Петербург», где ранее производились автомобили Nissan, теперь будет выпускать автомобили под новым брендом XCITE

Qualcomm Snapdragon 8 Gen 4 засветился в AnTuTu Qualcomm готовит к выпуску свой новый процессор Snapdragon 8 Gen 4. Появившаяся в сети информация пролила свет на ход разработки этого передового чипсета, раскрывая интригующие подробности о его производительности и функциях. Ранее сообщалось, что Snapdragon 8 Gen 4…

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

MSI отказалась выпускать показанный ранее 500 Гц монитор с MiniLED-дисплеем Помните тот крутой 500 Гц MiniLED-монитор, который MSI представила на CES 2024? К сожалению, он, скорее всего, так и не появится на рынке.

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Что выпускает бывший российский завод заводе Peugeot-Citroёn: новые детали Издание Autonews опубликовало дополнительное подробности о первой модели автомобиля, который собирают на заводе «ПСМА» в Калужской области, где ранее выпускали автомобили Peugeot, Citroen, Opel и Mitsubishi для России. Вчера мы уже писали, что речь идёт о кр...

LG выпускает мощный ноутбук LG Gram Pro 2024 с Intel Core Ultra 7 и RTX 3050 Ноутбуки будут оснащены передовыми технологиями искусственного интеллекта.

На Ставрополье откроют производство смесей для строительной 3D-печати Предприятие будет выпускать около четырехсот тысяч мешков сухих смесей в год, производство планируется наладить в следующем году. Реализацией проекта занимается компания Smart Build, уже отметившаяся несколькими проектами по 3D-печати зданий. Планируемых объемов хватит для п...

В России будут выпускать видеокарты китайской Sophgo Китайская Sophgo уже нашла дистрибьютора и будет выпускать продукцию на российском предприятии

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

В Калуге перезапустили бывший завод Volvo Trucks. Теперь предприятие выпускает «Урал Next» Губернатор калужской области Владислав Шапша сообщил о том, что бывший российский завод Volvo Trucks возобновил свою работу. Только вместо грузовиков шведского бренда с конвейера сходят отечественные «Уралы Next», а само предприятие теперь называется АМО (АО...

Все готово к старту продаж российского Citroen C5 Aircross: на машину выдано Одобрение типа Собираемые в Калуге на предприятии «ПСМА Рус» кроссоверы Citroen C5 Aircross уже скоро могут появиться в продаже: как пишет «Автопоток», выдано Одобрение типа транспортного средства на этот автомобиль. И заявитель, и изготовитель машины в докумен...

Lenovo создаёт серию компьютеров на базе Android Компания Lenovo выпускает промышленные устройства для предприятий серии Esper Foundation, которые представляет собой устройства под управлением Android с поддержкой Mobile Device Management (MDM).

YOFC представила передовые инновации на MWC 2024 в Барселоне   Компания YOFC (Yangtze Optical Fibre and Cable Joint Stock Company), лидер на мировом рынке оптического волокна и кабеля, представила пакет передовых продуктов и решений на Всемирном мобильном конгрессе 2024 в Барселоне (MWC Barcelona). В рамках темы «Объединяя будуще...

STMicroelectronics запросит у властей Италии до $2 млрд субсидий на строительство предприятия на острове Сицилия Оно будет выпускать чипы на основе карбида кремния для сегмента электромобилей.

На мебельной фабрике «Мария» готовится к запуску инновационная автоматизированная линия В Саратове на мебельной фабрике «Мария» готовится к запуску автоматизированная линия по производству мебельных каркасов и фасадов. Компания Nanxing обеспечила поставку оборудования и его настройку. До конца года линия должна быть установлена, протестирована и запущена в рабо...

Бывшие российские заводы Hyundai и Toyota «пойдут по пути максимальной локализации»: отечественные технологии, российские конструкторы и поставщики Автомобили, которые будут выпускать бывшие российские заводы Hyundai и Toyota, будут максимально локализованы. Об этом заявил губернатор Санкт-Петербурга Александр Беглов. Фото: Hyundai «Мы рассчитываем, что бывший завод компании Hyundai и бывший завод компа...

TSMC передумала строить передовое предприятие на севере Тайваня из-за протестов местных жителей Деревенских, между прочим.

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Раскладушка Xiaomi уложит на лопатки Samsung Galaxy Z Flip и всех остальных конкурентов? Смартфону приписывают огромный для этого класса аккумулятор Компания Xiaomi уже какое-то время выпускает складные смартфоны, но все они имеют форм-фактор книжки. Согласно данным инсайдера Digital Chat Station, компания готовит свою первую раскладушку.  Смартфон якобы получит SoC Snapdragon 8 Gen 3, то есть будет флагманом....

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Предприятие Ростеха внедрило дополненную реальность в свое производство В пресс-службе Ростеха сообщили, что Объединенная двигателестроительная корпорация (ОДК) внедряет передовые цифровые технологии при сборке авиационных двигателей на Рыбинском предприятии ОДК-Сатурн. Используя комбинацию программной платформы ИКСАР с очками дополненной реальн...

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

«Очередь бешеная. Люди хотят покупать этот автомобиль». Александр Лукашенко потребовал увеличить производство автомобилей Geely в Белоруссии Вчера Александр Лукашенко посетил завод «БелДжи», расположенный под Минском: предприятие выпускает автомобили Geely как для внутреннего рынка, так и для России. Президент Белоруссии подтвердил то, о чем неоднократно сообщали местные СМИ – в соседней ст...

Следующее поколение ускорителей Nvidia для ИИ будет потреблять 1 кВт Компания Nvidia должна представить ускорители для ИИ нового поколения в этом году. Но, похоже, для клиентов они станут доступны только в следующем.  Как минимум Dell утверждает, что ускоритель B200 выйдет именно в следующем. И, видимо, будет потреблять около 1 кВт...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Министр торговли США невероятно встревожена достижениями Huawei и хочет больше инструментов давления Министр торговли США Джина Раймондо (Gina Raimondo) выразила озабоченность последними достижениями Huawei. В частности, выходом SoC Kirin 9000s.   создано DALL-E в Bing Раймондо говорит, что сообщение о том, что китайская фирма разработала передовые чипы, является...

Продажи Skoda Octavia и Skoda Kodiaq, собранных в Казахстане, стартуют через два месяца Появились подробности о проекте сборки в Казахстане сразу четырех моделей Skoda: Octavia, Kamiq, Karoq и Kodiaq. На данный момент соглашение между Skoda и заводом Allur подразумевает только отверточную сборку, но если сотрудничество будет плодотворным, а автомобили буду...

АвтоВАЗ уже собрал пробную партию кроссоверов Cherry Tiggo 7 Pro под брендом Lada Слухи о том, что АвтоВАЗ будет собирать кроссоверы Chery под брендом Lada, подтвердили инсайдеры паблика Avtograd News. Они сообщили, что пробная партия кроссоверов Cherry Tiggo 7 Pro на предприятии «Lada Санкт-Петербург» уже собрана. Эти данные подкрепляютс...

Samsung Galaxy Ring выпустят летом этого года Компания Samsung представила своё умное кольцо Galaxy Ring в январе текущего года на мероприятии Unpacked — спустя какое-то время оно было анонсировано ещё и на выставке MWC 2024 в Барселоне. Теперь же инсайдеры со ссылкой на свои анонимные источники сообщают, что официальна...

Российский завод Peugeot-Citroen готовится к возобновлению производства. На предприятии будут собирать некую новую модель На калужском предприятии «ПСМА Рус» скоро возобновится производство: на предприятии будут собирать некую новую модель. Об этом сообщил ресурс Drom.ru. Увы, сейчас никаких подробностей о новинке пока нет – Drom обещает опубликовать их позже. Напомним, ...

Компания Yeastar представила решение для межрегионального восстановления связи предприятия после отказов   Компания Yeastar, ведущий мировой поставщик объединенных систем связи, представила свое решение для восстановления после отказов для программного обеспечения телефонной системы серии P, которое даст предприятиям межрегиональную избыточность для непрерывной связи даже в случа...

Русский автомобиль: российский завод Volkswagen могут забрать у «Авилона», если к концу года на нем не начнут выпускать машины Сергей Цыганов, автоэксперт и ведущий Telegram-канала «Русский автомобиль», сообщил о том, что у дилерского холдинга «Авилон» могут забрать российский завод Volkswagen (по документам предприятие принадлежит ООО «Арт-Финанс», которая а...

6000 мА·ч, без AMOLED и всяких излишне мощных платформ. Представлен смартфон Vivo Y38 Компания Vivo анонсировала потенциально дешёвый смартфон с огромным аккумулятором. Модель Y38 получила элемент питания ёмкостью 6000 мАч.  С автономностью тут всё должно быть отлично, так как кроме большого аккумулятора тут ещё и экран HD+, что снижает потребление...

По восемь ракет «Ангара» в год смогут выпускать не раньше 2027–2028 гг. Гендиректор Центра имени Хруничева Алексей Варочко рассказал журналистам, что выпуск восьми ракет «Ангара» в год станет возможен к 2027–2028 годам. Сейчас ведётся дооснащение производственных площадей омского филиала ПО «Полёт» для выпуска ...

АвтоВАЗ начнет выпускать «Лады» в двух новых цветах В 2023 году АвтоВАЗ работал над расширением цветовой палитры своих автомобилей, и в случае Granta все получилось: под конец года гамма цветов расширилась до пяти (машину стали красить и металлизированными эмалями). В 2024 году цветовая гамма станет еще шире. Фото: РИА ...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

ESTech объявила об открытии совместного предприятия с WonderHill Studios Сегодня ESTech, инвестиционно-консалтинговая компания, зарегистрированная в США, объявила о подписании меморандума о взаимопонимании с новой студией кино- и телевизионного производства и проката WonderHill Studios (WHS). В октябре 2023 г. WonderHill Studios и ESTech в непоср...

Аналог КамАЗа К5 от Ford может вернуться в Россию через Казахстан. Ford Trucks официально выходит на рынок соседней страны Отделение Ford, занимающееся выпуском грузовых автомобилей, выходит на рынок Казахстана: в соседней стране будут продавать строительные и дорожные грузовики, а также флагманские тягачи F-Max. Все эти автомобили ранее продавались и в России. Так, например, только тягачей...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Ростелеком начнёт выпуск российских базовых станций в первой половине 2024 года Президент Ростелекома Михаил Осеевский на выставке «Россия» заявил, что компания выпустит на своих предприятиях первые образцы базовых станций для мобильной связи ещё до середины 2024 года. Он добавил, что следующим этапом импортозамещения для предприят...

Названы самые популярные модели Toyota, выпускаемые на совместном предприятии FAW Toyota FAW Toyota поставила в общей сложности 56 536 новых автомобилей в апреле 2024 года, из которых поставки модели Grevia составили 7 554 единицы. Кроме того, FAW Toyota официально заявила, что все новые модели оснащены умными функциями. В частности, было поставлено 30 206 ...

Спрос на электромобили оказался не таким, как ожидали в Ford. Компания резко сократила масштабы нового завода и численность персонала Компания Ford сообщила, что новый завод по производству аккумуляторов в Маршалле, штат Мичиган, будет значительно меньше, чем было объявлено первоначально. Ранее в этом году Ford объявил о планах строительства BlueOval Battery Park в Мичигане, завод должен был начать пр...

Та самая давно обещанная Илоном Маском революция. Стало известно, когда Tesla представит своё роботакси Компания Tesla представит своё роботакси уже в августе.  создано DALL-E Илон Маск заявил, что такое авто Tesla покажет 8 августа. Никаких других подробностей пока нет, но ранее Маск уже отмечал, что делает большие ставки на этот проект. В частности, он говорил, чт...

Lada Granta обзавелась подушками безопасности Президент АвтоВАЗа ранее сообщил о том, что в конце февраля стартует производство Lada Granta с подушками безопасности, и свое слово он сдержал: как сообщает паблик Avtograd News, такие машины уже начали сходить с конвейера Волжского автозавода. Изображение: Lada &laqu...

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

В Казахстане начали выпускать Hyundai Custin Ассортимент автомобилей, собираемых в Казахстане на предприятии Hyundai Trans Kazakhstan, пополнился новинкой в виде минивэна Hyundai Custin, он же Hyundai Custo. В продаже машины местной сборки появятся уже в мае. Hyundai Custo создавался для китайского и тайваньского...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Появилось видео уничтоженной ЗРК "NASAMS", накрытой неназванным боеприпасом вдали от передовой Кажется в последние дни началась самая настоящая охота за передовыми украинскими ЗРК. Обычно такое бывает перед масштабным наземным наступлением

Сборка Chery затягивается? Бывший завод Volkswagen в Калуге отправили в очередной простой Простой на заводе ООО «АГР» в Калуге, который ранее был российским подразделением Volkswagen, будет продлен после 1 апреля. Об этом сообщили в первичной профсоюзной организации АСМ ООО «Фольксваген Груп Рус» в социальной сети «ВКонтакте&raq...

В сети появились фотографии Samsung Galaxy A55 Без преувеличения можно смело заявить, что серия смартфонов Galaxy A5x — основной доход для компании Samsung, так как компания продаёт безумно много этих устройств каждый год (гораздо больше, чем флагманских смартфонов). Всё дело в достаточно привлекательной цене, плюс смарт...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

DJI представила дрон Mini 4 Pro Вчера компания DJI официально представила миру свой новый компактный дрон Mini 4 Pro, который обладает крайне интересными особенностями. Например, здесь установлена основная камера с датчиком на 1/1,3-дюйма, разрешением 48 мегапикселей, диафрагмой f/1.7 с углом обзора 82 гра...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

В Москве запустили серийное производство материнских плат. Они изначально созданы под отечественные процессоры По сообщению руководителя московского Департамента инвестиционной и промышленной политики Владислава Овчинского, компания — резидент особой экономической зоны (ОЭЗ) «Технополис Москва» разработала и запустила в производство материнскую плату с поддержк...

КамАЗ будет поставлять детали подвески для Lada Iskra и кроссовера на базе Lada Vesta Стал известен поставщик амортизаторов подвески для будущих моделей Lada — это будет Скопинский автоагрегатный завод (также известен как «СААЗ Комплект»). Как сообщает Telegram-канал «Автопоток», на предприятии проведен аудит системы менедж...

Tsingke продемонстрировала передовые решения в области биопрепаратов на выставке Biologics 2024 В Лондоне завершилась выставка Biologics 2024, в которой приняли участие 650 фармацевтических, биотехнологических и академических представителей и более 50 экспонентов, участвующих в дискуссиях на высоком уровне о последних инновациях в области открытия и разработки биопрепа...

КамАЗ упростил производство деталей кабины K5: российские лонжероны не уступают немецким от Daimler Truck AG КамАЗ в рамках стратегии импортозамещения решил оптимизировать и улучшить процесс производства лонжеронов кабины флагманского семейства K5. Ранее до 2023 года эти компоненты поставлялись готовыми от Daimler Truck AG и производились немцами методом горячей листовой штамп...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Sony нет смысла выпускать PlayStation 5 Pro У компании Sony нет необходимости выпускать игровую консоль PlayStation 5 Pro, поскольку некоторые разработчики считают, что им пока что не удалось выжать максимум производительности из обычной версии. Журналист информационного издания GamesIndustry рассказал, что хотя польз...

QSAN представит новейшие решения на выставке COMPUTEX 2024 Искусственный интеллект шагает по планете, трансформируя целые отрасли и стимулируя предприятия к внедрению ИИ в свои бизнес-процессы. Для компаний, которые готовятся воспользоваться этой невероятной возможностью быстрого роста, первым шагом является модернизация их ИТ-инфра...

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

Huawei представила план действий Flash Forward для решения предприятиями проблем с данными  На MWC Barcelona 2024 компания Huawei представила свой план действий Flash Forward, который предусматривает меры, связанные с тремя ключевыми областями, а именно: хранилища полностью на флэш-накопителях для всех сценариев, экологичность и устойчивость, а также отказоустойчив...

Инсайдер подтвердил, что в iOS 18 не будет собственного аналога ChatGPT от Apple Известный инсайдер, журналист издания Bloomberg Марк Гурман сообщил, что на предстоящем мероприятии WWDC 2024, которое должно пройти с 10 по 14 июня, Apple не представит собственный генеративный ИИ, который должен быть внедрён в голосовой ассистент Siri. Ранее была информаци...

TSMC рассматривает возможность строительства в Японии третьего предприятия Оно смогло бы выпускать 3-нм чипы.

Tower Semiconductor подала повторную заявку на строительство предприятия в Индии Оно сможет выпускать 40-нм чипы.

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Высоко замахнулись: «Автотор» не сможет выпустить запланированное количество авто, но расширит модельный ряд Калининградский завод «Автотор» в этом году планирует выпустить около 80-100 тыс. автомобилей, о чем сообщил журналистам председатель совета директоров ООО «Автотор Холдинг» Валерий Горбунов. Ранее сообщалось, что «Автотор» собирается...

Материнские платы MSI теперь поддерживают до 256 ГБ оперативной памяти Сегодня компания MSI стала ещё одним производителем материнских плат, представившим поддержку оперативной памяти DDR5 объёмом до 256 ГБ на своих материнских платах с чипсетами серии Intel 700 и 600. Собственно, в прошлом году крупные производители памяти представили множеств...

«Да, снижение стоимости возможно», — стало известно, когда могут подешеветь «Москвичи» Московский автозавод «Москвич» может снизить цены на свои автомобили в будущем, о чем сообщил коммерческий директор предприятия Александр Мигаль. «Да, снижение стоимости возможно. В первую очередь, нам приходится работать с актуальными макроэкономическ...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

В России восстанавливают завод ТагАЗ с вековой историей Реконструкцию второго корпуса предполагают завершить к сентябрю 2024 года. Всю территорию ТагАЗа (всего 80 га) планируется освоить к 2025 году. При выходе на полную мощность здесь начнут работать полторы тысячи человек.

Ещё один заменитель Lada под новым российским брендом XCITE? На АвтоВАЗе изучают седан Aeolus Yixuan (DongFeng) Стало известно, какую ещё модель может выпускать «Автозавод Санкт-Петербург», помимо кроссовера Chery Tiggo 7 Pro. Как сообщает инсайдерский телеграм-канал «Автопоток», в данный момент на АвтоВАЗ в Санкт-Петербурге специалисты изучают седан Aeolu...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

HMD выпустила недорогие ремонтопригодные смартфоны линейки Pulse — от 140 до 180 евро Это первые смартфоны компании под своим именем, ранее она выпускала их под брендом Nokia.

Первые смартфон и кнопочный телефон HMD Global после отказа от бренда Nokia — появились подробности Появилась информация о первой партии мобильных устройств собственного бренда HMD Global для массового рынка — смартфоне TA-1585 и функциональном телефоне N159V. По словам зарубежного информатора Эвана Бласса, HMD Global будет сотрудничать с оператором Verizon для ...

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Русский офицер, служивший в NATO и освоившего западные и российские танки высказал свое мнение Феликс Оганесян для news.rаmbler.ru: «Abrams я бы грубо назвал испорченным Leopard. Всё, что должно было быть в американском танке изначально, просто не попало в рамки бюджетных ассигнований. И потом при модернизации его доводили до уровня Leopard»

SK hynix поможет NVIDIA выпускать ускорители вычислений на территории США Для этого придётся построить предприятие по упаковке чипов.

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Запрет на ввоз в Китай ИИ-ускорителей Nvidia вступил в силу на неделю раньше Nvidia ранее столкнулась с новыми ограничениями на экспорт из США своих ИИ-ускорителей. При этом эти ограничения, которые первоначально должны были вступить в силу через 30 дней после их объявления в октябре, вступили в силу раньше, чем ожидалось. Цель ограничений - предотвр...

Необычные ноутбуки: китайский тяжеловес с серверным AMD EPYC, RISC-V лэптоп и станция с 7 дисплеями Производители разных стран иногда выпускают на рынок весьма необычные модели ноутбуков. Здесь подразумеваются самые разные устройства, например, лэптопы с несколькими экранами. О многих из них мы уже писали, но сейчас появились новые устройства. Например, ноутбук с серверны...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Сверхурочная сборка Lada Vesta и Granta отменена На АвтоВАЗе отменили решение о сверхурочной работе в подразделениях автосборочного комплекса, занятых производством автомобилей Lada Vesta и Granta на платформе B0. Ранее планировалось, что сверхурочный режим будет действовать на предприятии с 1 ноября по 31 декабря 202...

Samsung получит в США около $6,4 млрд субсидий на строительство своих предприятий И будет должна создать почти замкнутую производственную экосистему.

Полицейский спецназ Днепра отказывается служить на передовой в составе штурмовой бригады "Лють" Плечо товарища в бою должно быть знакомым, заявляют они

АвтоВАЗ уже выпускает Lada Vesta с мотором 1,6, вариатором и ESP. Но пока это лишь «пробники» Появилось подтверждение того, что Lada Vesta с обычным 1,6-литровым мотором объемом 1,6 л получит альтернативу механической коробке передач в виде вариатора. Как сообщает инсайдерский паблик Avtograd News, компания уже выпустила несколько таких автомобилей. Правда, пока...

Обновления Windows 10 и 11 кошмарят пользователей: на компьютерах отваливаются Wi-Fi и Bluetooth Компания Microsoft начала выпускать для Windows 10 и 11 патчи, которые должны были закрыть уязвимость, связанную с  драйверами.

Нидерланды готовы отказывать Китаю в обслуживании оборудования ASML Ранее такого шага от нидерландской компании требовали Соединённые Штаты Америки, чтобы изолировать Китай от передовых технологий

Samsung не будет пробовать играть в Xiaomi. Корейский гигант не планирует выпускать дешёвый складной смартфон К сожалению, компания Samsung заявила, что не работает над сверхдешёвым складным смартфоном. Неясно, почему вдруг корейский гигант решил отреагировать на очередной слух, но представитель компании опроверг его.  Мы не планируем производить складные смартфоны средне...

Производитель российских электромобилей Evolute может начать выпуск бензиновых машин Сегодня компания «Моторинвест» сообщила о запуске серийного производства электрического кроссовера Evolute i-SKY на собственном заводе в Липецкой области. Во время общения с журналистами заместитель генерального директора предприятия Сергей Мамонтов зая...

Кейс: использование 3D-принтера Volgobot А4 PRO в НПК «ГЕРМЕТИКА» Volgobot продолжает активно сотрудничать с различными организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов — НПК «ГЕРМЕТИКА».Давайте познакомимся с деятельностью предприятия ближе.НПК «ГЕРМЕТИКА» — машиностроительная научно-производстве...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Япония ужесточит контроль экспорта полупроводников, Китай грозит принять ответные меры Правительство Японии заявило о планах ужесточить контроль за экспортом полупроводников, оборудования и передовых материалов. Перед экспортом оборудования и материалов, которые могут быть использованы в военных целях, компании должны уведомлять регулятора. Китай уже выра...

Новый автозавод по сборке Chery, Changan и Haval откроют в Казахстане: по полному циклу будут выпускать Tank 300 Крупный казахстанский автодилерский холдинг Astana Motors объявил о планах запустить в 2025 году мультибрендовое автосборочное предприятие. На новом заводе будет налажена сборка автомобилей трех китайских марок: Chery, Changan и Haval. Как сообщает издание Tengri Auto, ...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Бывший завод Volkswagen в Калуге перезапустят в первой половине этого года По заявлению главы Минпромторга России Дениса Мантурова, перезапуск производства автомобилей на бывшем заводе Volkswagen в Калуге запланирован на первое полугодие текущего года. Об этом министр рассказал в интервью журналистам ТАСС. Напомним, владельцем калужского завод...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

В России будут выпускать флагманский кроссовер Chery Tiggo 9. Производство наладят на бывшем заводе Mercedes-Benz в Подмосковье Слухи о том, что автомобили Chery могут получить российскую прописку, ходят давно, причем в разных утечках фигурировали разные площадки. Но бывший российский завод Mercedes-Benz не упоминали — до сегодняшнего дня. О том, что на подмосковном заводе, который ранее ...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

В Белоруссии начали выпускать новый кроссовер Geely. Он может приехать в Россию В прошлом году кроссовер Geely Okavango продавался в Белоруссии, но ту модель завозили из Китая. Как пишет местный ресурс Tochka.by, теперь Okavango (причем не прошлогодний, а самый свежий — обновленный) производится в Белоруссии на местном предприятии «БелД...

Завод Hyundai в Петербурге будет простаивать до конца года Стало известно, что петербургский автомобильный завод южнокорейского концерна Hyundai (ООО «Хендэ Мотор Мануфактуринг Рус», ХММР) продлил режим простоя до конца текущего года. Как сообщили журналистам в Центре занятости населения Санкт-Петербурга, предприяти...

Спортивные Lada Vesta тоже настиг некомплект Подразделение АвтоВАЗа, которая занимается выпуском спортивных версий Lada, активно занимается сборкой машин, о чём сообщает инсайдерский паблик Avtograd News. Компания LADA - Sport производит автомобили в две смены. Как и на головном предприятии, некомплект также прису...

Предприятия «Вкусно – и точка» провели акцию в поддержку проектов БФ «Семья вместе» Завершена благотворительная акция «Добро Вместе», проводившаяся компанией «Вкусно — и точка», по условиям которой с продажи каждой порции мороженого на реализацию проектов Благотворительного Фонда «Семья вместе» выделялось по 35 рублей. Участников головного мероприятия прини...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Xiaomi бросает вызов Tesla, выпуская по одному SU7 каждые 76 секунд Китайская компания Xiaomi, известная своими инновациями в сфере электроники, раскрыла подробности о передовых производственных процессах, которые она использует для выпуска своего электрического внедорожника SU7. Xiaomi бросает вызов лидерам рынка, демонстрируя высокую степе...

Российско-белорусское предприятие выпустит 12-тонный грузовик на водороде Генеральный директор Центра водородной энергетики АФК «Система» Юрий Добровольский рассказал журналистам о создании совместного российско-белорусского предприятия для разработки грузового автомобиля на водороде. На международной конференции по водородной эне...

В этом году должны быть представлены электромобили Jeep Wagoneer S и Recon Также Jeep собирается выпускать подключаемые гибриды

Bosch окончательно уходит из России. Заводы по выпуску систем ABS и ESP уже проданы, на очереди заводы по производству бытовой техники Как сообщает «Коммерсантъ», компания Bosch веред переговоры по продаже своих российских заводов по производству бытовой техники. Раньше в переговорах участвовала китайская Hisense, но как сообщил источник «Коммерсанта», турецкий инвестиционный фо...

Samsung уже тестирует One UI 6.1 для Galaxy S21, Galaxy S21 FE, Galaxy S22, Galaxy A54, Galaxy A34 и ряда других моделей Первыми смартфонами Samsung под управлением Android 14 с новейшей версией интерфейса One UI – One UI 6.1 – станут Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra, ну а следом One UI 6.1 должен выйти для других моделей компании. И уже очерчен их примерный пере...

На бывшем российском заводе Volvo начнут выпускать полноприводные «Уралы Next», позже начнется сборка китайских грузовиков Dongfeng Telegram-канал рассказал о перспективах бывшего российского завода Volvo в Калуге. В отличие от расположенного неподалеку бывшего завода Volkswagen, перспективы у предприятия по выпуску грузовиков уже есть, и они конкретно очерчены. Завод переименую в АМО и начнут выпу...

JA Solar запустила инициативу по зеленому развитию промышленных и коммерческих предприятий Компания JA Solar, лидер в области фотоэлектрической промышленности, возглавила инициативу, направленную на решение проблемы изменения климата и содействие устойчивому развитию промышленных и коммерческих предприятий в глобальном масштабе. В партнерстве с более чем тридцатью...

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

Компания X подтверждает, что приложение для просмотра видео на ТВ скоро появится После утечек, появившихся в прошлом месяце, компания X наконец-то подтвердила, что выпускает X TV - приложение для смарт-телевизоров, ориентированное на просмотр видео.

В России сократится производство Lada Granta, Vesta и Niva. Стратегия АвтоВАЗа на ближайшие годы будет определена на рубеже 2023 и 2024 годов. Президент АвтоВАЗа Максим Соколов заявил в кулуарах форума «Транспорт России», что итоговое производство автомобилей Lada на 2023 году может сократиться на 10%. «Мы выйдем примерно на те плановые показатели, о которых говорили еще год назад, ...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Бишкек на несколько дней станет столицей театрального менеджмента СНГ С 1 по 5 июня 2024 г. в столицу Кыргызской Республики съедутся ведущие управленцы, лидеры театральной индустрии, чтобы обменяться самыми передовыми практиками в вопросах международного сотрудничества, маркетинга, менеджмента, мультикультурности, творческих коллабораций, орга...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Раскрыт объём производства российских Solaris, Rio и Creta на ближайшие месяцы. Речь идёт о тысячах автомобилей Сергей Цыганов, автоэксперт и ведущий Telegram-канал «Русский автомобиль», сообщил о том, что «Автозавод АГР» (бывший российский завод Hyundai) достиг соглашения с компанией «Петерформ» на поставку штампованных панелей для с...

Проблемы есть не только у ВАЗа. Volkswagen приостановит свой крупнейший завод из-за нехватки моторов, на предприятии собирают Audi Q4 e-tron, Volkswagen ID. 4 и ID. 5 По данным Autoevolution, Volkswagen на три недели приостановит свое крупнейшее предприятие, расположенное в Цвиккау (Германия), из-за нехватки моторов. В частности, речь о новом 286-сильном двигателе APP550, которым оснащаются Audi Q4 e-tron, Volkswagen ID. 4 и ID. 5. ...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Это российский Chery Tiggo 7 Pro под названием XCITE X-Cross 7. Первое фото Популярный в России кроссовер Chery Tiggo 7 Pro, который собирается на заводе «Автозавод Санкт-Петербург», будет выпущен на рынок под брендом XCITE. Об этом сообщает инсайдерский Telegram-канал «Автопоток»: «Chery Tiggo 7 Pro петербургской ...

В России будут выпускать новые модели автомобилей от разных брендов Калининградский завод «Автотор» в 2024 году планирует начать сотрудничество с новыми автобрендами, поскольку завод еще далеко не полнотью загружен, о чем заявил глава совета директоров автохолдинга Валерий Горбунов. «Будут новые модели и новые бренды. ...

Не можешь удовлетворить спрос на видеокарту — повышай цену. Такова бизнес-стратегия Nvidia в отношении GeForce RTX 3050 6GB Бюджетная 3D-карта GeForce RTX 3050 с 6 ГБ памяти может подорожать в ближайшее время. Как пишет китайский ресурс IT Home со ссылкой на сообщение, опубликованное на Board Channels Forums, NVIDIA направила своим партнерам уведомления с требованием повысить стоимость ...

Узбекистанское предприятие UzAuto Motors выпустило в 2023 году 370 тыс. авто Chevrolet — почти столько же Lada в 2023 году выпустил АвтоВАЗ Стала известна производственная статистика за 2023 год узбекистанского автомобильного завода UzAuto Motors, возглавляемого шведом Бу Андерссоном (в 2014–2016 годах он руководил АвтоВАЗом). Изображение: Chevrolet Оказалось, что за прошлый год UzAuto Motors вы...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

Apple увольняет 700 сотрудников и закрывает целые подразделения Согласно информации западных инсайдеров, более 700 сотрудников компании Apple могут лишиться работы — это напрямую связано с отказом от разработки нового электрического автомобиля и ряда технологий, которые должны были появиться в устройствах будущего. Например, компания App...

HUAWEI FreeClip — новые и непривычные наушники Подход HUAWEI к проектированию новых устройств радует. Компания выпускает устройства, которые вносят разнообразие на рынок. Здесь и смартфон P60 Pro, оформление которого пришлось по вкусу и нашло последователей у Redmi (K70 Pro), OPPO (Reno 11 Pro), HONOR (HONOR 100), и ни...

Теперь не только белые и чёрные. АвтоВАЗ начал выпускать Lada Vesta в новом цвете Инсайдерский паблик Avtograd News сообщил о том, что с сегодняшнего дня АвтоВАЗ начал серийно выпускать Lada Vesta, окрашенные в цвет «Борнео» – это тёмно-серый металлик. До этого Lada Vesta были в большинстве своем белые и немного чёрные. Изображение...

Пусть и с большим опозданием, но Samsung все же выйдет на уровень Sony: у Samsung появится свой дюймовый датчик изображения для топовых смартфонов Инсайдер, известный в Twitter (X) под ником Revegnus, рассказал о новом датчике изображения Samsung: по словам информатора, его диагональ составит 1 дюйм. Таким образом Samsung наконец-то сможет догнать Sony, в портфолио которой уже два дюймовых датчика (IMX989 и LYT900...

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

На бывшем российском заводе Volkswagen могут наладить выпуск автомобилей GAC Сергей Цыганов, автоэксперт и ведущий Telegram-канала «Русский автомобиль», поделился подробностями возможного будущего российского завода Volkswagen. По его данным, на нем могут наладить сборку автомобилей GAC. Такой автомобиль GAC в следующем году может о...

В ПГНИУ открылась лаборатория 3D-моделирования и прототипирования Новая лаборатория позволит студентам Пермского государственного национального исследовательского университета применять технологии 3D-печати при подготовке учебных проектов, а также разрабатывать актуальные технические решения для предприятий Пермского края. Аддитивное обору...

От ноубуков до видеокарт и геймпадов: в России запустили новый отечественный бренд OSiO с собственным производством Группа компаний ICL из Казани объявила о запуске одного из крупнейших в России заводов по производству радиоэлектронной продукции и нового бренда OSiO, под котором электроника будет продаваться в розницу.  Первыми в продаже появятся OSiO FocusLine в магазинах круп...

Американские санкции нипочём? НАМИ и Aurus зарегистрировали независимое юрлицо АО «Автозавод «Северная звезда» — оно займется выпуском «российской Camry» На прошлой неделе США ввели санкции против ряда компаний российского автопрома, в числе которых оказались НАМИ и Aurus. Но, как сообщает Сергей Цыганов, автор и ведущий Telegram-канала «Русский автомобиль», НАМИ и Aurus не дожидаясь санкций заранее зарегистр...

GeForce RTX 4080 Super получит 20 ГБ памяти, но будут и другие улучшения Ресурс Benchlife поделился подробностями о будущей GeForce RTX 4080 Super – сообщается, что они получены от партнеров Nvidia. По данным этих самых неназываемых партнеров, у GeForce RTX 4080 будет 20 ГБ памяти GDDR6 – на 4 ГБ больше, чем у нынешней версии. Э...

123 смартфона Xiaomi, Redmi и Poco получат обновление до HyperOS Позавчера Xiaomi официально анонсировала свою новую мобильную операционную систему HyperOS, вчера появились скриншоты с демонстрацией интерфейса новинки, а сейчас ресурс Gizmochina опубликовал перечень смартфонов Xiaomi, Redmi и Poco, которые получат обновление до Hyper...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Съемка видеоинструкции: от идеи к реализации Технические писатели создают текстовые документы, но что если для процесса одного текста мало? Тогда приходится учиться монтировать и делать видеоинструкции.В этой статье я рассказала, как и зачем в Компании «Актив» мы делаем видеоинструкции на примере одного важного кейса: ...

FP: американский ВПК продолжает выпускать ненужные виды вооружений, слабо копируя опыт СВО Бывший гегемон всё не понимает, что без мангалов и комплексов РЭБ переоцененному танку Abrams на передовой делать нечего

Выпуск Lada Vesta Sportline остановлен Как известно, «спортивные» версии автомобилей Lada выпускает дочернее вазовское предприятие Lada Sport. В прошлом году там начали собирать Lada Granta Sport, потом к ним присоединилась Vesta Sportline. Но как оказалось сейчас, выпуск оспортивленной «Ве...

В Соликамске возрождают производство тантала по полному циклу На «Соликамском магниевом заводе» приступили к опытным работам, в рамках которых на предприятии будет производиться металлический тантал. Выплавка первого восьмикилограммового слитка осуществлена на заводской площадке в Екатеринбурге, а в перспективе металлические танталовые...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Lada Ursus и Terum могут выйти в рамках партнёрских проектов АвтоВАЗа АвтоВАЗ продолжает патентовать названия, которые в дальнейшем завод может использовать для своих новых автомобилей. Как сообщает ТАСС, АвтоВАЗ подал две заявки на регистрацию товарных знаков Ursus и Terum, информация об этом появилась в базе данных Роспатента. В описани...

Корпуса, кулеры и другие аксессуары для Raspberry 5. Что предлагает рынок Одноплатник Raspberry Pi, вероятно, самый популярный одноплатный компьютер в мире. Для него выпускается огромное количество аксессуаров, модулей и расширений. Для недавно появившегося в продаже Raspberry Pi 5 подходят многие модули предыдущих версий. Но если говорить о корпу...

Daihatsu приостанавливает работу всех заводов в Японии Автомобильная компания Daihatsu (дочерняя компания Toyota, которая специализируется на производстве малолитражных автомобилей) в течение следующей недели приостановит работу всех своих четырёх заводов в Японии. Как мы уже писали, Daihatsu оказалась замешана в скандале с...

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

Кадровое агентство в IT: способ найти место потеплее, о котором мы с вами забыли Всем привет!Меня зовут Мария, и я ИТ-рекрутер *здесь должны быть тяжкие вздохи айтишников*Свою профессиональную деятельность я начинала именно с кадрового агентства. Я работала с такими компаниями как Avito, Ozon, Yandex, X5 Group, Тинькофф, etc. Так что мне определенно есть...

Не особо впечатляюще, но зато должно быть недорого. Планшет OnePlus Pad Go получит аккумулятор ёмкостью 8000 мА•ч Экран 7 : 5 и 8/256 ГБ памяти при цене 240 долларов. Появились параметры планшета OnePlus Pad Go Планшет OnePlus Pad Go будет представлен уже 6 октября, но компания продолжает делиться новыми данными. К примеру, теперь она рассказала о подсистеме питания.  Устройс...

Почему X-37B считают самым «загадочным» беспилотником США Миниатюрный X-37B завоевал репутацию самого передового и загадочного военно-космического корабля США, который должен быть запущен сегодня 13 декабря.

Раньше это был Bosch. В России возобновилось серийное производство индустриальных свечей зажигания под брендом Meteor Auto  Компания Meteor Auto, ныне владеющая бывшим заводом «Роберт Бош Саратов», сообщила о запуске производства индустриальных свечей зажигания — для промышленных двигателей газопоршневых установок и газопоршневых агрегатов. Такие, например, используютс...

Завод «Лада Санкт-Петербург» за полгода выпустил «более 100 автомобилей». На полную мощность он выйдет в 2024 году Завод «Лада Санкт-Петербург», который ранее принадлежал компании Nissan, пока ещё не работает на полную мощность. По информации, предоставленной президентом «АвтоВАЗа» Максимом Соколовым на мероприятии «Баркемп-2023. Национальная технологич...

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Chery завалит россиян новинкам. Ожидается множество моделей разных классов с силовыми установками на любой вкус Директор розничных продаж АГ «Авилон» Илья Петров сообщил, какие новинки компании Chery появятся в России в следующем году. «По марке Chery в будущем году ожидается большое количество новинок. Так, например, во II квартале в наличии должны появиться Ch...

Были Bosch — стали «Метеор»: в России начали выпускать свечи зажигания для мощных машин На бывшем заводе «Роберт Бош Саратов» (ныне предприятие называется «Энгельс Свечи зажигания») начали выпускать свечи зажигания Meteor с драгоценными металлами. Такие подходят для мощных автомобилей. Изображение: Meteor Auto Как ра...

США просят правительство Нидерландов запретить ASML обслуживать технику на предприятиях в Китае США не хотят, чтобы Китай производил передовые чипы и использовал их, особенно в военной сфере. В этом контексте к препятствиям США в ASML очень скоро может добавиться новое.

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

В сети появилось видео эвакуации немецкого танка Leopard-2 с передовой Техника будет направлена в тыл российской армии, где над ней поработют эксперты

Без людей пока не обойтись: производство Chery Tiggo 8 Plus, Chery Tiggo 8 Pro Max и Jaecoo J8 на заводе в Уху показали на живых фото Журналистов пригласили на заводе по производству Chery в городе Уху, где Сергей Захаров сделал несколько интересных фотографий и прокомментировал увиденное. Своими глазами наблюдали за тем, как по частицам собирают Chery Tiggo 8 plus, Chery Tiggo 8 Pro Max и Jaecoo J8....

Sollers продал свою часть Aurus, но эти автомобили продолжат собирать в Елабуге В Sollers заявили, что Aurus продолжит сборку машин на заводе в Елабуге даже после того, как Sollers продала свою долю в проекте Aurus. Aurus продолжит окрашивание и сварку кузовов на заводе компании Sollers в Елабуге, о чем сообщила пресс-служба Sollers в ответ на запр...

В Калифорнии решили «усмирить» потенциально мощнейший искусственный интеллект Калифорния, штат, синонимичный технологическим гигантам и передовым инновациям, делает шаг к регулированию искусственного интеллекта. Сенатор штата Скотт Винер представил законопроект, который может определить будущее развития искусственного интеллекта по всей стране, требуя...

Производство грузовиков на бывшем российском заводе Volvo разгоняется: уже выпускают по 9 машин в сутки В Калуге на заводе АМО (это бывший российский завод Volvo) начали выпускать больше машин: если поначалу производили около 5 машин в сутки, то сейчас объем производства достигает 9 машин в сутки, а в ближайших планах довести объем до 12 машин в сутки. На предприятии раб...

Стало известно, когда в Lada Vesta появится ESP, датчики света и дождя, а в Lada Granta – климат-контроль и обновленный интерьер Сегодня глава АвтоВАЗа Максим Соколов рассказал об оснащении машин предприятия подушками безопасности, но он также подтвердил сроки возвращения в автомобили ряда опций. Изображение: АвтоВАЗ Так, президент АвтоВАЗа подтвердил, что в марте начнут выпуск Lada Vesta с обно...

Даже Apple хочет, чтобы вы попали в IT: появился ресурс по изучению языка Swift Приветствуем всех начинающих разработчиков приложений, для вас Apple запустила новый ресурс, который поможет освоить программирование на Swift.

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

TSMC поможет SK hynix к 2026 году освоить выпуск HBM4 Компании подписали меморандум о взаимопонимании.

Сборку Chevrolet Cobalt-II вместо уходящего на покой Chevrolet Lacetti наладят в Узбекистане UzAuto Motors (бывший GM Uzbekistan) вложит 67,4 млн долларов в организацию производства седана Chevrolet Cobalt-II, о чем пишет инсайдерский телеграм-канал «Автопоток». Выпуск товарных экземпляров новинки начнется в 2026 году, планируемый объем производства...

Наконец-то альтернатива чёрному и белому. Lada Granta в цвете «Борнео» появилась у дилеров В начале декабря АвтоВАЗ начал красить Lada Granta в новый старый цвет «Борнео» («Гранты» в таком цвете массово выпускались до кризиса 2022 года), и вот сейчас такие машины уже появились у дилеров. Об этом сообщил инсайдерский...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

OnePlus 12 впервые протестировали в AnTuTu – результат впечатляющий В начале недели OnePlus неожиданного показала прототипы OnePlus 12 и OnePlus Ace 3, а сейчас в базе AnTuTu появился результат теста смартфона с каталожным номером PJD110 – под этим обозначением скрывается OnePlus 12. Результат выглядит впечатляюще – более 2...

AMD тоже начнёт активно использовать малые ядра в своих процессорах. Появились подробности об APU Strix Point, Kraken Point и Sonoma Valley Компания AMD готовит в этом году сразу несколько линеек новых процессоров на основе архитектуры Zen 5. Такие решения появятся как в мобильном, так и в настольном сегментах. И сегодня появились подробности о конфигурации ядер таких APU для ноутбуков.  Итак, линейка...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Раскрыты проблемы большинства компаний во внедрении генеративного ИИ Гендиректор Accenture Джули Свит (Julie Sweet) откровенно рассказала о том, что большинство компаний не готовы к широкому внедрению генеративного ИИ. Несмотря на ажиотаж вокруг этой передовой технологии, многие предприятия не имеют надежной инфраструктуры данных и необходимы...

Главный конкурент Tank 300 с полным приводом, 245 л.с. и 8-ступенчатым «автоматом». В Китае стартовало производство рамного внедорожника BAIC BJ40 нового поколения BAIC сообщила о начале производства рамного внедорожника BJ40 второго поколения. Выпускать машину будут на головном предприятии в Пекине, там же находится и главный офис компании. Разрешение на производство BAIC BJ40 от китайского Минпрома было получено еще в июне. Офи...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Reuters: в России на заводе «ПСАМ Рус» начали выпускать Citroen C5 Aircross Reuters, ссылаясь на два источника, знакомые с ситуацией, а также на данные таможни, сообщила, что в России на предприятии «ПСМА Рус» начали собирать кроссоверы Citroen C5 Aircross. Как сообщается, в прошлом году компания «Автомобильные технологии&raq...

КамАЗ еще больше автоматизирует производство. Завод закупит 60 роботов у казанской «Эйдос Робототехника» Корпоративное издание «Вести КамАЗа» сообщило о закупке 60 промышленных роботов у казанского предприятия «Эйдос Робототехника». Машины будут использоваться для сварки деталей платформ, бортов, поперечин рам, кронштейнов. Также казанские роботы бу...

Honda и General Motors запустили производство топливных элементов на водороде Совместное предприятие японской Honda Motor Co. Ltd и американской General Motors запустило серийное производство водородных топливных элементов. Несмотря на практически полное отсутствие спроса на рынке, компании запустили такое производство на предприятии FCSM в Браунстаун...

Появилась информация о полном наборе флагманских инноваций в новом смартфоне Sony Xperia 1 VI Новая утечка раскрывает множество ключевых характеристик и особенностей грядущего флагмана Sony Xperia 1 VI, который должен стать одним из самых интересных смартфонов 2023 года. Устройство получит топовое «железо», впечатляющую многокамерную систему и емкий аккумулятор с пер...

Выпускаемый в России Forthing T5 Evo станет конкурентом Chery Tiggo 7 Pro Max AWD и Geely Atlas Pro: он получит полный привод Выпускаемый сейчас на калининградском «Автоторе» кроссовер Forthing T5 Evo имеет передний привод, но в скором времени появится полноприводная версия машины. И ее тоже будут собирать на «Автоторе». Такой Forthing T5 Evo станет конкурентом полнопри...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Lenovo выпускает игровой планшетный ПК Legion Tab Компания Lenovo анонсировала новый планшет Lenovo Legion Tab, основанный на операционной системе Android, который должен заполнить пробел между игровыми ПК и играми на телефоне.

В Ростове-на-Дону в тестовом режиме заработал новый тракторный завод Предприятие находится в Ростове-на-Дону. Общая территория - 13 га, производственные площади - 62 тыс. кв.м. Мощности позволяют выпускать 5000 машин в год.

Китайская GeForce RTX 4090D может быть урезана только по тензорным ядрам. Карту представят уже сегодня Видеокарта GeForce RTX 4090D (Dragon) для китайского рынка должна выйти уже сегодня. Сегодня свежим данным, возможно, её производительность в играх фактически не пострадает.  Как сообщается, Nvidia якобы урезала количество тензорных ядер, но не ядер CUDA. Если это...

Новая линейка умных часов Xiaomi Watch H на HyperOS будет ... Сейчас у компании две серии умных часов, а именно Xiaomi Watch и Xiaomi Watch S. По слухам, должна появиться еще одна линейка Xiaomi Watch H на HyperOS.

Пермское предприятие стало использовать ИИ для улучшения авиадвигателей Пермское предприятие «ОДК-Авиадвигатель» Госкорпорации Ростех активно внедряет методы искусственного интеллекта в анализ испытаний лопаток вентиляторов авиационных двигателей. На технологическом форуме «Инновации. Технологии. Производство» в Рыбинске обсуждались перспективы ...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Вместе с новейшим Land Cruiser Prado 250 в Китае анонсировали премиум-седан Toyota Crown Седан Toyota Crown выходит за пределы домашнего рынка: автомобиль анонсировали на автосалоне в Гуанчжоу вместе с местной версией Land Cruiser Prado 250.  Пока непонятно, будут ли выпускать седан Crown в самом Китае (машину анонсировали на стенде совместного...

ИИ Microsoft может пересказать любое YouTube-видео... ну, почти ИИ Microsoft в браузере Edge получил новую функцию - резюмирование видео. Но прежде чем вы начнете радоваться, следует знать, что здесь есть подвох. Этот передовой инструмент, призванный сэкономить ваше время, может работать только с предварительно обработанными видео или сн...

Toyota станет более китайской: новые машины переведут на платформу BYD, позволяющую проезжать до 2000 км на баке бензина В ближайшие два-три года Toyota выпустить несколько новых моделей, которые будут построены на базе гибридной платформы BYD PHEV DM-i, о чем сообщают китайские СМИ. Японский автогигант больше не будет использовать платформу THS (Toyota Hybrid System). Ранее Toyota без ос...

Nissan Terra привезут в Россию как Oting Paladin. У него рама от Nissan Navara и мотор от Mitsubishi Появились важные подробности о деятельности Sinomach Automobile на российском авторынке. Ранее компания заявила, что будет поставлять в Россию автомобили Nissan от совместного предприятия Dongfeng Nissan, а сейчас стало известно, что эти машины будут продаваться под мар...

Samsung начнёт массовый выпуск продукции на новом предприятии в Техасе не ранее 2025 года Новогодняя переносица.

В США считают, что санкции относительно SMIC и Huawei работают хорошо, несмотря на выпуск SoC Kirin 9000S Несмотря на явные успехи китайской компании SMIC, которая смогла вопреки всем санкциям создать для Huawei 7-нанометровую SoC Kirin 9000S, в США считают, что санкции работают хорошо.  Фото: Bloomberg Суть в том, что просто создать какой-то чип недостаточно — ...

Китайцы разлюбили Toyota? FAW Toyota существенно сокращает производство в Китае на фоне снижения спроса и затоваривания складов Автомобильный рынок Китая – высококонкурентная среда, в которой иностранным брендам приходится несладко. Так, Mitsubishi уже обанкротилась и ушла из Китая, Skoda может оказаться следующей, так как продажи компании падают в Поднебесной уже не первый год. А теперь к...

«Это практически штучный товар, салоны получат по 1-2 автомобиля в месяц», — самая дорогая Lada Vesta пока выпускается только в кузове седан Подразделение АвтоВАЗа, которая занимается выпуском спортивных версий Lada, активно занимается сборкой седана Lada Vesta NG Sportline, о чём сообщает инсайдерский паблик Avtograd News. Компания LADA - Sport в усиленном режиме ведeт сборку автомобилей Vesta Sportline se...

Функции Samsung Galaxy AI будут доступны и на старых флагманах На своей фирменной презентации, которая прошла две недели назад, компания Samsung объявила миру, что она умеет создавать не только качественные аппаратные и программные продукты, но и отлично справляется с реализацией технологий на базе искусственного интеллекта. Например, к...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Началось производство нового Skoda Kodiaq: завод выпускает 410 машин в день Компания Skoda объявила о старте массового производства своего нового кроссовера Kodiaq второго поколения. Сборка автомобиля осуществляется на заводе бренда в чешских Квасинах, предыдущем месте производства седана Skoda Superb. Согласно информации от пресс-службы компан...

Линейка Galaxy S должна была быть оснащена чипами Dimensity Ранее ходили слухи, что Samsung планирует использовать мощные чипы Dimensity от Mediatek в будущих телефонах Galaxy

В России появилась эффективная технология создания высокопрочных стекол Специалистами входящей в «Ростех» ОНПП «Технология» разработана и освоена уникальная малозатратная технология изготовления высокопрочных стекол, предназначенных для применения на транспорте, включая железнодорожный, а также на истребителях.

Ждали Skoda, а получили Cupra. Бренд, принадлежащий Volkswagen, официально выйдет в США Бренд Cupra (SEAT Cupra), созданный в 2018 году, намерен выйти на рынок Северной Америки к концу десятилетия. Ранее ожидалось, что Volkswagen запустит в США бренд Skoda, однако вместо этого компания приняли решение выпустить Cupra. Планируется представить полностью элек...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

[Перевод] Создание PDF-файла размером с Германию Сегодня утром, пролистывая ленты социальных сетей, я уже в который раз встретил утверждение, что у PDF-документа есть максимально допустимый размер. Подобное утверждение появилось на просторах интернета ещё в 2007 году. Этот твит является характерным примером постов с анал...

Французские учёные помогут японской компании Rapidus освоить 1-нм технологию К началу следующего десятилетия.

Космические туристические полёты каждый месяц. Основатель Virgin Galactic больше не намерен вкладывать деньги в компанию Миллиардер Ричард Брэнсон, который является основателем компании Virgin Galactic, осуществляющей суборбитальные коммерческие полеты, заявил, что больше не собирается вкладывать в нее деньги. «После COVID-19 мы не купаемся в деньгах, а у Virgin Galactic есть $1 млр...

Готовимся к худшему: АвтоВАЗ уже выпускает Lada Vesta NG и Granta без подушек безопасности Похоже, что проблема нехватки комплектующих на АвтоВАЗе была решена не полностью, поскольку завод готовится к ухудшению поставок комплектующих, о чем сообщил инсайдерский паблик Avtograd News в соцсети «ВКонтакте». «АвтоВАЗ готовится к ухудшению постав...

УАЗ очень сильно нарастил производство Ульяновский автозавод заметно нарастил производства. За январь и февраль предприятие выпустило более 5,2 тыс. автомобилей УАЗ, что на 65,8% больше, чем за аналогичный период прошлого года. Об этом сообщили в пресс-службе правительства Ульяновской области, добавив, что з...

Завод Hyundai в Петербурге хотят перезапустить как можно быстрее Вице-премьер - глава Минпромторга России Денис Мантуров сообщил подробности о планах на бывший российский завод Hyundai, который переходит российскому владельцу. Фото: РИА Новости / Александр Гальперин Hyundai хочет вернуться и оставить завод за собой, "пожертвов...

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

Deloitte: искусственный интеллект изменит и не изменит ваш бизнес Искусственный интеллект дает огромные конкурентные преимущества, но при этом предприятия должны учитывать и обратные риски, пишет на портале eWeek Дэвид Линтикум, директор по облачной стратегии компании Deloitte Consulting. Только ...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Российскую версию Realme 9 Pro обновят до Android 14 Компания Realme опубликовала перечень российских версий смартфонов, которые будут обновлены до Android 14. Итак, модели Realme 10 Pro 5G, 11 Pro 5G, 11 Pro+ 5G, 12 Pro 5G и 12 Pro+ 5G получат апдейт в марте, Realme C55, Realme 9 5G, Realme 9 Pro и Realme 10 Pro+ 5G в апреле,...

Стартовало производство Lada Vesta Sportline. Выпускать будут по 2-4 машины в день АвтоВАЗ официально представил Lada Vesta Sportline в начале октября, но производство автомобиля стартовало только на текущей неделе. Об этом сообщил инсайдерский паблик Avtograd News. Объемы выпуска мизерные, а широко разрекламированных универсалов нет. Так выглядит La...

Xiaomi готовит новую мобильную ОС MiOS Начиная с 2010 года компания Xiaomi выпускала свои передовые смартфона на прошивке MIUI для операционной системы Android, и это стало настолько популярным явлением, что сейчас прошивкой пользуются миллионы пользователей по всему миру. Если говорить точнее, в настоящее время ...

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Санкции США против Китая угрожают Nvidia, AMD и ASML Как пишет Seekingalpha, новые правила США об экспорте чипов в Китай вряд ли окажут сильное краткосрочное влияние на такие компании, как Nvidia и AMD, но в долгосрочной перспективе картина может быть совсем иной. Nvidia и ASML заявили, что эти правила окажут минимальное ...

Ноутбуки MacBook получат модемы 5G собственной разработки Apple, но нужно подождать пять лет Первые iPhone с сотовыми модемами собственной разработки Apple появятся на рынке не ранее 2026 года. Но оказалось, что компания готовит и MacBook, которые также будут оснащены модемами 5G.  Ноутбуки Apple также получат её собственные модемы, но ждать таких ПК прид...

Подразделения Siemens и Volkswagen просят Берлин компенсировать потери в РФ. Всего запросы поступили от 16 компаний Дочерние предприятия концернов Siemens и Volkswagen — Siemens Mobility и Volkswagen Bank — запросили у правительства ФРГ компенсации из-за финансовых потерь в России, о чем пишет ТАСС со ссылкой на агентство DPA. «Как и многие другие германск...

Российская компания «Протон-ПМ» за год увеличила производство ракетных двигателей на 25% В Перми прошло совещание по итогам работы АО «Протон-ПМ» (входит в «НПО Энергомаш» госкорпорации «Роскосмос») в 2023 году и планах на 2024 год, сообщает пресс-служба Правительства Пермского края. Заявлено, что по итогам прошлого года ...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

«Компания ОЗ» помогает детям Луганска, оставшимся в трудной ситуации Помощь детям, нуждающимся во внимании и опеке, – одна из целей благотворительности «Компании О3», производителя огнезащитных и антикоррозионных покрытий, которая придерживается передовых этических принципов. Забота не только об экологии, но и о незащищенных слоях общества ст...

В России хотят создать рынок космических услуг: частные компании должны будут оплатить почти половину орбитальной группировки Орбитальную группировку для нужды России нельзя создать только за бюджетные средства, о чем заявил глава Роскосмоса Юрий Борисов, добавив, что для этого нужно создать рынок космических услуг. «За бюджетные средства нельзя создать ту группировку, которая необходима...

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

На бывшем заводе Volkswagen в России обнаружены тысячи новых машин. Среди них Volkswagen Tayron, Toyota RAV4, GAC M8 и Chery Tiggo 7 Корреспондент ресурса Autonews отправились в Калугу на бывший российский завод Volkswagen и выяснил, что происходит на предприятии. Оказалось, парковка завода заставлена новыми иномарками. Интересно, что среди этих автомобилей не было ни одной модели, которые собирали ...

Появились первые фотографии с космической миссии "Одиссей" В период с 21 по 22 февраля посадочный модуль должен будет прилуниться и трансляция этого процесса будет доступна на сайте компании Intuitive Machines

АвтоВАЗ отказался комментировать июньский анонс Lada Iskra В пресс-службе АвтоВАЗа информацию об июньской премьере Lada Iskra назвали «слухами» и отказались ее комментировать. Ранее сообщалось, что презентация нового автомобиля «АвтоВАЗа» Lada Iskra состоится 5 июня в рамках Петербургского международного...

Бывшие российские заводы Volkswagen, Peugeot и Volvo возобновят работу до конца 2023 года Губернатор Калужской области Владислав Шапша, выступая на выставке «Россия», сообщил о намерении властей региона запустить в ближайшее время три крупнейших автопроизводства в регионе – заводы Volkswagen, Volvo и Peugeot. Фото: Volkswagen «Для вс...

Почта без хлопот: автоматизация отправки писем с помощью Python Привет-привет! С вами снова Оля — программист Учебного центра компании «Тензор». Ранее я писала здесь о разработке ботов в Telegram, но в моем отделе не скупятся на творческие задачи — так что и эта статья вряд ли будет последней. В этой статье расскажу, как автоматизировать...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

Counter-Strike 2 не будут выпускать для macOS Сегодня появилась официальная информация от компании Valve, в которой указано, что игра Counter-Strike 2 не будет выпущена для операционной системы MacOS. Изначально многие решили, что компания не хочет выпускать новый шутер для ноутбуков и компьютеров компании Apple по той ...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

В России возобновится производство Hyundai Solaris, Hyundai Creta и Kia Rio. Все подробности Автоэксперт и ведущий Telegram-канала «Русский автомобиль» Сергей Цыганов раскрыл очень интересные подробности о будущем российского завода Hyundai – Hyundai Motor Manufacturing Rus (ХММР). По его словам, предприятие достанется компании «Авилон&r...

Производитель iPhone стал фигурантом расследования Китай начал расследование в отношении компании Foxconn, которая выпускает iPhone, по поводу налогов и землепользования, о чем пишет Financial Times со ссылкой на китайские государственные СМИ. The Global Times со ссылкой на анонимные источники сообщает, что налоговые ор...

Huawei окончательно победила американские санкции. Nova 12 Ultra станет первым смартфоном на китайской SoC Kirin и с модемом 5G Из-за американских санкций Huawei долгое время не могла выпускать смартфоны с модемами 5G – компания просто была отрезана от поставок и нужных компонентов, и готовых однокристальных систем с интегрированной поддержкой 5G. Но сейчас все изменится: у Huawei появилас...

TSMC строит новые предприятия для удовлетворения растущего спроса на чипы ИИ Тайваньский гигант полупроводниковой отрасли TSMC планирует построить к 2026 году два новых предприятия по упаковке микросхем в уезде Цзяи. Этот шаг направлен на решение проблемы дефицита поставок передовых чипов для искусственного интеллекта, спрос на которые стремительно р...

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

Chery Tiggo 7 Pro российской сборки во всей красе: XCITE X-Cross 7 заняли на площадке бывшего завода Nissan в Санкт-Петербурге На этой неделе ООО «Автозавод Санкт-Петербург» (бывший российский завод Nissan) представило автобренд XCITE. О первом автомобиле новой марки ничего не рассказали, но инсайдеры уже всё рассекретили: первая модель – XCITE X-Cross 7, он же Chery Tiggo 7 P...

На российском заводе Peugeot-Citroen возобновилось производство. Первая модель – кроссовер Сегодня в Калуге состоялся перезапуск завода «ПСМА Рус», на котором ранее выпускали автомобили Peugeot и Citroen для России. Заведует всем новая компания «Автомобильные Технологии», ранее она занималась оптовыми поставками автомобилей, запасных ч...

На калужском заводе Samsung собираются наладить выпуск телевизоров и бытовой техники VVP Group Дистрибутор VVP Group планирует запустить производство телевизоров на калужском заводе Samsung (принадлежит ООО «Самсунг Электроникс Рус Калуга»), о чем пишет «Коммерсантъ». По словам одного из источников, компания может быть владельцем или аренд...

Lada Aura и Iskra проходят испытания вместе с двумя «китайцами» На автополигоне в Сосновке в Самарской области начали испытывать прототип новой седана Lada Aura, который является увеличенной и более премиальной версией Lada Vesta. Об этом сообщил инсайдерский Telegram-канал «Автопоток» со ссылкой на собственный источник:...

Замена Lada Granta получит галогеновый свет: появилось изображение блок-фары Lada Iskra В базе Федерального института промышленной собственности (ФИПС) появились новые изображения комплектующих автомобиля Lada Iskra. В этот раз нам показали блок-фару перспективной подели. Как пишет «Автопоток», судя по виду, ближний и дальний свет в них б...

Это «Москвич 5». Готовые машины сфотографировали вживую На площадке готовой продукции автозавода «Москвич» в Текстильщиках появились кроссоверы «Москвич 5» (переименованные Sehol X6/JAC JS5), о чем сообщает инсайдерский телеграм-канал «Автопоток». Ещё в середине марта появились сведения о ...

Линейка новых видеокарт GeForce RTX 40 Super выйдет на CES 2024 В начале следующего года на рынке должны появиться новые видеокарты линейки GeForce RTX 40 Super, о чем сообщает VideoCardz со ссылкой на инсайдера kopite7kimi. Источник уверен, что анонс игровых видеокарт GeForce RTX 40 Super состоится в рамках известной выставки CES, ...

Стало известно, когда в России появятся новые модели Geely. Первыми станут Atlas и Okavango Директор розничных продаж АГ «Авилон» Илья Петров рассказал в интервью «Российской газете», когда новые автомобили китайского бренда Geely появятся в России. «Если говорить о новинках 2024 года, то уже в первом квартале по бренду Geely мы о...

Эксперт перечислил основные причины переподчинения бойцов ЧВК «Вагнер» российскому МО Передовые российские подразделения развернуты в районе Пальмиры и Хомс. Процесс развертывания частей, призванных заменить отряды ЧВК «Вагнер», полностью завершен к концу октября. Минобороны РФ планирует полностью заменить кадровыми военнослужащими «вагнеровцев», которые воюю...

Google больше не будет выпускать доступных смартфонов На протяжении длительного времени компания Google выпускала относительно доступные флагманские смартфоны. Конечно, они существенно уступали в производительности большинству флагманских смартфонов на рынке, но их основное преимущество заключалось в том, что они отлично снимал...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Haval выпустит свой Bugatti Veyron Бренд Haval компании Great Wall Motor (GWM) в следующем году выпустит новую модель внедорожника под названием Veyron. Внедорожник будет позиционироваться выше, чем недавно выпущенный Haval Raptor, и конкурировать с Aito M7, BYD Tang DM и другими моделями в сегменте 27 0...

У Exeed уже есть большой кроссовер с реальным запасом хода более 2000 км. Exeed Exlantix ET проехал на баке бензина 2141 км, скоро эта машина официально появится в России В то время, как электромобиль Xiaomi SU7 ломается, не проехав и 40 км, Exeed Exlantix ET устанавливает рекорд запаса хода: полностью заправленный и заряженный гибрид проехал в Китае 2141 км. Маршрут проходил через два десятка городов и провинций, в числе которых Аньхой,...

Micron заложила фундамент нового предприятия в Индии Строить его будет компания Tata Projects.

Работа с листовым стеклом в домашней мастерской. Сложная ручная резка, обработка краёв Стекло – замечательно красивый, обладающий целым рядом полезных свойств, но и непростой в обработке, материал. Обычно применения его в своих конструкциях, а здесь мы говорим о домашней лаборатории-мастерской, стараются всячески избегать. Часто один только вид заготовки – не...

Snapdragon 8 Gen 3, аккумулятор больше 5000 мА•ч и никакого изогнутого экрана. Появились новые данные о Redmi K70 Pro В Сети появились новые данные о смартфоне Redmi K70 Pro, который будет флагманом своей линейки.  Согласно данным инсайдера Digital Chat Station, новинка получит SoC Snapdragon 8 Gen 3, так что будет производительнее всех вышедших на данный момент смартфонов. Также...

10 миллионов флагманов в год. Xiaomi ввела в строй передовой самообучающийся завод по производству смартфонов Сегодня Xiaomi показала свой новейший флагман Xiaomi 14 Ultra и раскрыла дату его официальной премьеры. Одновременно глава бренда Лю Вейбинг (на этой позиции он сейчас заменяет Лея Цзуня) рассказал о новом заводе, на котором, следует полагать, и будет производиться Xiao...

В России появились Skoda Superb Вместо «европейской» Skoda Superb чешской сборки в России сегодня предлагается «китайская» версия, выпускаемая совместно с компанией SAIC. В частности, московский дилер выставил на продажу этот лифтбэк с 190-сильным двигателем за 4 450 000 рублей...

На передовой появилась бикалиберная РСЗО "Ураган-1М", способная поражать цели на расстоянии в 120 км Новая реактивная система на ЛБС будет охотиться на HIMARS и прибавит значительной головной боли украинскому Генштабу

AMD празднует 55-летие Компании AMD вчера исполнилось 55 лет. Она была основана 1 мая 1969 года бизнесменом Джерри Сандерсом и семью его коллегами, которые до этого работали в Fairchild Semiconductor.   Довольно долго AMD не была той компанией, о которой знают буквально все, кто хоть не...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Одна платформа, чтобы управлять всеми. SoC Exynos 2500 существует в двух версиях и появится далеко не только в смартфонах Однокристальная система Exynos 2500, возможно, будет ещё более важной для Samsung, чем считалось. Согласно свежим данным, она появится далеко не только в смартфонах компании.  Инсайдер @OreXda утверждает, что сейчас Exynos 2500 существует в двух версиях: Exynos 25...

В сети появились первые рендеры ASUS ROG Phone 8 Сегодня появилось официальное подтверждение о запуске геймерского смартфона ROG Phone 8, который должен выйти в ближайшем будущем, а также первые рендеры, показывающие как будет выглядеть смартфон на момент релиза. Стоит отметить, что компания ASUS собирается представить сма...

Крупнейшие в мире производители шин Michelin и Goodyear закрывают заводы в Германии Bild: Сокрушительный вердикт производителя шин: немецкие предприятия больше не могут экспортировать конкурентоспособную продукцию в другие регионы. Производить шины здесь слишком дорого!

Театр с бумажными актерами для PS5 VR2. Обзор Paper Beast ENHANCED EDITION Несколькими годами ранее владельцы первого шлема PS VR получили возможность поиграть в Paper Beast, проведя бумажных животных через пустыню. Не так давно студия выпустила версию ENHANCED EDITION под новое поколение PS VR2 и доступное на PlayStation 5. Отметим также, что игру...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Поддержка Office 2016 и 2019 завершится 14 октября 2025 года Microsoft объявила, что поддержка пакетов приложений Office 2016 и Office 2019, а также сопутствующих решений, завершится 14 октября 2025 года. После этого срока компания не будет выпускать исправления безопасности и оказывать техническую поддержку. Это может привести к пот...

«Белого моря» Vesta больше нет. Все Lada Vesta NG, требовавшие доработки и хранившиеся на территории АвтоВАЗа, отправлены дилерам Инсайдерский паблик Avtograd News сообщил о том, что на территории предприятия больше не осталось некомплектных автомобилей – все они доработаны и отправлены дилерам.    Изображение: Lada «АвтоВАЗ победил некомплект. На территории автозавода не ос...

Заменитель и копия Ford Transit. В России начали продавать JMC Fengshon В России в продаже появился легкий фургон JMC Fengshon, о чем сообщает инсайдерский телеграм-канал «Автопоток». Источник уточняет, что это лицензионная копия Ford Transit третьего поколения. В Европе такой фургон выпускали в 2000-2014 годах. Машину ввез оди...

Мошенники освоили многоходовочку: они не просят номер карты, но элегантно оставят вас без гроша Будьте трижды внимательны!

В Японии будет освоен выпуск чипов по технологиям тоньше 2 нм При поддержке национальных инвесторов и государства.

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

FPGA в 2023 году и всё, что с этим связано: новинки отрасли В 2023 году появилось много всего интересного, связанного с FPGA. Компании ставят рекорды, выпускают новые чипы и разрабатывают технологии, которых не было раньше. Сегодня обо всём этом и поговорим. Что же, самое интересное — под катом. Читать далее

Инспекция Еврокомиссии проверит предприятия китайских производителей электромобилей Всё должно завершиться введением заградительных пошлин в Европе.

Business intelligence и качество исходных данных Сегодня бизнес хочет принимать решения, основываясь на данных, а не на ощущениях, тем более что сейчас для этого есть все возможности. Предприятия накопили терабайты и эксабайты данных, их количество растет в геометрической прогрессии каждый день. Как повлиял ковид на ценооб...

Автомобили Saipa подешевели еще до старта продаж в России. Седан Saipa Saina должен быть дешевле 1,2 млн рублей Как сообщает Autonews, иранская Saipa пересмотрела цены на свои авто для России еще до старта продаж. По словам представителя компании-дистрибутора «Лаки Кэренси», им «удалось добиться снижения стоимости автомобилей». Saipa Quik. Фото: Auto...

Nvidia бросилась на защиту CUDA от посягательств конкурентов. Компания запретила запуск ПО на CUDA на других GPU Платформа Nvidia CUDA является одной из веских причин популярности 3D-карт компании в профессиональном сегменте. Уже давно существуют различные разработки для запуска приложений CUDA на других GPU, но теперь Nvidia решила начать противодействовать этому. Как сообщается,...

Это новый Volkswagen Golf — последний с ДВС. Появились живые фото Опубликованы реальные фотографии нового Volkswagen Golf в комплектации GTI. Автомобиль проходит тестовые испытания, при этом он не прячется за камуфляжем. Ранее мы уже сообщали, что дни модели Volkswagen Golf с двигателями внутреннего сгорания сочтены, поскольку Volksw...

Передовые сканеры High-NA EUV компании ASML начал получать её второй крупный клиент Первым была корпорация Intel.

Kohler Co. создаcт энергетическую компанию с мажоритарным партнером в лице Platinum Equity Компания Kohler Co. объявила о стратегическом решении выделить компанию Kohler Energy в обособленное, независимое предприятие с привлечением компании Platinum Equity в качестве мажоритарного инвестиционного партнера. Kohler не ослабит внимания к энергетическому сектору после...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Xiaomi 14 Ultra во всех цветах показали на одном снимке. Компания впервые выпустит смартфон с синим керамическим корпусом В Сеть просочились рекламные материалы Xiaomi 14 Ultra, на изображении видно, что смартфон будет доступен в трех цветах: черном, белом и еще неанонсированном синем. Как пишет IT Home, речь идёт о синей керамической версии — в таком варианте компания еще не выпуска...

Xiaomi собирается отказаться от бренда MIUI. Вместо него будет MiOS Компания Xiaomi может отказаться от своего бренда MIUI, с которого фактически и начался пусть компании на рынке.   создано DALL-E в Bing Инсайдер Digital Chat Station говорит, что MIUI 14 будет последней номерной версией оболочки. Само собой, Xiaomi не собирается ...

Бывший завод Volvo уже приступил к сборке машин. Теперь в Калуге собирают «Урал Next» Группа компаний «Промышленные инвестиции» закончила подготовку к перезапуску завода Volvo Trucks в Калуге. Официальный запуск автозавода под новым названием состоится 14 декабря, о чем сообщила пресс-служба правительства региона. Напомним, сделка по покупке ...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

275 л.с., 10-ступенчатый «автомат» и полный привод. В Китае уже выпускают Ford Bronco, цены объявят на следующей неделе Ford готовится вывести на китайский рынок внедорожник Bronco, причем это будет модель местного производства – сборку наладили в Наньчане, на предприятии Jiangling Ford Auto. Цены объявят в день официальной премьеры – 29 января, но не исключено, что в Китае B...

Появился ряд подробностей о смартфоне iPhone SE следующего поколения В последний раз компания Apple выпускала смартфон iPhone SE в 2022 году, поэтому, скорее всего, дебют следующего поколения состоится в текущем году.

LG открывает завод по производству зарядных устройств для электромобилей Компания LG Electronics (LG) расширяет инфраструктуру решений для зарядки электромобилей (EV), открыв свой первый завод по производству зарядных устройств для электромобилей за пределами Южной Кореи, где в прошлом году компания запустила предприятие для изготовления зарядны...

AstraLinux для приборостроения Ни для кого не секрет, что в марте 2022 года был подписан приказ, согласно которому для безопасности и технологической независимости требуется перейти на отечественное ПО, в частности операционные системы. Мне стало интересно, если я переведу домашний ПК на AstraLinux, смогу...

Опубликован график выхода новых Mac с чипами M4 Марк Гурман из Bloomberg недавно сообщил, что первые компьютеры Mac с чипами серии M4 будут выпущены позднее в этом году, а в следующем году появятся новые модели. Теперь же он опубликовал более точный график выхода. В ближайшие пару ожидаются: Недорогой 14-дюймовый M...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Генеральный директор Nvidia лично доставил Сэму Альтману суперкомпьютер DGX H200 Система DGX Н200 должна обеспечить значительный рывок для OpenAI в разработке передовых технологий искусственного интеллекта.

Гендиректор HTech решил узнать у индийцев, какую версию Honor Magic 6 они хотят Генеральный директор HTech анонсирует запуск Honor Magic 6 Series в Индии, который должен поразить энтузиастов технологий передовыми функциями и дизайном.

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

Как сделать аналог Wildberries на рынке кредитных и финансовых сервисов? В условиях, когда стоимость привлечения нового клиента на рынке зашкаливает, роль волшебной палочки могли бы сыграть финансовые платформы для знакомства потенциальных клиентов и банков. Однако революционных прорывов пока не наблюдается, и копирование западного опыта не очен...

«Историческая миссия» GeForce GTX 16 завершена. Nvidia прекратила выпуск GPU для видеокарт GTX 1660 Ti/Super, GTX 1660, GTX 1650 Super, GTX 1650 и GTX 1630 В декабре прошлого года появились слухи о том, что Nvidia планирует прекратить выпуск графических процессоров для ускорителей линейки GeForce GTX 16, и сейчас появилось подтверждение тому: ресурс Board Channels Forums сообщил о том, что выпуск GPU для линейки GeFor...

Как будет выглядеть Lada Iskra? АвтоВАЗ патентует детали экстерьера и салона автомобиля В базе Федерального института промышленной собственности (ФИПС) появились новые изображения деталей кузова автомобилей Lada Iskra, о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». АО АВТОВАЗ продолжает патентовать изображение экстерьер...

В России ждут новый автобренды из Азии, Африки и с Ближнего Востока Согласно информации, опубликованной изданием «Известия», которое ссылается на данные Торгово-промышленной палаты РФ, на российском автомобильном рынке могут появиться новые марки автомобилей из Ирана, Индии и Африки. Китай в настоящее время занимает доминиру...

Немецкие регуляторы одобрили участие трёх европейских компаний в совместном предприятии TSMC Оно будет построено в Германии.

Китай собирается массово выпускать человекоподобных роботов к 2025 году Китай планирует наладить массовый выпуск человекоподобных роботов уже к 2025 году, а в 2027 году выйти на передовой международный уровень в этой отрасли. Об этом сообщается в документе под названием «Руководящее заключение по инновациям и разработке человекоподобн...

Такого AMD ещё не делала. Компания собирается выпустить серверные процессоры Epyc 4004 Raphael для потребительской платформы AM5 Похоже, компания AMD в ближайшее время может сделать платформу AM5 намного более привлекательной для энтузиастов. Сообщается, что для AM5 могут выйти серверные процессоры Epyc.  Линейка якобы будет называться Epyc 4004 Raphael. Такие процессоры ожидаемо не будут с...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Realme 12 Pro будет оснащен основной камерой Sony IMX882, Pro+ получит IMX890 Ранее модели Realme 12 Pro и 12 Pro+ должны были иметь один и тот же сенсор основной камеры, а именно Sony IMX890

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Intel напомнила, где и какие её предприятия расположены по всему миру И какие появятся ещё в ближайшие годы.

Samsung Galaxy S24 получит передовой экран OLED М13 Компания Samsung официально подтвердила, что смартфоны Samsung Galaxy S24 представят в ходе мероприятия Unpacked, которое пройдет 17 января в США. А пока что известный инсайдер Ice Universe подтвердил опубликованную ранее информацию о том, что в дисплеях серии Galaxy S2...

В России собираются начать серийное производство не имеющих отечественных аналогов высокотемпературных двигателей В России планируют начать серийное производство двигателей, которые могут работать при температуре свыше 100 °C и не имеют отечественных аналогов, уже в третьем квартале. Такие моторы планируют использовать в механизмах и технике, которая работает в экстремальных ус...

Дженсен Хуанг заявил, что будущие сервера для ИИ будут иметь жидкостное охлаждение Судя по всему, воздушного охлаждения для передовых чипов компании может быть недостаточно

Первая китайская SoC автомобильного класса Geely Dragon Eagle-1 установлена на более чем 200 000 автомобилей 25 декабря компания SiEngine объявила, что ее 7-нанометровый чип автомобильного класса Dragon Eagle-1 теперь установлен на более чем 200 000 транспортных средствах с момента первого выпуска чипа в декабре 2021 года. На данный момент Dragon Eagle-1 можно найти в автомоби...

OnePlus 12 получил «возможно, самый мощный флагманский сенсор, уступающий только дюймовому датчику Sony». В камере OnePlus 12 пропишется Sony LYT-808 OnePlus официально рассекретила сенсор, используемый в главной камере смартфона OnePlus 12 в качестве основного. Это Sony LYT-808. В компании его емко характеризуют как «возможно, самый мощный флагманский сенсор, уступающий только дюймовому датчику Sony». П...

ЕС готовит 14-й пакет антироссийских санкций Здесь должна стоять бессмертная цитата про безумие.

Nothing Phone 2a официально представлен Сегодня был официально представлен смартфон Nothing Phone 2a, который на деле оказался ровно тем, что и обещали инсайдеры. Например, основным элементом дизайна здесь является двойная камера, размещенная прямо внутри NFC-модуля. Кроме того, как и было показано ранее на слитых...

Чистый убыток «Москвича» в 2023 составил 8,6 млрд рублей. За год он вырос в 3,6 раза Москвич опубликовал финансовый отчет за прошлый год (по российским стандартам бухгалтерского учёта). Как оказалось, за 2023 год чистый убыток столичного автопроизводителя увеличился в 3,6 раза – до 8,6 млрд рублей. А валовая прибыль снизилась на 74,6%. Фото:...

Не только Toyota: скандал с краш-тестами Daihatsu коснулся автомобилей Mazda и Subaru По сообщению японского издания Yomiuri, компании Mazda и Subaru приостановили заказы на производство своих автомобилей на заводах Daihatsu. Как мы уже писали, было обнаружено, что автопроизводитель Daihatsu сфальсифицировал результаты краш-тестов для получения государст...

Ветерана Dell назначили руководителем технологического фонда Саудовской Аравии Амбициозное технологическое предприятие Саудовской Аравии Alat стоимостью 100 миллиардов долларов назначило ветерана технологической индустрии Амита Мидху на должность своего генерального директора. Мидха ранее занимал пост президента по Азиатско-Тихоокеанскому региону и гло...

Конец 2023 года в России будет фееричным: названы автомобильные новинки, которые официально выйдут в нашей стране Еще до конца года в России официально появятся несколько интересных автомобильных новинок, причем не только от китайских, но и от российских производителей. Об этом сообщили «Известиям» в пресс-службе аналитического агентства «Автостат». В октябр...

Процессор Snapdragon 8 Gen 4 с ядрами Oryon появится осенью 2024 года Компания Qualcomm объявила о сроках появления своего следующего процессора для смартфонов, который, как мы уже знаем, будет оснащен совершенно новыми технологиями, которые должны кардинально изменить производительность наших смартфонов.

Российский завод Nissan полноценно заработает в 2024 году. Будут выпускать Lada Х-Cross 5 и какой-то седан Глава АвтоВАЗа Максим Соколов на конференции «Национальная технологическая революция 20.35» рассказал, когда начнется по-настоящему массовое производство автомобилей на бывшем российском заводе Nissan.   Изображение: Lada «Мы рассчитываем, что по...

Вместо Mercedes российский завод будет собирать премиальные Chery и Exeed Компания Chery может начать производство своих автомобилей на бывшем заводе Mercedes-Benz в подмосковном Есипово, о чем пишет «Авто.Mail.ru» со ссылкой на два источника, знакомых с ходом переговоров. По данным источников, именно Chery стала главным претенден...

Toyota теперь сотрудничает с Huawei Сегодня западные журналисты сообщили, что автомобильный гигант Toyota в ближайшем будущем интегрирует систему, разработанную совместно с Huawei, в свои новые автомобили — в том числе для глобального рынка. В компании считают, что это положительно скажется на общей безопаснос...

Samsung потратила на мобильные процессоры 7 млрд за год Расходы компании Samsung на процессоров для смартфонов выросли до того уровня, что корейский гигант вынужден идти на компромисс по функциям, предоставляемым в общей линейке смартфонов Galaxy. Аналитики мобильного рынка заявили, что из-за чрезмерной зависимости от внешних ком...

MSI не будет выпускать игровой 500-Гц монитор с разрешением QuadHD и подсветкой Mini-LED В компании заявили, что это был лишь прототип

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Прощаемся с российскими кроссоверами XCITE X-Cross 7 (Chery Tiggo 7 Pro), так и не познакомившись? США ввели санкции против НАМИ, Aurus и «Лада Авто Холдинг» США ввели новые санкции против в России: в обширном перечне компаний, попавших под ограничения, оказался и ФГУП НАМИ, подведомственный Минпромторгу. Именно НАМИ сейчас является держателем акций «Автозавода Санкт-Петербург», на котором запустили сборку кроссо...

Вызовы автоматизации: NFC-метки на металлической поверхности О самой технологии NFC (Near Field Communication) написано множество статей, в том числе и здесь на Хабре. Активно данная технология находит применение в промышленности.  В частности, компанией «Сибур», ведущим игроком в области нефтехимической промышленности, в целях п...

Жизнь после Nokia: HMD Global показала свой первый фирменный смартфон Компания HMD Global разместила постеры смартфона TA-1585 на сайтах hmd.com и nokia.com/phones. Позже они были удалены, вероятно, кто-то снова поторопился. На официальном рекламном плакате показано, что смартфон TA-1585 оснащен сенсором на 108 Мп, а основная камера включ...

Теперь и на Mac. Microsoft выпустила Windows 11 для новейших компьютеров Apple Начиная с 2020 года компания Apple выпускает новейшие компьютеры Mac, которые построены не на базе процессоров Intel, которые ранее использовались в продукции бренда на протяжении более чем пятнадцати лет, а на процессорах собственной разработки. С Читать полную версию публ...

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

AMD Radeon RX 7600 XT выходит в продажу 24 января Сообщается, что AMD не будет выпускать эталонную модель, и все карты будут производиться партнерами компании

Первый смартфон Xiaomi с двумя перископными телеобъективами — Xiaomi 14 Ultra — выйдет раньше срока. Новые фото подтверждают некоторые детали Компания Xiaomi собирается выпустить флагманский смартфон Xiaomi 14 Ultra уже в следующем месяце, о чем сообщил инсайдер Smart Pikachu. Ранее сообщалось, что он появится в марте. Он также поделился фотографией формы, которая используется для изготовления корпуса Xiaomi ...

США в рамках испытаний передовых систем ПВО отправили на Ближний Восток Stryker с 50-кВт лазерами Установки должны с одинаковой эффективностью перехватывать БПЛА, минометные мины и мини-ракеты

Двигатели для Haval M6, Jolion и Dargo будут производить в России Сегодня у российского офиса Haval был большой день: объявлены впечатляющие итоги продаж в текущем году, запущены продажи автомобилей нового бренда Ora, анонсирован интересный кроссовер Wey 05. А под занавес дня новость, которая касается Haval непосредственно: двигатели ...

Официально: в смартфонах Honor появится поддержка спутниковой связи Слухи о том, что Honor планирует внедрить спутниковую связь в свои смартфоны, уже больше не слухи. Об этом заявил Цзян Хайронг (Jiang Hairong), директор по маркетингу китайской компании. На своей страничке в Weibo Цзян Хайронг написал: «Технологии спутниковой свя...

Samsung получит около $6,6 млрд субсидий на строительство предприятий в США И пообещает построить два предприятия в Техасе.

Завод официально подтвердил начало продаж «Москвича 6»: машины доступны в большинстве салонов Москвы, а до конца недели они появятся в Санкт-Петербурге Завод «Москвич» рассказал, где уже появились лифтбэки «Москвич 6», а также подтвердил, что список городов будет увеличиваться. «Отличная новость: встречайте новый «Москвич 6»! Модель уже представлена в большинстве дилерских цент...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)