Социальные сети Рунета
Воскресенье, 12 мая 2024

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

[Перевод] Футуристический план производства стали с помощью ядерного синтеза Крупнейшая американская сталелитейная компания делает ставку на то, что ядерный синтез поможет ей избавиться от выбросов углекислого газа и обеспечить энергией один из самых энергоёмких производственных процессов в мире.В рамках первого в своём роде партнёрства между крупной...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

США запретят Пентагону закупки аккумуляторов у CATL, BYD и других китайских компаний Американские законодатели запретили Министерству обороны покупать аккумуляторы, произведенные крупнейшими компаниями Китая, расширяя усилия Вашингтона по отделению цепочки поставок Пентагона от его геополитического конкурента. Правило, введенное в действие в рамках посл...

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

SAIC и GomSpace North America запустят мощный кубсат, объединяющий искусственный интеллект и машинное обучение Американский оборонный подрядчик SAIC заключил свой первый контракт с Пентагоном на интеграцию небольшого спутника в партнёрстве с производителем космических аппаратов GomSpace. Согласно контракту, стоимость которого не разглашается, SAIC будет разрабатывать и развёртыв...

Jeep выпустит пять новинок 2025 год станет большим годом для Jeep . В продажу поступят два электромобиля с аккумуляторной батареей и большая линейка подключаемых гибридов. Новый генеральный директор Jeep Антонио Филоса в пятницу проинформировал средства массовой информации о планах компании на пр...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Nvidia теперь уступает только Apple. Компания стала вторым по величине клиентом TSMC Компания Nvidia так разрослась благодаря буму на искусственный интеллект, что в итоге стала вторым по величине клиентом TSMC.  Согласно свежим данным, в 2023 году на долю Nvidia пришлось 11% всей выручки TSMC — крупнейшего в мире производителя полупроводнико...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Первыми среди крупных маркетплейсов: в Wildberries тестируют оплату по биометрии Команда крупнейшей российской торговой онлайн-площадки Wildberries готовится к запуску новой возможности оплаты покупок. Как сообщила пресс-служба, Wildberries первым среди крупных маркетплейсов тестирует оплату покупок по биометрии.  Сгенерировано нейросетью...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Машины Sollers станут более «российскими» ООО «Соллерс Алабуга» получило 3,4 миллиарда рублей от Фонда развития промышленности (ФРП) для осуществления ключевого проекта по изготовлению штампованных кузовных деталей для автомобилей Sollers Atlant и Sollers Argo. В пресс-службе «Соллерса» ...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Информация о запрете поставок GeForce RTX 4090 в Китай не подтвердилась: цены должны снизиться После обновленного запрета на экспорт полупроводников из США слухи о запрете поставок Nvidia RTX 4090 в Китай привели к резкому росту местных цен. Однако, как пишет DigiTimes, слухи о запрете RTX 4090 не совсем верны. Тем не менее, китайские контрактные производители по...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Тайвань показывает зубы: производители чипов сталкиваются с ограничениями Тайвань усиливает защиту ключевых технологий страны. Так, правительство Тайваня обнародовало список из 22 технологий в пяти секторах производства (оборона, космос, сельское хозяйство, полупроводники и информационная безопасность ), которые будут дополнительно защищены от ут...

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

BlackSky и Министерство обороны Индонезии заключают контракты на $50 млн для быстрого развёртывания собственных спутников Компания BlackSky заключила контракты на общую сумму около $50 000 000 с Министерством обороны Индонезии на предоставление доступа к коммерческой космической разведке, что предоставит стране возможность создания суверенных космических возможностей в будущем. Генеральный...

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Производитель твердотопливных ракетных двигателей X-Bow Systems получил контракт от ВВС США Трёхлетний контракт является частью соглашения общей суммой на $60 млн, анонсированного в апреле 2023 года. Контракт с X-Bow включает в себя $30 млн финансирования от ВВС США и $30 млн инвестиций от частных инвесторов. X-Bow будет работать в рамках программы AFRL &mdash...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

США могут добавить в «чёрный список» поставщиков Huawei США рассматривает возможность внесения в «чёрный список» ряда китайских полупроводниковых компаний, связанных с Huawei Technologies, после того, как в прошлом году телекоммуникационный гигант совершил значительный технологический прорыв, о чем пишет Bloomber...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

В России построят первый Центр водородного инжиниринга Центр водородного инжиниринга, который станет первым шагом к развитию новой передовой отрасли экономики. Проект будет основан на использовании экологического топлива - водорода, производимого с использованием солнечной и ветровой энергии. В будущем планируется построить заво...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

«Москвичи» с доставкой на дом. «Тинькофф Авто» запускает онлайн-продажу машин «Москвич» и «Тинькофф Авто» подписали меморандум о сотрудничестве по продаже автомобилей. «Тинькофф Авто» стал первым автомобильным онлайн-сервисом, который заключил такое партнерство с автобрендом. «Подписание меморандума с авт...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Mitsubishi и Nissan выпустят новые внедорожники Nissan и Mitsubishi расширяют свое партнерство с новыми моделями, включая новый внедорожник в кузове пикапа. Automotive News пишет, что в конечном итоге он может стать Nissan Frontier следующего поколения, но он также может вернуть в Штаты пикап под маркой Mitsubishi, в...

Госсекретарь США прокомментировал запуск ноутбука Huawei на новейших процессорах Intel Core Ultra. Блинкен заявил, что США не пытаются сдерживать развитие Китая Недавно Huawei представила свой первый ноутбук на процессоре Intel Core Ultra, что не понравилось законодателям США. Теперь относительно этого вопроса высказался лично госсекретарь США Энтони Блинкен (Antony Blinken).  фото: Huawei Что интересно, он фактически выс...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

Samsung Galaxy A55 разочаровал своей производительностью На прошлой неделе в сети появились первые результаты теста смартфона Galaxy A55 в Geekbench 6, но это были тесты, запущенные не на самом устройстве Galaxy A55, а на некой тестовой инженерной платформе. Но теперь ситуация изменилась, так как прототип Galaxy A55 тоже протестир...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Micron построит заводы стоимостью 100 миллиардов долларов Сегодня появилась достаточно интересная информация о компании Micron Technology — она получила внушительное финансирование от Министерства торговли США в рамках Закона о микросхемах и науке, что позволит производителю существенно прокачать производственные мощности, но тольк...

Пока обычные пользователи получат новые процессоры Intel без Hyper-Threading, новые Xeon нарастят количество ядер вдвое. Появились подробности о Granite Rapids-AP Компания Intel недавно формально анонсировала серверные процессоры Xeon 6, которые являются шестым поколением Xeon Scalable. Сегодня же о них появились новые данные.  Речь о линейки Granite Rapids-AP, то есть это процессоры, включающие только большие ядра. Это буд...

OnePlus Pad 2 готовится к релизу В феврале прошлого года компания OnePlus официально представила свой первый планшет под названием OnePlus Pad — с того времени прошло уже больше года, так что было бы логично выпустить вторую версию. И сегодня появились первые данные о том, что планшет OnePlus Pad 2 будет вы...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

В США один из худших показателей сроков строительства промышленных объектов. Это влияет и на постройку заводов по выпуску полупроводников США уже несколько лет придерживаются стратегии постройки на своей территории новых фабрик по производству полупроводников и не только. Оказалось, при этом в США одни из самых долгих сроков строительства таких объектов.  создано DALL-E Исследователи CSET оценили пр...

Китай наносит ответный удар: Пекин ограничивает экспорт графита — основного материала для аккумуляторов Китай ограничивает экспорт некоторых графитовых продуктов для защиты национальной безопасности, о чем заявило Министерство торговли страны. Китай является крупнейшим в мире производителем и экспортером графита, а также перерабатывает более 90% мирового графита в материа...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Xiaomi объявила, какие смартфоны получат новую HyperOS на первом и втором этапе распространения Вчера компания Xiaomi официально представила свою новую программную платформу HyperOS, а теперь она опубликовала список смартфонов, которые получат обновление во время первого этапа распространения.  Уже на презентации было объявлено, что в декабре 2023 года начну...

Huawei, ЮНЕСКО и Министерство образования Таиланда запустили проект «Зеленое образование»   Компания Huawei, ЮНЕСКО и Министерство образования Таиланда объявили о стратегическом партнерстве в рамках инициативы «Зеленое образование», направленной на информирование молодежи и населения страны в целом о важности мер по защите климата и поощрение к участию в это...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Первые фото ракеты New Glenn на стартовой площадке: Blue Origin готовится к испытаниям Американская аэрокосмическая компания Blue Origin, основанная Джеффом Безосом в 2000 году, представила новую ракету New Glenn на стартовой площадке на мысе Канаверал. Ракету готовят к испытаниям, которые состоятся в ближайшее время. New Glenn на стартовой площадке. Ист...

Tierra Biosciences привлекла $11,4 миллионов для коммерциализации технологии синтеза белков, основанной на Искусственном Интеллекте Калифорнийская компания Tierra Biosciences представила инновационную платформу, использующую искусственный интеллект, для индивидуального синтеза белков. Эта технология, которая может применяться в фармацевтической, промышленной и сельскохозяйственной сферах, позволяет ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Nvidia использует Samsung для создания графических процессоров с искусственным интеллектом Передовые процессы упаковки чипов необходимы для высоко востребованных графических процессоров искусственного интеллекта компании Nvidia. В связи с этим стало известно, что Samsung теперь будет производить продукцию для Nvidia.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Министр торговли США невероятно встревожена достижениями Huawei и хочет больше инструментов давления Министр торговли США Джина Раймондо (Gina Raimondo) выразила озабоченность последними достижениями Huawei. В частности, выходом SoC Kirin 9000s.   создано DALL-E в Bing Раймондо говорит, что сообщение о том, что китайская фирма разработала передовые чипы, является...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Илон, твой ход. Honda начала принимать BTC, Shiba Inu, Ethereum и Cardano и ещё 40 криптовалют Известный японский конгломерат по производству автомобилей, мотоциклов и силового оборудования Honda Motor теперь принимает в виде оплаты огромное количество флагманских криптоактивов. Недавно Honda установила партнерство с FCF Pay, платежной системой на основе блокчейн...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

Lada Aura и Iskra проходят испытания вместе с двумя «китайцами» На автополигоне в Сосновке в Самарской области начали испытывать прототип новой седана Lada Aura, который является увеличенной и более премиальной версией Lada Vesta. Об этом сообщил инсайдерский Telegram-канал «Автопоток» со ссылкой на собственный источник:...

Очень необычные для Intel процессоры Lunar Lake всего с четырьмя большими ядрами в конце текущего года выйдут в очень ограниченных объёмах Похоже, процессоры Intel Lunar Lake ждёт судьба актуальных ныне Meteor Lake. В том смысле, что первые тоже выйдут в самом конце года.  Согласно данным инсайдера Golden Pig Upgrade, Intel в случае с Lunar Lake будет придерживаться той же программы EEP (Early Enable...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Новая версия Lada Niva Travel выйдет уже в июне АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с обновленной мультимедийной системой Lada EnjoY Pro в конце мая, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, Lada Niva Tra...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

США «сделают всё возможное», чтобы Китай не получил доступ к новейшим микросхемам и технологиям США приложат все усилия, чтобы помешать Китаю получить доступ к американским технологиям в области полупроводников и искусственного интеллекта (ИИ), о чем пишет Bloomberg. «Мы не можем позволить себе, чтобы Китай пользовался нашими самыми передовыми техн...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Правительство Китая активно поддерживает и субсидирует Huawei, делая из компании основного игрока полупроводникового рынка Ресурс Bloomberg опубликовал большую статью, рассказывающую о том, как правительство Китая различными способами помогает Huawei не только оставаться на плаву под санкциями США, но и активно развиваться.  Фото: TechInsights и Bloomberg reporting К примеру, жур...

США ещё сильнее ограничивают доступ Китаю к технологиям Министерство торговли, которое курирует экспортный контроль, работает над ужесточением экспортных ограничений, впервые введённых в прошлом году. Как пишет Reuters, изменения правил направлены на ограничение доступа к большему количеству инструментов для производств...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

[Перевод] Взлёт TSMC Семь-восемь лет назад TSMC производила процессоры, которые отставали от аналогичных процессоров Intel на несколько поколений. Пятнадцать лет назад выпускала дешёвые чипы на заказ, которые не ставили рекордов производительности. А тридцать лет назад едва появилась на свет. &n...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

В России выпустят отечественные платежные терминалы В России появится первый отечественный платежный терминал, причем это должно произойти уже в 2024 году. Об этом сообщает издание «Ведомости», ссылаясь на Александра Соколова, директора по продуктам компании «Элемент-технологии», которая занимаетс...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Собран второй лётный образец «царь-двигателя» РД-171МВ По сообщению пресс-службы Роскосмоса, в НПО «Энергомаш» собрали второй лётный образец двигателя РД-171МВ. Первый образец был изготовлен ещё летом текущего года. РД-171МВ — это самый мощный в мире жидкостный ракетный двигатель, в качестве компонентов то...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

В России будут выпускать флагманский кроссовер Chery Tiggo 9. Производство наладят на бывшем заводе Mercedes-Benz в Подмосковье Слухи о том, что автомобили Chery могут получить российскую прописку, ходят давно, причем в разных утечках фигурировали разные площадки. Но бывший российский завод Mercedes-Benz не упоминали — до сегодняшнего дня. О том, что на подмосковном заводе, который ранее ...

Суперкомпьютер Fugaku объединяется с квантовой системой IBM для будущих вычислений Японский суперкомпьютер Fugaku на базе процессоров Arm объединится с новейшей квантовой системой IBM в рамках проекта по исследованию и разработке будущих вычислительных систем. Об этом объявила компания IBM, сообщив о соглашении с японским государственным научно-исслед...

Пиковая мощность этого процессора Intel может достигать 922 Вт. В Сети засветился 64-ядерный Xeon Platinum 8592+ В Сети появилась первая утечка, касающаяся новых процессоров Intel Xeon Scalable поколения Emerald Rapids, которые будут представлены 14 декабря вместе с Meteor Lake.  Засветился флагманский Xeon Platinum 8592+, который предложит 64 ядра, что на фоне 96-ядерных мо...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

5-минутная зарядка для электромобилей: Polestar представила прототип Израильская технологическая компания StoreDot, известная своей идеей пятиминутной зарядки электромобилей, в партнерстве с Polestar представила первый полномасштабный прототип, в котором реализована технология экстремальной быстрой зарядки (XFC) StoreDot. Массовое производств...

Раскол в отношениях Blue Origin и Sierra Space в рамках партнёрства по созданию космической станции Переброс команды с проекта Orbital Reef показывает непростое состояние планов космической индустрии по созданию замены МКС, работающей при участии нескольких государственных космических агентств, стоимость которой на сегодня превысила $100 миллиардов. Руководитель прогр...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Правительство США выделило $ 1.5 млрд для поддержки производства полупроводников Администрация президента США объявила о выделение $ 1.5 миллиарда американской компании GlobalFoundries, ставшего одним из первых крупных получателей грантов в рамках программы по оживлению производства полупроводников в стране.

ОДК реализует проекты по цифровизации предприятий и созданию инженерного программного обеспечения Объединенная двигателестроительная корпорация Ростеха успешно реализует стратегические проекты индустриального центра компетенций «Двигателестроение». В партнерстве с компаниями «Аскон», «1С» и «Цифра» достигнуты результаты в области создания CAD и PLM-решений, систем управл...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Великобритания получила первую энергию от строящейся самой мощной оффшорной ветроэлектростанции Самая мощная оффшорная ветроэлектростанция Dogger Bank сгенерировала свою первую электроэнергию и впервые подала её в Великобританию.  Установку первой турбины мощностью 13 МВт начали в августе, и она уже работает и подключена к сети. На самом деле уже в сентябре ...

Корпорация Ligado подаёт в суд из-за саботажа планов по развёртывнию 5G-сетей со стороны правительства США В судебном иске, направленном в федеральный суд США, Ligado заявляет, что Министерство обороны использовало частоты L-диапазона, не предоставив компенсацию, и вело дезинформационную кампанию относительно возможных помех GPS, что вынудило компанию отложить свои планы по ...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Италия присоединяется к миссии Artemis с концепцией первого итальянского жилого модуля на Луне, одобренной NASA В свете того, что NASA готовится отправить астронавтов на Луну в ближайшие годы в рамках миссии Artemis с целью создания постоянной базы на южном полюсе Луны, остальные страны демонстрируют решимость внести свой вклад в эту программу. Недавно Итальянское космическое аге...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Автомобили с «бородавками» на крыше станут историей: представлен новый лидар Hesai, который не портит дизайн и аэродинамику Шанхайский производитель лидаров Hesai Technology представил модель ET25 на Пекинском автосалоне. Новый лидар предназначен для размещения за лобовым стеклом и внутри салона, а не снаружи автомобиля, как обычно. Массовое производство начнется во втором квартале следующег...

HP начала производство доступных хромбуков в Индии Американская компания Google совместно с Hewlett Packard заключили партнерство, в рамках которой в Индии началось производство хромбуков. Это партнерство направлено на достижение цели по улучшению обеспечения органов образования, школ и учреждений экономически эффективн...

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

Объявлены кандидаты на звание «Автомобиль года в Европе 2024». Самые большие шансы на победу — у Китая Кандидатами на звание «Автомобиль года в Европе 2024» стали семь моделей китайского производства. Всего в списке 28 кандидатов. И 25% из них являются китайскими: BYD Atto 3 (Yuan Plus), BYD Han , BYD Dolphin, BYD Seal, Nio ET7, Smart #1 и Volvo EX30. Да, Sma...

ТОП лучших FDM 3D принтеров для бизнеса и производства 2023 В эпоху инноваций и технологического прогресса 3D-печать стала настоящим прорывом в производственной сфере. Компания LIDER-3D, лидер в области передовых технологий, с гордостью представляет вашему вниманию топ-16 профессиональных 3D принтеров 2023 года. Этот список непременн...

Компанию Nvidia обвинили в том, что компания превратилась в картель GPU и не терпит, когда её клиенты обращаются к конкурентам Похоже, компания Nvidia действительно ведёт себя достаточно агрессивно со своими партнёрами, если речь заходит о сотрудничестве этих самых партнёров c конкурентами Nvidia.  создано DALL-E Компания Groq, недавно представившая впечатляющий своей производительностью ...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Astrobotic и Mission Control объединили усилия для создания полуавтономного лунохода Astrobotic, ведущая компания в области космической робототехники, объявила о сотрудничестве с канадской компанией по производству космического программного обеспечения Mission Control для создания вездехода, который будет отправлен на Луну в рамках предстоящей миссии As...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Запрет США на поставки ускорителей Nvidia открывает огромные возможности для Huawei Меры США по ограничению экспорта передовых чипов с технологиями искусственного интеллекта (ИИ) в Китай могут открыть перед Huawei огромные возможности по импортозамещению на внутреннем рынке, о чем пишет Reuters. В то время как Nvidia исторически была ведущим поставщико...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

Планы Samsung и ASML на $760 млн: превращение Южной Кореи в «полупроводниковую сверхдержаву» Samsung и ASML объединяют свои усилия в рамках инвестиций в размере 760 миллионов долларов в строительство передового завода по производству чипов в Южной Корее. Стратегический шаг, о котором было объявлено во время визита президента Южной Кореи Юн Сук Ёля в Нидерланды, напр...

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Chery может начать масштабное производство в Италии: речь о сотнях тысяч машин Итальянское правительство ведет переговоры с китайским автопроизводителем Chery Auto о возможности организации производства на территории страны. Целью является увеличение национального выпуска автомобилей до 1,3 млн единиц в год с текущих 800 тысяч. Министр промышленно...

С первого раза сделать, как у Apple, не получилось. Забудьте о спутниковой связи Snapdragon Satellite на смартфонах, потому что Qualcomm расторгла партнёрство с Iridium Похоже, какого массового появления смартфонов с поддержкой спутниковой связи на Android в ближайшее время ждать не стоит. Qualcomm расторгла соглашение с Iridium.  Напомним, в начале этого года Qualcomm представила Snapdragon Satellite — первое в мире готово...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Каждый запрос в ChatGPT обходится OpenAI в 4 цента. Компания думает выпуске собственных ИИ-чипов Использование ChatGPT обходится компании OpenAI очень дорого. Согласно данным аналитика Bernstein Стейси Расгон, каждый запрос стоит примерно 4 цента. Поэтому компания OpenAI изучает возможность создания собственных чипов искусственного интеллекта, о чем пишет ...

Автомобили BMW будут собирать человекоподобные роботы Робототехнический стартап Figure сообщил о подписании партнерства с BMW Manufacturing. В рамках сотрудничества двух компаний BMW начнет использовать человекоподобных роботов Figure на своем североамериканском заводе в Спартанбурге (штат Южная Каролина). Это первая комме...

Вот бы такую память для GeForce RTX 5090. Samsung изготовила первый 16-слойный стек памяти HBM Компания Samsung изготовила первый образец 16-слойного стека памяти HBM.   Образец работал нормально, но пока что компания не собирается запускать такие чипы в массовое производство. Судя по всему, массовыми такие стеки станут уже с выходом HBM4, а до этого ещё ми...

Samsung и SK hynix добились от США бессрочного права поставлять в Китай оборудование для выпуска чипов Samsung Electronics и SK Hynix будет разрешено поставлять американское оборудование для выпуска чипов на свои китайские заводы без отдельных разрешений США, о чем сообщили в администрации президента Южной Кореи и в пресс-службах компаниях. Как пишет Reuters, ранее ...

Эти китайские процессоры смогут потягаться хотя бы с современными Core i3? Loongson представила CPU 3B6600 и 3B7000 Компания Loongson представила новые потребительские процессоры: 3B6600 и 3B7000. Это новое поколение, которое, к кроме прочего, приносит больше ядер.  Многих подробностей пока нет, так как анонс, видимо, предварительный. Но слайды с презентации позволяют понять, ч...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Apple рассказала, как разрабатывает свой аналог ChatGPT для iOS 18. Что будет, если она не успеет? Прошедший 2023 год можно смело называть годом нейросетей. Складывается ощущение, что все крупные компании запустили как минимум одну собственную нейросеть. У одних она служит для генерации изображений, а у других — это текстовая модель, с которой можно выстраивать диа...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

В России впервые создали технологию и установку по производству филамента для ракетно-космической и авиационной отраслей В России впервые разработали опытно-промышленную технологию изготовления филамента — материала, используемого для 3D-печати — из непрерывного углеродного волокна на основе термопластов. Кандидат технических наук, заведующий лабораторией «Полимерные ком...

BingX поддержала бойцов UFC Чунонг Пак и Да Ун Чжон   Ведущая мировая биржа криптовалют BingX в рамках развития своей спортивной программы объявила о партнерстве с известными бойцами Ultimate Fighting Championship (UFC) Джунонг Пак и Да Ун Чжон. Это сотрудничество последовало за недавним партнерством BingX с футбольным клубом «...

Hisense совместными с Xbox усилиями привнесла в индустрию игр передовой лазерный дисплей Hisense, глобальная корпорация по производству бытовой электроники и техники, объявила о новом партнерстве с Xbox с целью вывести впечатления от домашних игр и развлечений на новый уровень за счет передовой технологии лазерных дисплеев. Партнерство, объединяющее лазерные дис...

Китай наносит ответный удар США, который ощутят Intel и AMD: операторы связи должны полностью отказаться от чипов иностранного производства к 2027 году Китайские операторы связи должны отказаться от использования чипов иностранного производства в сетевом оборудовании к 2027 году, согласно новому требованию властей. Как сообщает сообщает газета The Wall Street Journal (WSJ) со ссылкой на собственные источники, Министерс...

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

США заполучили TSMC, а теперь и Samsung. Штаты выделят корейской компании 6,6 млрд долларов в виде субсидии В рамках нового закона CHIPS США выделит компании TSMC субсидию в размере 6,6 млрд долларов на постройку фабрик. Теперь стало известно, что аналогичную субсидию получит и Samsung.  фото: Samsung В отличие от истории с TSMC, тут пока подробностей немного. Субсидия,...

IGEL вышел на новые рынки благодаря партнерству с Midis Group Ink   IGEL, провайдер безопасной операционной системы для оконечных устройств, а также Midis Group, технологический партнер, имеющий сеть из 170 компаний в 70 странах мира, сегодня объявили о своем стратегическом партнерстве по выходу на рынок с целью экспансии компании IGE...

Аккумуляторы Prius первого поколения будут использоваться в автомобилях Toyota следующего поколения Toyota Prius первого поколения дебютировал почти 30 лет назад. В результате срок службы многих из этих автомобилей либо уже достиг конца, либо приближается к нему, что делает их подходящими кандидатами на утилизацию. Аккумуляторы, которые питали эти ранние гибриды, найд...

BYD выбрала страну для своего первого европейского завода по производству автомобилей BYD планирует построить свой первый европейский автомобильный завод в Венгрии, что является частью плана китайской компании, которая планирует бросить вызов Tesla в новом регионе. Завод в южном городе Сегед будет производить электромобили и подключаемые гибриды для евро...

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

Hyosung TNC инвестирует 1 млрд долларов в биобизнес  Хюн-Джун Чо, председатель Hyosung, объявил о крупных инвестициях в биобизнес для создания новых предприятий будущего. 30 марта компания Hyosung TNC получила одобрение инвестиций в «проект Hyosung по производству БДО» от правительства провинции на церемонии заявления о будуще...

Производитель российских ABS получит 10 млн отечественных резисторов для «для санкционно-стойких автомобильных блоков» Компания «Итэлма», запустившая летом в Костроме производство блоков ABS для автомобилей Lada, заключила партнерство с предприятием «Ресурс»: оно поставит «Итэлме» 10 миллионов резисторов уже в текущем году. Эти компоненты будут и...

GDDR7 уже почти тут. Instrospect представила первую в мире систему тестирования такой памяти Память GDDR7 мы уже видели. Её представили несколько компаний, а сам стандарт был утверждён. Теперь компания Instrospect представила первую в мире систему тестирования такой памяти.  72-канальная испытательная система PAM3 ATE-on-Bench позволит производителям памя...

Скоро на некоторых чипах Apple сможет красоваться надпись Made in USA. В США чипы Apple будет производить TSMC и упаковывать Amkor США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia В ближайшее время некоторые платформы Apple будут полностью производиться в США.  Компания сообщила, что станет первым и крупнейшим заказчиком нового завода Amk...

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

iPhone 15 Pro Max в Иркутске: эволюция технологии и передовой дизайн Новый iPhone 15 Pro Max, представленный компанией Apple, стал предметом горячих обсуждений и желанным аксессуаром для многих жителей России. Эту новинку ждали очень многие поклонники компании Apple, которые следили за новостями и активно обсуждали вопрос о том, чем же на это...

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

Какие устройства Surface стоит ожидать этой весной? В ближайшие несколько недель Microsoft анонсирует несколько новых устройств Surface как для потребительского рынка, так и для бизнес-сегмента. Первая презентация состоится уже 21 марта 2024 года, но, похоже, на ней представят далеко не все готовящиеся новинки. В частности, ...

Запуск первого в России производства квантовых процессоров Московский государственный технический университет им. Н. Э. Баумана в партнерстве с Всероссийским научно-исследовательским институтом автоматики им. Н. Л. Духова анонсировали запуск первого в России контрактного производства сверхпроводниковых квантовых процессоров, что ста...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Google придумала свою нейросеть, которая лучше Chat GPT Еще в мае 2023 года компания Google объявила о том, что планирует использовать две свои исследовательские группы — Brain Team и DeepMind — для одного большого проекта. Компания хотела объединить их в единое подразделение под названием Google DeepMind. Эта новая команда по з...

Samsung раскрыла характеристики Exynos 1480 Совсем недавно компания Samsung выпустила смартфон Galaxy A55 — это произошло буквально в начале этого месяца. И, что самое важное, данный смартфон поставляется с процессором Exynos 1480, вот только производитель никаких деталей о данном процессоре не сообщил — просто в хара...

Intel и UMC объявили о сотрудничестве в рамках разработки 12-нм чипа Intel и United Microelectronics Corporation (UMC) объявили о совместном сотрудничестве в разработке 12-нм техпроцесса. Это партнерство будет использовать крупномасштабные производственные мощности в США и опыт в производстве полупроводниковых пластин.

Индийская армия получила первую партию 24 ПЗРК "Игла-С" и 100 ракет российского производства Первая партия из 24 ПЗРК "Игла-С" и 100 ракет российского производства была передана индийской армии в рамках более крупной сделки, в соответствии с которой, остальные комплексы будут производиться в Индии. Эта система приобретается для усиления возможностей противовоздушной...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

США вкладывают миллиарды долларов в расширение производства чипов Администрация Байдена заявила в понедельник, что правительство предоставит 1,5 миллиарда долларов компании GlobalFoundries, производящей компьютерные чипы, для расширения ее внутреннего производства в Нью-Йорке и Вермонте. Это часть финансовой поддержки полупроводниковы...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Они не помогают Huawei, а «предлагают услуги по очистке сточных вод». Власти Тайваня проверят компании, обвиняемые в нарушении санкций Власти Тайваня проведут проверку четырех местных корпораций после появления информации о том, что они помогают Huawei Technologies в формировании инфраструктуры производства чипов в Китае. При этом министр экономики Тайваня уже заявил, что никаких нарушений торговых сан...

В прямом смысле космические SSD. Kioxia и Hewlett Packard обновили компьютеры на МКС, установив множество больших SSD Компания Kioxia отправила на МКС свои твердотельные накопители. Теперь на космической станции есть первая коммерческая система периферийных вычислений с искусственным интеллектом в космосе.  Совместно с Hewlett Packard компания Kioxia в рамках программы Spaceborne...

Nvidia выходит на поле квантовых вычислений с облачным сервисом Quantum Cloud Nvidia запустила облачный сервис для квантовых вычислений, стремясь получить прибыль от области, которая получает финансирование по всему миру, несмотря на то, что в этой области до сих пор было создано мало приложений. По словам Тима Косты, директора по высокопроизводи...

Пентагон назвал военной китайскую компанию YMTC, у которой Apple хотела покупать флеш-память Очередная крупная китайская компания попала под прицел санкционной машины США. Пентагон обновил список компаний из Поднебесной, которые, по данным самого Пентагона, так или иначе связаны с военным сектором Китая.  В данном случае в список так называемых военных ко...

Vivo покажет самый удобный складной телефон, который я уже захотел купить Складные устройства становятся все более похожими на обычные смартфоны со стеклянными панелями, поэтому можно с уверенностью сказать, что, если они будут развиваться так и дальше, то не растеряют своих перспектив и так и на самом деле станут будущим индустрии смартфонов. Дл...

Индия хочет по примеру EC обязать всех перейти на USB-C, но Apple это очень не нравится. Компания просит сделать исключение для старых iPhone Apple уже перевела свои смартфоны на порт USB-C из-за свежих законов Евросоюза, но теперь то же самое хочет внедрить и Индия, и для Apple это проблема.  фото: Apple Как сообщает Reuters, Индия тоже хочет обязать производителей перейти на единый стандарт USB-C и се...

Китай ввел в эксплуатацию первую в мире АЭС 4-го поколения Китай успешно ввел в эксплуатацию первую в мире атомную электростанцию (АЭС) 4-го поколения, что было подтверждено Государственным энергетическим управлением КНР. Новая энергетическая установка, известная как «Шидаовань», оснащена высокотемпературным га...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

В историческом соглашении «Большая семёрка» договорилась о поэтапном полном отказе от угля в первой половине 2030-х годов Страны «Большой семёрки» — Канада, Франция, Германия, Италия, Япония, Великобритания, США, а также Евросоюз — достигли исторического соглашения о полном отказе от использования угля для выработки электроэнергии в первой половине 2030-х годов. Это...

Албания открыла первую авиабазу НАТО на Западных Балканах Албания стала первой страной на Западных Балканах, которая разместила на своей территории авиабазу НАТО. База Кучова была реконструирована с помощью финансирования от альянса и теперь может принимать различные типы самолетов. Открытие базы является символом сильного партнерс...

В Орле запускается производство спутникового оборудования Компания Rubetek получила контракт от оператора РТКомм, на первом этапе будут производить абонентские модемы и центральную земную станцию спутниковой связи.

Индонезия усиливает свою оборону новой техникой от PT Pindad Минобороны Индонезии поставило в войска 52 единицы техники производства компании PT Pindad, включая средние танки, бронемашины и бронетранспортеры. Поставка является частью программы модернизации вооруженных сил страны.

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

В TSMC считают, что Nvidia станет самой крупной полупроводниковой компанией к концу года Даже с учетом того, что всё больше компаний стремятся начать производить собственные чипы для искусственного интеллекта

Индонезия запустила серийное производство новейшего танка Tiger Данная техника стала первым современным средним танком, имеющим передовые технологии

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Сначала судились, а теперь объединились. Nikon покупает RED — производителя известных кинокамер Компания Nikon сегодня объявила о приобретении компании RED — известного производителя кинокамер. Сумма сделки не названа, Nikon лишь заявила, что RED станет дочерней компанией, но будет находиться в полной собственности. В настоящее время в RED работает около 220...

Apple ARM и Intel Nova Lake станут первыми 2-нм чипами, производимыми на TSMC В ближайшие годы Apple и Intel вступят в новую эпоху вычислительной технологии, благодаря разработке и внедрению 2-нанометровых процессоров в партнерстве с TSMC

Пентагон заключил контракт с Aerojet Rocketdyne на $22 млн для создания гиперзвукового двигателя Министерство обороны США подписало контракт с компанией Aerojet Rocketdyne на сумму $22 млн для разработки прототипа гиперзвукового двигателя и технологии его массового производства.

Австралийская космическая компания Gilmour Space привлекла $55 000 000 и готовится к серии запусков Австралийская компания Gilmour Space, специализирующаяся на разработке ракетных технологий, привлекла $55 000 000 в рамках раунда финансирования. Эти средства будут направлены на поддержку первых четырёх попыток запуска ракеты на орбиту. Благодаря этому вложению оценка ...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

ASML предупреждает: если США продолжат усиливать санкции относительно Китая, это может плохо сказаться на бизнесе компании и её партнёрах Компания ASML, являющаяся крупнейшим в мире, а в некотором смысле и единственным, производителем современных систем для выпуска чипов, заявила, что дальнейшее ужесточение санкций со стороны США может сильно навредить компании.  Геополитическая напряженность может ...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

NVIDIA прекратила производство видеокарт GTX 16 Сегодня появилась информация о том, что компания NVIDIA официально завершила производство своих графических процессоров серии GeForce GTX 16, последних из линейки GTX для массового рынка. Собственно, ещё в декабре прошлого года появилась информация от инсайдеров о том, что N...

Hisense совместными с Xbox усилиями привнесла в индустрию игр передовой лазерный дисплей Hisense, глобальная корпорация по производству бытовой электроники и техники, объявила о новом партнерстве с Xbox с целью вывести впечатления от домашних игр и развлечений на новый уровень за счет передовой технологии лазерных дисплеев. Партнерство, объединяющее лазерные дис...

Amazon впервые раскрыла количество своих клиентов в ЕС по новому закону В рамках своего первого отчета о прозрачности магазинов в соответствии с Законом ЕС о цифровых услугах (DSA) компания Amazon сообщила, что в странах Европейского союза (ЕС) у нее более 181 млн пользователей и непосредственно в этом регионе работает более 150 тыс человек.

В России началось производство импортозамещенной электротехнической продукции Компания «Электрорешения» (бренд EKF) запустила производство электротехнической продукции в Собинском районе Владимирской области, при помощи которого планируется заменить импортные аналоги. Этот проект ориентирован на создание высокотехнологичного импортоза...

«Ростех» рассказал о создании нового противодронового комплекса Инженеры включенного в структуру «Ростеха» НПО «Квант» завершили разработку передового комплекса «Гроза.04.К», ключевой задачей которого является противодействие дронам формата FPV. Массовое производство системы уже запущено.

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

Инновационный Саммит Российская компания «Систэм Электрик» (Systeme Electric), производитель комплексных решений в области распределения электроэнергии и автоматизации, приглашает принять участие в Инновационном Саммите 2024! Мероприятие состоится 15-18 апреля 2024 г. в ЦВК «Экспоцентр» на Красн...

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

Российская компания начнёт производить базовые станции 2G/4G в апреле Российский производитель телекоммуникационного оборудования «Булат» (дочерняя компания Ростелекома) планирует с апреля текущего года начать среднее и мелкое производство отечественных базовых станций стандартов 2G/4G. Об этом сообщил гендиректор компании Але...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Крупнейший хакафон прошел в ОАЭ – три победителя с изобретениями по улучшению Web3 Bybit, третья крупнейшая по объему торгов криптобиржа в мире, в партнерстве с Критпоцентром DMCC Crypto Centre провела в Дубае марафон, вдохновляя на инновации в области Web3. Совместные усилия Bybit и DMCC, озвученные в июне этого года в поддержку массового внедрения крипто...

Передовые сканеры High-NA EUV компании ASML начал получать её второй крупный клиент Первым была корпорация Intel.

Футбольная суперзвезда Эрлинг Хааланд станет игровым персонажем в Clash of Clans Футбольная суперзвезда Эрлинг Хааланд (Erling Haaland) станет персонажем видеоигры в рамках нового партнерства с мобильной игрой* Clash of Clans. Партнерство позволит норвежскому нападающему стать знаменитым персонажем игры «Королем Варваров» в грандиозном сезонном игровом с...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Правительство США готовит «черный список» китайских производителей чипов США работает над списком китайских заводов, которым запрещено использовать передовые инструменты для производства чипов, поскольку Китай продолжает накапливать их запасы.

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

«Роторный двигатель очень нравится клиентам по всему миру», — Mazda сообщила о выпуске 2-миллионого роторного двигателя Mazda работает с этой технологией с 1961 года, когда она вступила в техническое партнерство с NSU и Wankel. К 1967 году компания выпустила Mazda Cosmo Sport. К 1973 году бренд выпустил 500 000 роторных двигателей. Однако только в 1978 году родился самый известный роторн...

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

Intel и ASML объявили о запуске первой в мире литографической машины с высокой числовой апертурой Intel стала первой компанией, которая выложила кучу денег на самые передовые машины ASML для производства чипов.

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Samsung создаёт память UFS 4.0 для работы с ИИ на смартфоне Западные информационные издания сообщают, что компания Samsung довольно усердно работает над новой версией памяти UFS 4.0, оптимизированной для операций в области искусственного интеллекта, хотя подробности пока что никто не может раскрыть по вполне понятным причинам. Корейс...

К2 Кибербезопасность выходит на рынок коммерческих SOC в партнерстве с «Лабораторией Касперского» К2 Кибербезопасность запускает Центр мониторинга информационной безопасности (Security Operations Center, SOC).  Он объединит экспертизу К2 Кибербезопасность в области защиты информации и передовые технологии “Лаборатории Касперского”. Используя платформу Kaspersky Unif...

Эксперты: планы TSMC по расширению производства в США нанесут ущерб промышленности Тайваня Строительство передовых заводов TSMC в США, стимулируемое законом CHIPS, несёт риски для дальнейшего развития полупроводниковой промышленности на Тайване.

Самый простой сервер Nvidia DGX GB200 Blackwell будет стоить 3 млн долларов. Массовое производство стартует во втором полугодии Nvidia уже представила ускорители Blackwell для ИИ, но на рынок они пока не поступили. Как сообщается, массовое производство серверов DGX GB200 стартует лишь когда-то во втором полугодии.  Успеют ли первые клиенты получить первые установки до конца текущего года, ...

Производители микросхем инвестируют свыше 300 миллиардов долларов на производство в США По прогнозам аналитиков, к 2030 году Штаты будут производить порядка 20% от всех передовых чипов и полупроводников.

Эти новые ИИ-функции появятся в крупном обновлении Windows 11 24H2 Компания Microsoft раскрыла новые детали о новшествах, которые появятся в крупном обновлении Windows 11 24H2.  Одна из новых функций, где появится интегрированный искусственный интеллект, это PowerToys. Называться она будет PowerToys Advanced Paste with Local AI, ...

Qualcomm создаёт мощный чип для шлемов дополненной реальности На текущий момент шлем дополненной реальности Apple Vision Pro оборудован сразу двумя процессорами M2 и R1, которые были произведены на 4-нм процессе компании TSMC и обладают достаточно приличной производительностью. Но сегодня появились новости о том, что компания Qualcomm ...

Первую машину Xiaomi впервые показали снаружи и внутри на качественных фото В Сети уже фигурировали изображения первого автомобиля Xiaomi, но сейчас появились самые качественные изображения, позволяющие рассмотреть внутреннюю структуру. Засняли кузов, судя по всему, где-то на заводе Xiaomi. Интересно, что внутри обнаружились и панели обшивки дв...

США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia Глава Nvidia Дженсен Хуанг (Jensen Huang) считает, что США ещё далеко до независимости на рынке полупроводникового производства.  По словам Хуанга, должно пройти ещё 10-20 лет до того момента, как США перестанут быть зависимы от тайванских и китайских производител...

LG открывает завод по производству зарядных устройств для электромобилей Компания LG Electronics (LG) расширяет инфраструктуру решений для зарядки электромобилей (EV), открыв свой первый завод по производству зарядных устройств для электромобилей за пределами Южной Кореи, где в прошлом году компания запустила предприятие для изготовления зарядны...

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Первый результат тестирования 144-ядерного процессора Intel пока хуже, чем у Snapdragon 8 Gen 3. В тесте засветился CPU Sierra Forest Компания Intel готовит не только 64-ядерные процессоры Emerald Rapids, но и 144-ядерные и даже 288-ядерные Sierra Forest. И такой процессор впервые засветился в тесте.  В Geekbench появился результат 144-ядерного CPU, имя которого не указано. Если точнее, тест про...

МТС первым среди российских операторов получил государственную аккредитацию для работы с биометрическими данными МТС стал первым мобильным оператором в России, который получил государственную аккредитацию для работы с биометрическими данными. Это дает компании возможность самостоятельно работать с биометрическими данными в рамках Единой биометрической системы (ЕБС). Это обеспечива...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Samsung потратила на мобильные процессоры 7 млрд за год Расходы компании Samsung на процессоров для смартфонов выросли до того уровня, что корейский гигант вынужден идти на компромисс по функциям, предоставляемым в общей линейке смартфонов Galaxy. Аналитики мобильного рынка заявили, что из-за чрезмерной зависимости от внешних ком...

Завод по производству агродронов запущен в России ТАСС: В ОЭЗ "Тольятти" открылась первая очередь завода компании "Транспорт будущего" по производству беспилотников. На первом этапе завод будет изготавливать беспилотные авиасистемы (БАС) для сельского хозяйства, мониторинга и доставки. В 2024 году компания планирует изготов...

Где смотреть презентацию Apple 7 мая на русском языке Готовы к презентации Apple? Изображение: 9to5mac.com Сегодня, 7 мая, Apple проведет первую полноценную презентацию своих устройств в 2024 году. До этого компания показала MacBook Air с процессором M3 без отдельного мероприятия, ограничившись лишь пресс-релизом на сайте. А во...

Росатом выпустил все необходимые реакторы для новых российских ледоколов По сообщению пресс-службы Росатома, на производственной площадке в Подольске завершено изготовление второго корпуса реактора РИТМ-200 для универсального атомного ледокола проекта 22220 «Чукотка». Это десятый и последний реактор, который изготовил завод ...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Сделано в Казахстане. Автомобили Skoda теперь будут собирать рядом с Россией Казахстанская торгово-промышленная группа Allur займется производством автомобилей Skoda, причем контракт с Volkswagen Group уже подписан. До конца года с конвейера сойдут первые Skoda казахстанской сборки. Но пока что не сообщается, где именно они будут собираться и о ...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Министр торговли США предостерегла Nvidia от создания специальных чипов для Китая и заодно попросила дополнительного финансирования для своего бюро Компания Nvidia уже достаточно давно и успешно выпускает для рынка Китая специализированные ускорители, которые не попадают под санкции США. В последнее время список санкционных GPU сильно расширился, и Nvidia взялась создавать новые ускорители и даже потребительскую ви...

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

Apple впервые за 30 лет вернулась к практике лицензирования своей ОС. Речь о новом поколении CarPlay Компания Apple вернулась к практике лицензирования своей операционной системы. Впервые за 30 лет.  Речь идёт не о macOS или iOS, а о CarPlay. Как стало известно, система CarPlay нового поколения подразумевает распространение посредством лицензирования. А это означ...

Китай выдает миллиарды долларов США на освоение производства современных чипов. Поставщиков становится больше Правительство Китая продолжает программу развития отрасли разработки и производства электроники. При этом чиновники не кладут все яйца в одну корзину, а занимаются диверсификацией государственной помощи предприятиям. Сейчас стало известно, что государство выделит инвестици...

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

Apple передумала «мстить» Евросоюзу за новый закон. Компания вернёт поддержку веб-приложений PWA для жителей EC Компания Apple передумала отключать поддержку веб-приложений PWA для жителей Евросоюза.  Купертинский гигант сообщил, что с выходом iOS 17.4 для жителей ЕС в этом вопросе ничего не изменится, и веб-приложения продолжат быть для них доступны. Напомним, ранее Apple ...

Как я научил ChatGPT материться Заголовок не совсем точный. Я не учил ChatGPT материться — она прекрасно умеет это делать и без меня. Одну из её излюбленных фраз: F*ck me sideways with a chainsaw — я впервые услышал именно от ChatGPT.Чтобы раскрыть полный потенциал ChatGPT, нужно лишь обойти фильтры контен...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Что известно про macOS 15 и какие компьютеры Apple получат обновление Помимо iOS 18, которую многие владельцы смартфонов компании Apple с нетерпением ждут из-за изменений в дизайне и интеграции искусственного интеллекта, на WWDC 2024 покажут еще и операционную систему для компьютеров macOS 15. К сожалению, пока неизвестно, какое название она ...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Microsoft может работать над созданием следующей консоли Xbox в партнерстве с Intel и NVIDIA Появились новые слухи о следующей консоли Xbox. Есть предположение, что на этот раз Microsoft нацелена на партнерство между Intel и NVIDIA, чтобы реализовать следующее поколение приставок.

Tesla, подержи моё пиво. Xiaomi опубликовала впечатляющее видео с демонстрацией возможностей Xiaomi SU7 Недавно представленный автомобиль Xiaomi SU7 привлек большое внимание, поскольку он выпускается не известной автомобильной компаний, а Xiaomi, третьим по величине в мире производителем смартфонов в мире. Прошло примерно три года с тех пор, как Xiaomi впервые объявила о ...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

В сети опубликованы фотографии тестовой платформы Intel Beechnut City для процессоров Xeon 6 Процессоры Intel Xeon 6 нового поколения, получившие кодовые названия Granite Rapids и Sierra Forest, готовы всколыхнуть рынок CPU своей передовой архитектурой и возможностями

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Началось производство обновлённого Volkswagen Golf — спустя 50 лет после выхода оригинальной машины. Названы лучшие модели VW Volkswagen отчиталась о начале производства рестайлинговой версии Volkswagen Golf восьмого поколения. Первой машиной стал синий хетчбэк в топовой комплектации Style в цвете Anemone Blue Metallic. Новую модель можно узнать прежде всего по измененной передней части. Он по...

Теперь китайцы получат ускорители Nvidia, которые в лучшем случае почти в семь раз медленнее, чем H100. Для обхода санкций представлены HGX H20, L20 PCIe и L2 PCIe Компания Nvidia уже представила те самые ускорители для ИИ, предназначенные для Китая, о которых мы говорили сегодня ранее.  Как и сообщалось, анонсировано три модели: HGX H20, L20 PCIe и L2 PCIe. Они довольно сильно отличаются друг от друга, а все параметры можно...

Импортозамещение по-американски: США начинают выделять серьезные средства полупроводниковым компаниям внутри страны В 2022 году Штаты начали реализацию программы по развитию индустрии производства чипов внутри страны. Речь идет о законе CHIPS Act. Согласно ему, компаниям, которые развертывают производства внутри государства, выделяются средства в общем размере $52,7 млрд. Речь, конечно...

Российских врачей и учителей хотят массово снабдить отечественными планшетами Минцифры собирается массово обеспечить российских учителей и врачей планшетами отечественного производства. Как заявил министр цифрового развития РФ Максут Шадаев во время конференции Tadviser Summit, которая проходит сейчас в Москве, снабжать учителей и врачей планшета...

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

Huasun представил гетеропереходные солнечные модули 0BB с технологией Zero Busbar   Компания Huasun Energy, крупнейший в мире производитель продукции для гетеропереходов (HJT), представила свою последнюю инновацию в области высокоэффективных модулей с инновационной технологией Zero Busbar (0BB). Это передовое усовершенствование было легко интегрирова...

Realme сделает то, что не предлагает больше никто на рынке. Недорогие смартфоны Realme 12 Pro и 12 Pro+ получат «телевики» В последние годы стало нормой отсутствие «телевика» даже в базовых версиях флагманов, не то что у среднебюджетных смартфонов. Однако, возможно, компания Realme решится изменить ситуацию. Как сообщается, в линейке Realme 12 старшие модели будут иметь камеру с...

Искусственный интеллект будет в смартфонах на уровне железа. Спасибо Google и Qualcomm Искусственный интеллект пока не изменил нашу жизнь настолько, чтобы она больше не была такой, как прежде. Но некоторые изменения уже есть, и если правильно пользоваться ими, то все становится намного проще. Было лишь вопросом времени, когда искусственный интеллект появится ...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Axiom Space в коллаборации с итальянским модным домом Prada работает над разработкой космических скафандров для будущих астронавтов NASA, которые совершат высадку на Луну в рамках миссии Artemis 4 октября Axiom Space и итальянский модный дом Prada объявили, что будут сотрудничать в разработке скафандров Axiom для миссии Artemis, начиная с Artemis 3 в 2025 году. Это коллаборация для заказа NASA в рамках контракта на $ 228,5 миллионов, полученного в сентябре...

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

Daihatsu начала возобновлять производство авто после полутора месяцев простоя из-за скандала с краш-тестами Японский автопроизводитель Daihatsu (дочерняя компания Toyota Motor по выпуску малолитражных автомобилей) частично возобновил производство своих машин. Напомним, все четыре завода компании в Японии прекратили работу в конце декабря прошлого года, поскольку Daihatsu оказ...

Apple готовится существенно обновить компьютер iMac Инсайдеры заявили, что процессор M3 от Apple изначально должен был появиться в этом году с улучшенными характеристиками и более высокой производительностью в рамках новых ноутбуков MacBook Air. Однако по новым данным, которые появились сегодня ночью, запуск передового процес...

Албания усиливает свою оборону турецкими дронами Албания стала первой европейской страной, которая получила разведывательно-ударные БПЛА Bayraktar TB2, произведенные турецкой компанией Baykar Makina. Это является частью плана модернизации албанских вооруженных сил, который предусматривает увеличение расходов на оборону до ...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

Intel 10A представят в 2028 году Сегодня компания Intel официально анонсировала передовой технологический процесс под названием 10A (на деле это 1 нм) — производитель планирует его внедрение примерно в 2028 году, но пока что это только предположение. Кроме того, стоит понимать, что значит, что компания Inte...

Rocket Lab успешно запустила спутники для борьбы с космическим мусором Rocket Lab USA успешно запустила свою первую миссию Electron в 2024 году, посвящённую решению проблемы космического мусора. В рамках миссии «Четвёрка» (Four Of A Kind) для клиентов Spire Global и NorthStar Earth & Space, ракета Electron доставила четыре ...

Вышел самый защищенный телефон. С него почти невозможно украсть данные, но вы его не купите Возможно, вы не слышали о компании Punkt, но из всех обычных брендов смартфонов, представленных на выставке MWC 2024, это единственный, кто делает что-то по-другому. Punkt MC02 — это новый телефон, ориентированный на конфиденциальность, который теперь можно купить в Европе ...

«Вот так китайские производители постепенно захватывают рынок», — главный редактор «За рулем» рассказал о новой программе поддержки водителей Livan для России Марка Livan запустила программу поддержки водителей Livan Assistance, о чём сообщил главный редактор издания «За рулем» Максим Кадаков в своём телеграм-канале. Кто из вас полгода назад знал о новоиспеченной китайской марке Livan? И вот она уже объявила о вне...

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Azercosmos расширяет космическую инфраструктуру и приобретает два разведывательных спутника у Израиля Сделка, оценённая в $120 000 000, позволит Азербайджану получить разведывательные спутники OptSat500 с высоким разрешением и производительностью съёмки. Israel Aerospace Industries (IAI) и Azercosmos, космическое агентство Азербайджана, объявили о соглашении по продаже ...

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Собственные чат-бот Apple GPT и большая языковая модель Apple отстают от ChatGPT и Gemini Bloomberg отмечает, что собственная технология генеративного искусственного интеллекта Apple отстает от конкурентов. Сотрудники Apple тестировали собственного чат-бота под названием Apple GPT, кроме того, у компании есть собственная большая языковая модель под кодовым н...

Китай все активнее развивает индустрию производства чипов. И не всегда явно Относительно недавно мы публиковали статью «Huawei продолжает развиваться, только тайно». В ней говорилось о том, что Huawei сейчас строит новые предприятия по производству полупроводниковых элементов и систем на территории Китая. Причем делает это через посредников, скрыв...

«Давно пора убрать дроны, производимые коммунистическим Китаем, из Америки». В США предложили запретить дроны DJI После принятия решения о запрете TikTok в США федеральное правительство может нацелиться на китайскую компанию DJI. В сообщении The New York Times описывается законопроект, который может запретить использование дронов DJI по всей стране. В случае принятия Закона о проти...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

«СуперНиву» ждать еще долго: обещанная Lada Niva Sport выйдет только через год В следующем году Lada Niva Legend исполнится 47 лет, и к этому времени внедорожник наконец-то получит новый мотор. Какой именно – пока точно неизвестно, но получит точно. Называться такой автомобиль будет Lada Niva Sport. О том, что «спортивная» &laqu...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Частная космическая компания Firefly Aerospace намерена стать поставщиком для Национального управления по разведке США, несмотря на неуспешные запуски Компания Firefly Aerospace объявила о намерении принять участие в конкурсе Национального управления по разведке (NRO) на получение контрактов на запуск космических аппаратов. Эта программа была создана, чтобы NRO могло закупать грузовые места на малых спутниках от комме...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Илон Маск пробыл в Китае менее суток, но заключил очень важное для Tesla соглашение с Baidu Генеральный директор Tesla Илон Маск неожиданно посетил Пекин в воскресенье, 28 апреля, встретившись с премьер-министром Ли Цяном и проведя в Китае менее 24 часов. По данным Bloomberg, между Tesla и интернет-гигантом Baidu, иногда называемым китайским Google, было подпи...

«Автотор» начал сборку флагманских кроссоверов Kaiyi Х7 На калининградском автомобилестроительном заводе «Автотор» стартовало производство среднеразмерного кроссовера Kaiyi Х7 Kunlun, сообщает официальный Telegram-канал компании, первые автомобили уже сошли с конвейера. Kaiyi Х7 — это один из самых крупных ...

Видеокарта GeForce RTX 5080, вероятно, получит 256-битную шину. Появились некоторые параметры GPU GB203 и GB205 До выхода видеокарт Nvidia поколения Blackwell ещё довольно далеко, но постепенно в Сети появляются новые подробности об этих решениях. Теперь вот стало известно, какую шину получат нетоповые решения.  Инсайдер kopite7kimi говорит, что адаптеры на основе GPU GB203...

Чтобы Китаю вообще ничего не досталось. США собираются запретить поставки в Поднебесную ускорителей Nvidia, созданных специально для Китая В своё время США ограничили поставки новейших ускорителей Nvidia в Китай, из-за чего Nvidia создала специальные урезанные версии: A800 и H800. И вот теперь США взялись и за эти модели.  Министерство торговли США объявило, что планирует ограничить продажу Китаю и э...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

MediaTek Dimensity 9400 появится в десятках новых смартфонов Совсем скоро в релиз должен отправиться новый мобильный процессор Dimensity 9400 от компании MediaTek. И если не считать компании Google и Apple, MediaTek уже заключила контракты со всеми крупными производителями смартфонов о поставках нового флагманского процессора, но сего...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

«Первые тысячи FPV-дронов, первые сотни агродронов и дронов других применений», — массовое производство БПЛА стартует в Самарской области Генеральный директор компании «Транспорт будущего» Юрий Козаренко заявил, что до конца текущего года предприятие запустит серийное производство беспилотников на площадке в Самарской области. «До конца года мы уже запускаем корпуса. Мы начинаем серийное...

Вся линейка Samsung Galaxy S25 будет основана только на SoC Exynos? Свежие слухи говорят, что Snapdragon останется лишь у складных флагманов Согласно различным слухам, компания Samsung работает над совершенно новой SoC Exynos, которую якобы называют Dream Chip. Свежие данные говорят о том, что вся линейка флагманов Galaxy S 2025 года будет опираться на эту самую платформу.  Инсайдер Connor (OreXda), ко...

Та самая давно обещанная Илоном Маском революция. Стало известно, когда Tesla представит своё роботакси Компания Tesla представит своё роботакси уже в августе.  создано DALL-E Илон Маск заявил, что такое авто Tesla покажет 8 августа. Никаких других подробностей пока нет, но ранее Маск уже отмечал, что делает большие ставки на этот проект. В частности, он говорил, чт...

Microsoft анонсировала платные обновления безопасности для Windows 10 после 2025 года Microsoft анонсировала программу Extended Support Updates (ESU) для Windows 10, которая запустится в октябре 2025 года после завершения бесплатной расширенной поддержки ОС. В рамках этой программы Microsoft продолжит выпуск обновлений безопасности для Windows 10, но уже на ...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Huawei Mate 70, который потягается с iPhone 16, получит очень быстрый чип Kirin: он уже набирает более 1,1 млн баллов в AnTuTu Компания Huawei выпустила свои последние флагманы Pura 70 с новым чипом Kirin 9010. Хотя SoC имеет тот же производственный процесс и базовую архитектуру, что и Kirin 9000, компания Huawei еще больше оптимизировала его, чтобы обеспечить производительность в своих последн...

Gainward представила видеокарту GeForce RTX 3050 6 ГБ Pegasus Сегодня компания Gainward, довольно известный производитель видеокарт с огромным арсеналом интересных моделей, официально представила новую модель под названием GeForce RTX 3050 6 ГБ Pegasus. Данная видеокарта использует графический процессор, произведённый на архитектуре NV...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

SpaceX подписала первый контракт с Космическими силами США по программе Starshield SpaceX получила свой первый контракт от Космических сил США на предоставление специализированной спутниковой связи в рамках ранее анонсированной программы Starshield. Контракт стоимостью до 70 миллионов долларов позволит SpaceX предложить для этой программы свою группир...

Современные CPU Intel настолько горячие, что EK Water Blocks представила жидкостную СО специально для скальпированных процессоров Современные топовые процессоры Intel очень и очень прожорливые и горячие. Это привело к тому, что всё больше пользователей обращаются к процессу скальпирования. И теперь компания EK Water Blocks представила первую в мире жидкостную систему охлаждения именно для таких CP...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

Центр аддитивных технологий Ростеха получил лицензию на обучение аддитивному производству Центр аддитивных технологий (ЦАТ) Ростеха стал первым предприятием в контуре государственной корпорации «Ростех», получившим лицензию на обучение по программе «Аддитивные технологии». Программы повышения квалификации затронут все аспекты производства методом 3D-печати — от к...

Это Polestar Phone — первый смартфон производителя премиальных автомобилей Polestar, производитель электромобилей, объявил о скором выпуске своего дебютного смартфона Polestar Phone. Телефон, позиционируемый как флагман с искусственным интеллектом, будет официально представлен на мероприятии 23 апреля. Компания заявляет, что дизайн телефона я...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

TSMC получит от США $12 млрд на строительство третьего завода в Аризоне Он будет производить передовые 2-нанометровые чипы.

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Apple разрешит ремонтировать iPhone, используя бывшие в употреблении детали Компания Apple продолжает серьёзно меняться под давлением различных антимонопольных организаций и законов разных стран. Сегодня компания неожиданно заявила, что разрешит ремонтировать iPhone, используя бывшие в употреблении комплектующие.  Изначально это коснётся ...

Билайн обновляет подход к защите абонентов от мошенников ПАО «ВымпелКом» (Билайн) совершенствует подход к защите абонентов от мошеннических действий и запускает новые сервисы для обеспечения безопасности клиентов в партнерстве с крупными банками, онлайн площадками и профильными компаниями по информационной безопасности.

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

«Самая совершенная передняя панель в истории флагманов Meizu». Meizu сравнила свой флагман Meizu 21 с iPhone 15 Pro и Xiaomi 14 Meizu сегодня опубликовала первое официальное изображение нового флагмана Meizu 21. Компания акцентировала внимание на тонкой рамке экрана, а также сообщила, что диагональ дисплея составит 6,55 дюйма. Судя по картинке, дисплей в этой модели будет плоским. Также компани...

Мем, ставший легендой: Doom можно запустить на чем угодно Фанаты ретро-игр не дают умирать любимым играм, делая модификации или портируя их на современные версии консолей и ПО. И особняком здесь стоит культовая игра Doom 1993 года, которую стали запускать не только на ПК и консолях, а на любых устройствах, у которых есть экран и п...

США выделят миллиарды на производство передовых полупроводников, Intel, Samsung и TSMC Ожидается, что администрация президента Джо Байдена в ближайшие недели выделит миллиарды долларов в виде субсидий ведущим полупроводниковым компаниям, включая Intel, чтобы помочь построить новые заводы в США. Это должно дать толчок производству передовых полупроводников...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

ChatGTP не просто можно, а нужно будет использовать для учёбы и написания курсовых. Университет штата Аризона заключил партнёрство с OpenAI ChatGPT и прочие подобные чат-боты уже сильно повлияли на образовательный сегмент, и в дальнейшем это влияние будет лишь расти. В Университета штата Аризона решили не идти против течения и заключили партнёрство с OpenAI.  создано DALL-E Стоит сказать, что это перв...

Китайская компания поможет в производстве Aurus в Санкт-Петербурге Китайская компания присоединится к производству автомобилей Aurus на заводе Toyota в Санкт-Петербурге, возможно, в партнерстве с FAW.

Смотрим, как устроен первый сторонний магазин приложений для iPhone Ни для кого не секрет, что выход iOS 17.4 стал революцией для операционной системы iOS. Впервые на смартфонах компании Apple появилась возможность устанавливать приложения не из App Store. Да, это послабление действует лишь на территории Евросоюза, но, как многие справедлив...

Ничего личного, просто бизнес. Китай наращивает закупки литографов, а ASML гарантирует поставку иммерсионных DUV и более передовых систем несмотря на санкции США Ассоциация полупроводниковой промышленности (Semiconductor Industry Association, SIA) опубликовала очередной отчет о мировых продажах оборудования для печати полупроводниковых систем в августе текущего года. Как отмечается, продажи достигли отметки в 44 миллиарда д...

Financial Times присоединяется к ведущим мировым медиа в сотрудничестве с OpenAI по лицензированию контента Британская газета Financial Times, принадлежащая издательскому дому Nikkei, заключила соглашение с компанией OpenAI о лицензировании своего контента и совместной разработке инструментов искусственного интеллекта. Тем самым это авторитетное деловое издание присоединяется...

Современные процессоры Intel запретили продавать в Германии. Компания проиграла патентный спор, касавшийся некоторых линеек CPU Компания Intel проиграла патентный спор в Германии. Из-за этого теперь там запрещены продажи некоторых процессоров компании.  DALL-E Региональный суд в Дюссельдорфе постановил, что Intel нарушила патент компании R2 Semiconductor, который касается технологии регули...

Почему на iPad не будет сторонних магазинов приложений и альтернатив Apple Pay На прошлой неделе вместе с выходом первой бета-версии iOS 17.4 Apple взорвала новостное пространство, сообщив всему миру, что на Айфонах именно в этой версии ПО появится поддержка сторонних магазинов приложений, альтернатив Apple Pay и браузеров с собственным движком. Однак...

В России налажено массовое производство авиационных бомб ФАБ-3000 Министерство обороны РФ сообщило об увеличении выпуска бомб "малого" калибра, а также о начале производства трёхтонных авиационных бомб

Harman купила Roon Harman, дочерняя компания Samsung Electronics, специализирующаяся на подключенных технологиях для автомобильного, потребительского и корпоративного рынков, объявила о приобретении Roon. Roon — это платформа для любителей музыки, которая имеет богатый интерфейс для...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Китай собирается массово выпускать человекоподобных роботов к 2025 году Китай планирует наладить массовый выпуск человекоподобных роботов уже к 2025 году, а в 2027 году выйти на передовой международный уровень в этой отрасли. Об этом сообщается в документе под названием «Руководящее заключение по инновациям и разработке человекоподобн...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Китайский Arm-процессор, способный конкурировать с 24-ядерным AMD Epyc на Zen 3. Phytium показала CPU FTC860 Пока китайские видеокарты очень далеки от современных решений AMD, Nvidia и Intel, китайские процессоры развиваются очень внушительными темпами. Компания Phytium показала свой новый процессор, который способен конкурировать с CPU AMD на основе архитектуры Zen 3.   ...

Очередь за памятью HBM3 и HBM3E производства SK Hynix выстроилась более чем на год SK Hynix недавно заявила, что все ее мощности по производству памяти HBM3 и HBM3E в 2024 году забронированы клиентами. По оценкам SK Hynix, в 2023 году доля серверов, оснащаемых ускорителями с памятью типа HBM и используемыми для работы с искусственным интеллектом, сост...

Great Wall показала свой восьмицилиндровый оппозитный мотор для аналога Honda Gold Wing Great Wall добавила в свою копилку новый бренд, на этот раз мотоциклетный — Souo. Именно под этим брендом выйдут первые мотоциклы Great Wall, ну а первой моделью станет большая туристическая модель формата Honda God Wing: это не скрывает уже ни сама Great Wall, об...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Apple Vision Pro получил приложение ChatGPT В прошлом году на мероприятии WWDC руководство компании Apple представила гарнитуру дополненной реальности Vision Pro — теперь, когда шлем уже отправился в релиз, компания активно работает над созданием передового софта, чтобы привлечь внимание аудитории. Кроме того, данным ...

Lada Iskra станет первой «Ладой», которой не грозит дефицит компонентов? АвтоВАЗ будет самостоятельно выпускать бамперы, панель приборов и другие детали из пластика для этой модели Несмотря на то, что выпуск Lada Iskra перенесен с 2024 года на 2025 год, Волжский автозавод ведет планомерную работу по этому проекту. Так, как сообщает паблик Avtograd News, ВАЗ уже получил пресс-формы для проекта Iskra, с помощью которых будет самостоятельно выпускать...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Samsung не знает, как её чипы оказались в смартфона Huawei: «Компания соблюдает экспортные правила США и не поддерживает отношения с Huawei» Samsung Electronics заявила, что не торговала с Huawei после санкций США в 2020 году после сообщения о том, что некоторые из ее чипов используются в новых смартфонах, продаваемых китайским производителем. «Samsung соблюдает экспортные правила, установленные правит...

Космический стартап Interlune планирует разведочную миссию на Луну для добычи гелия-3 уже в 2026 году 13 марта компания Interlune, базирующаяся в Сиэтле, объявила о планах создания роботизированного комбайна, предназначенного для извлечения гелия-3 из лунного реголита и последующей доставки его на Землю. Этот редкий ресурс может быть использован в различных областях &nd...

«Самые энергоэффективные нейроны во Вселенной». Стартап Extropic представил принципиально новый подход к вычислениям ИИ и создал прототип алюминиевого процессора Возможно, на рынке вычислений ИИ в обозримом будущем произойдёт ещё одна революция. Как минимум стартап Extropic говорит, что стремится именно к этому.  Заявления у компании действительно весьма серьёзные. В Extropic говорят, что они создали подход к вычислениям, к...

Какие бонусы выбирают пользователи букмекерской конторы Pin Up KZ? Прямо сейчас в мире спорта проходят важнейшие события, которые привлекают внимание миллионов болельщиков со всего мира. Ежедневно поклонники спорта делают ставки на любимые спортивные события и следят за любимыми спортсменами с еще большим энтузиазмом. Много пользователей дл...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

AMD концентрируется на серверных и мобильных процессорах? Компания пообещала в первую очередь именно их во второй половине года Комментируя результаты прошедшего финансового квартала, глава AMD Лиза Су (Lisa Su) рассказала о планах компании на текущий год.  В частности, нас точно ждут новые процессоры на архитектуре Zen 5, причём сразу в нескольких сегментах. Во втором полугодии AMD выпуст...

Уникальный флагман с «плоской» камерой и экраном без вырезов, который оказался самым быстрым смартфоном в мире, будет работает двое суток и поддерживать 165-ваттную зарядку Серия Red Magic 9 Pro будет официально выпущена 23 ноября. По мере приближения пресс-конференции представители компании публикуют все новые тизеры. Red Magic Mobile официально заявила, что серия Red Magic 9 Pro будет оснащена быстрой зарядкой Magic Flash мощностью 165 В...

ГК АВТОДОМ стала официальным дилером мотоциклов VOGE АВТОДОМ стал официальным дилером китайского премиум-бренда VOGE. Мотоциклы и квадроциклы доступны в Москве в АВТОДОМ Алтуфьево, АВТОДОМ Зорге, АВТОДОМ МКАД, АВТОДОМ Внуково, в Санкт-Петербурге в АВТОДОМ Стартовая и в АВТОДОМ Краснодар. VOGE — подразделение бренда Loncin,...

Полноприводный Jaecoo J8 с адаптивной подвеской в России выйдет в феврале. Модели бренда Jaecoo появятся в каршеринге уже к марту Директор брендов Omoda и Jaecoo Виктор Нащанский рассказал, почему компании пришлось перенести начало продаж бензинового J8 с ноября этого года на февраль 2024 года. «Стратегия в рамках брендов Omoda и Jaecoo - это не просто привезти модель на российский рынок. Дл...

В Хабаровском крае появится передовая инженерная школа При сотрудничестве властей Хабаровского края и крупных промышленных компаний строится новая передовая инженерная школа (ПИШ), нацеленная на развитие горнорудной отрасли. Заместитель председателя правительства региона, Евгений Никонов, объявил о старте этого проекта на Конгре...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

[Перевод] Работа с 3D-графикой и визуальными эффектами в Qt Design Studio Чтобы водители получали приятные впечатления от вождения автомобиля, дизайнерам нужны современные инструменты, позволяющие создавать великолепные графические интерфейсы с минимальными затратами времени и сил. Qt Design Studio содержит все необходимые ресурсы для создания пот...

Задача партнерства BMW и Dassault Systmes – произвести революцию в разработке автомобилей Компании Dassault Systèmes и BMW Group подписали договор о стратегическом партнерстве для создания инженерной платформы будущего.

Бывший российский завод Michelin будет выпускать шины двух новых марок На территории бывшего Michelin в посёлке Давыдово, Московская область, готовится к старту производство шин под марками Selna и Farlight, как сообщает телеграм-канал «Автопоток». В марте 2022 года французский гигант шинного производства объявил о приостановке...

Apple ищет партнера в лице Rivian для реализации своих электромобильных амбиций Компания Apple, несмотря на недавнее закрытие своей инициативы по производству электромобилей Project Titan, вновь проявляет интерес к автомобильному рынку. По сообщениям, Apple рассматривает возможность партнерства с производителем электрокаров Rivian для воплощения своих а...

Московский производитель разработал линейку промышленных мини-компьютеров Компания «АТБ Электроника» презентовала новую линейку компактных компьютеров для промышленных и инфраструктурных объектов. Об этом сообщил Министр Правительства Москвы, руководитель столичного Департамента инвестиционной и промышленной политики Владислав Овч...

Названа самая продаваемая модель Suzuki в истории. Это не Vitara и не Jimny Suzuki, производитель автомобилей и мотоциклов со штаб-квартирой в Сидзуоке, Япония, достиг важной вехи, достигнув к концу августа 2023 года совокупного объема продаж автомобилей по всему миру в 80 миллионов единиц. В настоящее время производитель производит автомобили,...

Аэрокосмический гигант Lockheed Martin намерен приобрести Terran Orbital Компания Lockheed Martin, один из мировых лидеров в области аэрокосмической и оборонной отрасли, выступила с предложением о покупке Terran Orbital, ведущего производителя космических аппаратов. В письме, поданном в Комиссию по ценным бумагам и биржам (SEC) 1 марта, Lock...

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

АвтоВАЗ возобновляет серийное производство Lada Largus с ДВС с 15 мая. e-Largus начнут выпускать в третьем квартале АвтоВАЗ сообщил, что с 15 мая в Ижевске будет возобновлено серийное производство универсалов Lada Largus с бензиновыми моторами. Как пишет главный редактор журнала «За рулем» Максим Кадаков, в третьем квартале этого года на конвейер поставят электрический у...

Законодателям США не понравилось, что Huawei выпустила новейший ноутбук MateBook X Pro на основе процессоров Intel Компания Huawei на днях представила новейших ноутбук MateBook X Pro на основе процессоров Intel Core Ultra. И это не понравилось ряду американских чиновников.  фото: Huawei Как сообщается, законодатели-республиканцы в пятницу раскритиковали администрацию президент...

Какие складные телефоны есть кроме Самсунг. Покупает ли их кто-то Первый складной телефон появился в 2019 году. Это был Samsung Galaxy Z Fold первого поколения. По крайней мере, тогда его официально представили, хотя в массовой продаже он появился лишь спустя несколько месяцев. На следующий день показали HUAWEI Mate X, который был соверше...

Крупнейшие технологические прорывы 2023 года, которые изменят нашу жизнь В 2023 году было совершено несколько серьезных технологических прорывов, которые изменят нашу жизнь Мир технологий постоянно развивается, и учеными регулярно свершаются определенные достижения, но часто они остаются незамеченными. Лишь со временем мы обнаруживаем, что наша ж...

Не одной Nvidia единой. Microsoft будет использовать чудовищные ускорители AMD Instinct MI300X, имеющие по 192 ГБ памяти каждый Компания AMD получила очень крупного клиента для своих ускорителей Instinct MI300X. Этим клиентом стала Microsoft.  фото: AMD Вчера Microsoft не только представила свои собственные процессоры Azure Maia 100 AI Accelerator и Azure Cobalt 100, но и объявила о том, ч...

Совершенно новый тип памяти, который может появиться на видеокартах? Hynix работает над памятью, которая будет быстрой, но не такой дорогой, как HBM Компания Hynix работает над новым типом памяти, который можно будет использовать для видеокарт и мобильных устройств.  Названия у памяти пока нет, но можно сказать, что это нечто среднее между HBM и DRAM, хотя первая фактически является своеобразным вариантом втор...

Французская компания Latitude привлекла $30 млн на разработку малой ракеты-носителя Французская компания Latitude, специализирующаяся на разработке небольших ракетных двигателей, привлекла $30 миллионов инвестиций для производства своих первых ракет. Это объявление было сделано 22 января, когда компания объявила об успешном раунде финансирования серии ...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

4G на Луне уже в этом году. Nokia и NASA работают над проектом лунной базовой станции Компания Nokia поможет NASA обеспечить Луну покрытием сотовой сети 4G.   фото: Intuitive Machines/Nokia Bell Labs Контракт, заключенный с Nokia, является частью программы NASA, которая способствует развитию коммерческих космических возможностей и принесет пол...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Проверка компании Boeing выявила десятки проблем в производстве Boeing 737 Американское Федеральное управление гражданской авиации (ФАУ) провело проверку производственных линий Boeing, результаты которой выявили, что авиационный гигант мог сознательно игнорировать нормы безопасности производства и тем самым поставить под угрозу жизнь пассажиров.

5 новых игр от Insomniac Games: продолжение Паука, Веном, Люди Икс и даже Ratchet & Clank Сегодня в сети появилось множество файлов от игровой студии Insomniac, слитое хакерской группировкой из-за невыполнения её требований. Мы уже рассказали обо всей известной информации о Marvel's Wolverine в отдельной новости, а теперь речь пойдёт про другие скорые и не очень ...

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Разблокировка видеокарты, почти как в старые добрые времена. Бразильские умельцы превратили Radeon RX 5600 XT в RX 5700 с 16 ГБ памяти Современные процессоры и видеокарты очень редко можно существенно изменить хотя бы каким-то образом. Оказалось, что есть исключение. Энтузиасты из Бразилии смогли добавить видеокарте Radeon RX 5600 XT памяти и даже расширить шину.  Radeon RX 5600 XT по умолчанию п...

Память 3D NAND с более чем 1000 слоёв. Kioxia уже планирует выпускать такую память Современная память 3D NAND имеет уже более 200 слоёв. Но в ближайшие годы этот показатель продолжит активно расти. Компания Kioxia, к примеру, говорит о том, что уже планирует массовое производство памяти с более чем 1000 слоями.  фото: Kioxia Ждать, правда, придё...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Honor объявляет о партнерстве с Gameloft для оптимизации Asphalt 9 в серии Magic V2 Благодаря этому партнерству складной смартфон стал первым в своем роде, предлагающим в игре скорость 120 кадров в секунду.

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Apple выпустила новый iPad Pro 2024 с OLED-экраном на свежем чипе M4. Такой планшет я бы купил не раздумывая В последнее время дела у планшетов идут не очень. Пользователи поняли, что они не смогут в полной мере заменить ноутбуки даже при наличии надстроек в системе и дополнительной периферии. Однако для некоторых именно планшеты являются основной рабочей лошадкой, но с основными ...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Mercedes продолжит выпускать свой самый дешёвый бензиновый автомобиль, потому что электромобили пока очень дороги Mercedes A-Class должен был выйти из эксплуатации в 2024 году, но теперь его жизненный цикл продлен до 2026 года. Компактный хетчбэк является самым доступным Mercedes в линейке, его цена в Европе стартует от 37 400 евро. Генеральный директор Ола Каллениус признал, что д...

Началось производство нового Skoda Kodiaq: завод выпускает 410 машин в день Компания Skoda объявила о старте массового производства своего нового кроссовера Kodiaq второго поколения. Сборка автомобиля осуществляется на заводе бренда в чешских Квасинах, предыдущем месте производства седана Skoda Superb. Согласно информации от пресс-службы компан...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

В Россию едет новый бренд Sinomach с внедорожником Paladin Компания Sinomach Automobile собирается выйти на рынок легковых автомобилей в России, как сообщает информационный портал «Газета.Ru», ссылаясь на представителей китайского предприятия в России. Представители Sinomach сообщили, что первой моделью, предназначе...

Процессор Apple M4 сделал iPad Pro 2024 мощнее новых макбуков. Насколько он круче других чипов от Apple? 7 мая компания Apple представила iPad Pro 2024, неожиданным компонентом которого стал новый процессор Apple M4. Внезапным это появление стало по двум причинам. Во-первых, предшествующий Apple M3 вышел меньше года назад. Во-вторых, процессоры M-серии, будучи самыми производи...

«Школа 21» в Великом Новгороде: жители региона смогут получать бесплатное образование в сфере ИТ Сбер в партнёрстве с Правительством Новгородской области и Новгородским государственным университетом имени Ярослава Мудрого открыли в Великом Новгороде «Школу 21». Новый кампус стал первым в Северо-Западном федеральном округе и пятым в России. Новгородская «Школа 21» дает в...

Берегись, Tank 300. «Китайский УАЗик» BAIC BJ212 показали на новых тизерах, он может получить силовую установку на 409 л.с. Китайская BAIC опубликовала новые изображения бескомпромиссного внедорожника BAIC BJ212. Аппарат идеологически и стилистически схож с BAW BJ212, первый вариант которого оснащали агрегатами от ГАЗ-69 и УАЗ-469, но о советском наследии забыли, когда в 2021 году старт...

Первый в мире флагман на Snapdragon 8 Gen 3 с плоской крышкой получил «самую плавную и умную систему в истории Red Magic». Подробности о Red Magic 9 Pro Создатели смартфона Red Magic 9 Pro продолжают раскрывать подробности о новинке. На этот раз речь зашла о программной составляющей: смартфон получит интерфейс Red Magic OS 9.0 на базе Android 14, который разработчики называют «самой свежей, плавной и умной системо...

Ford отзывает машины чаще всех, Volkswagen значительно улучшила своё положение, а Tesla «наградили» за крупнейший отзыв в этом году По данным Национальной администрации безопасности дорожного движения (NHTSA), по состоянию на 20 декабря, компания Ford произвела 54 отзыва автомобилей, которые потенциально могут затронуть 5 692 135 автомобилей в 2023 году, больше, чем у любого автопроизводителя. Ford ...

Уникальный смартфон Nokia, выпущенный в Европе в количестве всего 30 штук. HMD Global представила Nokia XR21 Limited Edition Компания HMD Global представила свой первый смартфон Nokia, произведённый в Европе.   Производство налажено в Венгрии, и первым смартфоном, который там производится, стал защищённый Nokia XR21. Правда, пока что HMD заказала только партию из 50 таких аппаратов, а в...

Началось серийное производство газовых «Ситимаксов» Павловский автобусный завод (ПАЗ), входящий в «Группу ГАЗ», приступил к серийному производству автобусов ПАЗ-422320-14 «Citymax-9», работающих на компримированном природном газе (КПГ).  Прототип газового «Ситимакса» был впервые ...

Xiaomi выпустила HyperOS для Redmi Note 13, Redmi 13C, POCO X4 Pro 5G и других устройств. Redmi Note 13, Redmi 13C/POCO C65 и Redmi Note 11 Pro 5G/POCO X4 Pro 5G начали получать обновление HyperOS. Серия Redmi Note 13 выпущена из коробки с MIUI 14 на базе Android 13. Хотя ожидалось, что эта серия Redmi Note будет выпущена с HyperOS «из коробки», ...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

«Закон Мура 2.0». Intel обещает чип с триллионом транзисторов к 2030 году Intel стремится к 2030 году создать чип с триллионом транзисторов. В соответствии с законом Мура, который разработали Fairchild Semiconductor и Intel, чипы должны удваивать количество транзисторов каждый год. Но со временем ситуация ухудшилась, и темпы удвоения количест...

TSMC приостановила производство чипов после землетрясения на Тайване Тайваньская компания по производству полупроводников (TSMC), крупнейший в мире производитель современных чипов, остановила работу некоторых машин по производству микросхем и эвакуировала персонал после крупнейшего землетрясения, произошедшего на острове. Это может вызвать…

«Ответы практически на любой мыслимый запрос», — автомобили Volkswagen ID, Tiguan, Passat и Golf получат ChatGPT уже в этом году Компания Volkswagen официально сообщила о том, что чат-бот с искусственным интеллектом ChatGPT будет интегрирован в существующий голосовой помощник IDA автопроизводителя и появится в разных моделях позднее в этом году. ChatGPT принесет «интересные беседы» и ...

Подушки безопасности, электронные блоки управления, рулевое колесо и ремни безопасности. В России откроют новое производство Российская группа «Соллерс» готовится к самостоятельному производству компонентов для системы пассивной безопасности автомобилей, как сообщает РБК, ссылаясь на источник в компании. По данным собеседника издания, проект будет реализован в сотрудничестве с кит...

Чехлы и аксессуары Apple FineWoven оказались провалом? Компания отказывается от этого материала Компания Apple, возможно, прекратила производство аксессуаров, выполненных из материала FineWoven.  фото: Jordan Hart/BI Инсайдер Kosutami, который прошлой осенью заявил, что Apple откажется от кожаных аксессуаров, теперь говорит, что пришедшие им на смену че...

Первобытное мышление или история магической единицы В своей книге "Первобытное мышление" Люсьен Леви-Брюль рассказывает, как люди из первобытных обществ, даже прожившие долгое время в цивилизованном обществе, продолжают верить в колдунов и магию. Его теория состояла в том, что первобытные народы склонны к так называемому доло...

Apple отменила Watch Ultra 3 с дисплеем microLED Слухи о том, что умные часы Apple Watch Ultra получат улучшенный дисплей microLED, ходят уже более года, и инсайдеры предполагали, что производитель представит улучшенную модель уже в текущем году, после чего появилась информация о том, что различного рода проблемы с произво...

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

«Ростелеком» и Альфа-Банк станут партнерами в проекте строительства новой ТрансЕврАзийской линии связи TEA NEXT «Ростелеком» и Альфа-Банк договорились о партнерстве в проекте создания новой ТрансЕврАзийской волоконно-оптической линии связи (ВОЛС) TEA NEXT.

South Mill Champs и Grupo APAL объединились для расширения производства грибов в Мексике    South Mill Champs, ведущий североамериканский производитель и поставщик свежих грибов и функциональных грибных продуктов, объявил о заключении трансграничного партнерства с Grupo APAL для создания мексиканского совместного предприятия 50/50 Royal Champs S. de R.L. de ...

Материнские платы MSI теперь поддерживают до 256 ГБ оперативной памяти Сегодня компания MSI стала ещё одним производителем материнских плат, представившим поддержку оперативной памяти DDR5 объёмом до 256 ГБ на своих материнских платах с чипсетами серии Intel 700 и 600. Собственно, в прошлом году крупные производители памяти представили множеств...

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Xiaomi празднует 10-летие Redmi: анонсирована «юбилейная» серия Redmi K70E, Redmi K70 и Redmi K70 Pro Компания Xiaomi объявила сегодня о юбилее бренда Redmi - телефоны под этой маркой выпускаются вот уже 10 лет. В честь события компания сделала ряд заявлений, раскрывающих подробности о «юбилейной» серии - ей станет Redmi K70. Как сообщил Лэй Цзюнь (Lei Jun)...

В Чили началось строительство самого большого телескопа в мире – Giant Magellan Telescope Пустыня Атакама (Atacama Desert) в Чили является уникальнейшим местом на всем Земном шаре. Мало того, что даже легкая облачность в этой местности является достаточно большой редкостью, это место в достаточной мере удалено от больших городов и сопровождающего их “светов...

Выручка Samsung выросла на 993% благодаря ИИ На волне развития технологий в области искусственного интеллекта многие компании, которые производят аппаратное обеспечение, получили безумный прирост прибыли. Например, у компании Samsung, по данным специалистов, в первом квартале 2024 года выручка выросла на 993%. Да, это ...

Unisoc сделала то, что пока не могут сделать Samsung, MediaTek и Qualcomm. Компания обеспечила поддержку Android 14 на всех своих смартфонных платформах с модемами 5G и LTE Платформы Unisoc – простые и маломощные – используются в бюджетных смартфонах, которые не могут похвастаться выдающимися характеристиками. До недавнего времени и переход на новую версию Android для этих устройств был чем-то очень редким. Но сейчас все измени...

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

В России возобновится производство Hyundai Solaris, Hyundai Creta и Kia Rio. Все подробности Автоэксперт и ведущий Telegram-канала «Русский автомобиль» Сергей Цыганов раскрыл очень интересные подробности о будущем российского завода Hyundai – Hyundai Motor Manufacturing Rus (ХММР). По его словам, предприятие достанется компании «Авилон&r...

Фестиваль Пираты против ниндзя в Steam: скидки на Ghostrunner, Shadow Warrior и Aragami В Steam стартовал фестиваль под лозунгом «Пираты против ниндзя» с очень приятными скидками на игры, главные герои которых, собственное, пираты или ниндзя. Также скидку получили многие игры, связанные со стелсом и морскими приключениями. Но на этом Valve решила не останавлива...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel потратит на производства в США 100 миллиардов долларов Технологический гигант Intel готовится к масштабной экспансии, планируя потратить 100 миллиардов долларов на строительство и модернизацию производственных мощностей по выпуску микросхем в четырех штатах США. Этот шаг был предпринят после того, как компания получила 19,5...

LPDDR6 уже в этом году как минимум в виде стандарта. JEDEC завершит разработку стандарта памяти LPDDR6 уже к третьему кварталу Память DDR5 до сих пор окончательно не вытеснила DDR4, но уже в этом году как минимум на бумаге первая перестанет быть самой современной. Как сообщается, организация JEDEC завершит разработку стандарта памяти LPDDR6 уже в этом году.  Закончить работу собираются к ...

Chery приготовила три новых кроссовера для россиян — от самого маленького Tiggo 4 Pro до новейшего флагмана Chery Tiggo 9 Заместитель генерального директора Chery International Сан Спринг раскрыл три новинки компании для России, которые должны выйти в текущем году. Собственно, одна из них уже вышла: пару дней назад представили Chery Tiggo 4 Pro 18 Years Edition. Это самая компактная новинк...

DNP разрабатывает процесс изготовления фотомасок для 3-нм EUV-литографии Компания Dai Nippon Printing Co. (DNP) успешно разработала процесс производства фотомасок, способных работать с 3-нанометровым (10-9 м) литографическим процессом, который поддерживает экстремальную ультрафиолетовую (EUV) литографию - передовой процесс производства полупровод...

Как будет работать бесконтактная оплата Айфоном без Apple Pay с выходом iOS 17.4 Выход iOS 17.4 beta 1 — поистине историческое событие: в грядущем обновлении Apple не только допустила аналоги App Store в iOS, но и впервые разрешила заменить Apple Pay сторонними платежными сервисами. Заветный чип NFC в Айфоне наконец открыт и европейские финансовые...

Стартап из Великобритании Space Forge готовит спутник для производства полупроводников в космосе Компания Space Forge потеряла свой первый экспериментальный спутник в январе во время неудачного запуска ракеты LauncherOne от Virgin Orbit. Новый спутник, названный ForgeStar-1, отправится в США для запуска в конце 2023 года или в начале 2024 года. Space Forge подписал...

Минцифры запускает второй этап конкурса с денежным призом по поиску багов Министерство цифрового развития, связи и массовых коммуникаций (Минцифры) России в своем Telegram - канале анонсировали запуск второго этапа программы по поиску уязвимостей в инфраструктуре электронного правительства. Присоединиться к багхантингу, то есть поиску уязвимостей,...

Космические лазеры NASA: первая линия обороны Земли от катастрофических столкновений с космическим мусором NASA в сотрудничестве с Университетом Западной Виргинии разрабатыввают многофункциональную систему космических лазеров, которая будет способна защитить космические активы страны от катастрофических столкновений и других потенциальных космических угроз. При использовании...

Китай закупает передовые чипы Nvidia в обход санкций США Несмотря на расширенные ограничения США на экспорт передовых чипов Nvidia с технологиями ИИ в Китай, десяти китайским компаниям, связанным с правительством, удалось закупить эти чипы, встроенные в серверные продукты, у таких известных поставщиков, как Super Micro Comput...

Amazon превращается в лидера индустрии робототехники: число роботов увеличилось более чем вдвое за три года Компания Amazon значительно увеличила количество роботов, которые работают в центрах по обработке заказов, и теперь претендует на звание крупнейшего производителя промышленных роботов в мире. Согласно отчету Business Insider, количество роботов выросло с 350 000 в 2021 ...

КамАЗ К5 получит российский холодильник Как сообщает Ростех, российская компания Pozis создала холодильник для кабины грузовика КамАЗ К5. Пока это лишь прототип, но серийное производство стартует уже скоро – в первом квартале текущего года. Изображение: КамАЗ Холодильники из установочной партии уже про...

Гонка между Intel, Samsung и TSMC за выпуск первого 2-нм чипа Крупнейшие производители полупроводниковых чипов соревнуются в создании 2-нанометровых процессоров для будущих устройств, включая смартфоны и серверы.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)