Социальные сети Рунета
Пятница, 10 мая 2024

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Intel 10A представят в 2028 году Сегодня компания Intel официально анонсировала передовой технологический процесс под названием 10A (на деле это 1 нм) — производитель планирует его внедрение примерно в 2028 году, но пока что это только предположение. Кроме того, стоит понимать, что значит, что компания Inte...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Apple отменила Watch Ultra 3 с дисплеем microLED Слухи о том, что умные часы Apple Watch Ultra получат улучшенный дисплей microLED, ходят уже более года, и инсайдеры предполагали, что производитель представит улучшенную модель уже в текущем году, после чего появилась информация о том, что различного рода проблемы с произво...

MediaTek заключила контракт с Samsung Согласно информации инсайдеров, корейский гигант Samsung согласился на подписание эксклюзивной сделки с MediaTek, благодаря которой чипы MediaTek могут быть использованы в предстоящих моделях смартфонов бренда в бюджетном и среднем сегментах. Если верить этому инсайду, компа...

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Samsung интегрирует ИИ от Google в Galaxy S25 Совсем недавно компания Samsung официально представила собственную версию генеративного искусственного интеллекта вместе с релизом серии смартфонов Galaxy S24 — новая фишка получила название Galaxy AI. А теперь появилась информация о том, что корейский гигант начинает более ...

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

Нестинг в 3D-печати     3D-печать является одной из самых быстро развивающихся технологий в сфере производства. Регулярно публикуются статьи о новых методах и технологиях печати, которые позволяют внедрять аддитивное производство во всё новые сферы. Кроме того 3D-печать становится более доступн...

Microsoft DirectSR вскоре появится во множестве игр Месяц назад на конференции разработчиков Game Developers Conference 2024 было представлено новое технологическое решение под названием Microsoft DirectSR. Это своеобразная попытка упростить реализацию методов масштабирования изображения, которая была разработана в сотрудниче...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Toyota теперь сотрудничает с Huawei Сегодня западные журналисты сообщили, что автомобильный гигант Toyota в ближайшем будущем интегрирует систему, разработанную совместно с Huawei, в свои новые автомобили — в том числе для глобального рынка. В компании считают, что это положительно скажется на общей безопаснос...

Vivo представила уже третий смартфон V30 Lite Сегодня был официально анонсирован новый смартфон Vivo V30 Lite, который интересен не только своими характеристиками, но и подходом производителя к формату названия своих новинок. Дело в том, что данный гаджет был представлен в Камбодже и это уже третий смартфон с одним и те...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Qualcomm решил «задоминировать» всех производителей ИИ-чипов для Android Qualcomm ранее представила свой новейший Snapdragon 8 Gen 3, который будет установлен во флагманские Android-устройства в следующем году. Новый чип обещает улучшить игровые возможности, возможности камеры и генеративного ИИ. И амбиций компании не занимать.

Новая линейка Supermicro задействует потенциал ИИ в периферийных вычислительных средах Supermicro, Inc., производитель комплексных ИТ-решений для ИИ, облачных вычислений, хранения данных и 5G/Edge, расширил свой портфель решений на базе ИИ, позволяя клиентам использовать потенциал и возможности ИИ в периферийных локациях, таких как общественные места, розничны...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung Galaxy S24 FE выйдет осенью текущего года Появилась информация о том, что компания Samsung действительно работает над новыми решениями из серии Fan Edition — компания собирается в этом году выпустить сразу несколько устройств, которые будут пользоваться спросом у фанатов, которые не хотят покупать флагман по той или...

Xiaomi Mix Fold 4 выпустят уже этим летом Стоит напомнить, что китайская компания Xiaomi выпустила свой складной флагманский смартфон Mix Fold 3 в прошлом августе, а теперь производитель активно работает над Mix Fold 4. Более того, инсайдеры считают, что, возможно, компания вновь планирует провести презентацию летом...

Qualcomm готовит к релизу процессор Snapdragon 8s Gen 3 Сегодня появилась информация о том, что компания Qualcomm планирует расширить свой арсенал процессоров для мобильной платформы за счёт чипа Snapdragon 8s Gen 3, который, судя по различным источникам, будет представлен в самое ближайшее время. Более того, в китайских социальн...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

NVIDIA готовит релиз видеокарт на 3 нм уже в 2024 году Можно с уверенностью сказать, что на текущий момент компания NVIDIA является ведущим производителем графических ускорителей, используемых для технологий на базе искусственного интеллекта. И останавливаться на достигнутом производитель не собирается, так как уже в следующем г...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Они не помогают Huawei, а «предлагают услуги по очистке сточных вод». Власти Тайваня проверят компании, обвиняемые в нарушении санкций Власти Тайваня проведут проверку четырех местных корпораций после появления информации о том, что они помогают Huawei Technologies в формировании инфраструктуры производства чипов в Китае. При этом министр экономики Тайваня уже заявил, что никаких нарушений торговых сан...

Qualcomm официально представила Snapdragon 7+ Gen 3 Компания Qualcomm сегодня анонсировала свой новый процессор под названием Snapdragon 7+ Gen 3, который относится к чипам среднего класса и обладает достаточно неплохим набором возможностей. Платформа является эволюцией Snapdragon 7 Gen 3 и предоставляет производительное ядро...

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

TSMC приостановила производство чипов после землетрясения на Тайване Тайваньская компания по производству полупроводников (TSMC), крупнейший в мире производитель современных чипов, остановила работу некоторых машин по производству микросхем и эвакуировала персонал после крупнейшего землетрясения, произошедшего на острове. Это может вызвать…

iPhone 16 получит больше оперативной памяти для ИИ До сих пор компания Apple увеличивала объём оперативной памяти на своих iPhone максимум до 8 ГБ — в том числе это касается и более дорогих моделей iPhone 15 Pro и iPhone 15 Pro Max. Однако с повышением борьбы в области искусственного интеллекта и усилиями крупных технологиче...

Nintendo Switch 2 получит производительность уровня PlayStation 4 Pro Сегодня появилась информация о том, что игровая приставка Nintendo Switch 2 в режиме подключения к док-станции, скорее всего, будет иметь производительность, сравнимую с производительностью PlayStation 4 Pro. По крайней мере, об этом уверенно говорит достаточно крупный канал...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Процессор Snapdragon 8 Gen 4 будет работать на частоте 4,3 ГГц Некоторое время назад западные инсайдеры поведали достаточно интересную информацию о том, что новый флагманский процессор Snapdragon 8 Gen 4 сможет достигнуть частоты в 4,00 ГГц, что позволит компании Qualcomm показать значительный отрыв от Snapdragon 8 Gen 3, чьи ядра Corte...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

Тайваньский полупроводниковый гигант TSMC расширит сотрудничество с японскими вузами Компании требуется большое количество новых кадров

Nvidia напоминает, что у многих из нас уже давно имеются Premium AI PC. Компания говорит, что GPU намного производительнее NPU в вычислениях ИИ Рынок ПК сейчас активно разворачивается в сторону искусственного интеллекта. Производители активно используют название AI PC, подразумевая под ним компьютеры, которые основаны на процессорах с выделенным блоком NPU. Однако Nvidia решила напомнить, что ИИ изначально опир...

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

Reuters: Samsung может использовать технологию SK hynix для увеличения производства памяти HBM Ключевая технология, используемая для производства чипов искусственного интеллекта, является причиной того, что крупнейший в мире производитель памяти, корейская компания Samsung Electronics, отстает от конкурентов из своей страны и США

Какие процессоры чаще всего устанавливают в Android-смартфоны, и почему вы должны знать свой Процессор (чипсет) — это сердце смартфона. От него зависит не только производительность устройства, но и масса других показателей, включая автономность и качество фото. Но задумывались ли вы, какой процессор стоит в телефоне? Если нет, то абсолютно зря. Ведь информация о чи...

iPhone 16 получит ещё больше твердотельных ёмкостных кнопок Сегодня западные журналисты сообщили, что компания Advanced Semiconductor Engineering выиграла эксклюзивный заказ от компании Apple на поставку двух твердотельных кнопок для предстоящей серии iPhone 16. Эти решения заменят как кнопки управления громкостью, так и кнопки включ...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

Нет, Samsung не откажется от помощи AMD при создании собственного GPU. Сотрудничество компаний продолжится Возможно, недавние данные о том, что Samsung хочет отказаться от помощи AMD и создать полностью свой GPU, были несколько неверными.  Инсайдер Revegnus говорит, что корейский гигант действительно хочет создать свой графический процессор, но не без помощи AMD, а, на...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Тайваньский полупроводниковый гигант TSMC наймет в ближайшие годы 23 000 новых сотрудников Компания активно расширяет производственные мощности

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Qualcomm уже тестирует процессор Snapdragon 8 Gen 4 Новый мобильный процессор Snapdragon 8 Gen 4, по информации инсайдеров, станет первым процессором от компании Qualcomm, который не будет использовать микроархитектуру процессорных ядер ARM и перейдёт на специальные ядра Oryon, которые внутри компании получили кодовое имя Pho...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

iPhone 16 Pro Max получит передовой сенсор Sony Текущий флагманский смартфон iPhone 15 Pro Max сохранил тот же 48-мегапиксельный основной сенсор, который использовался в том числе в iPhone 14 Pro Max, но инженеры компании значительно его улучшил, чтобы новый флагман снимал лучше предыдущего. Теперь инсайдеры сообщают о то...

iPhone 17 Plus получит уменьшенный дисплей Современный смартфон iPhone 15 Plus имеет диагональ дисплея в 6,7 дюйма, как и iPhone 15 Pro Max. Это достаточно большой дисплей, безусловно, и пользователям такое решение в целом подходит по целому ряду причин. Соответственно, инсайдеры уверены, что смартфон iPhone 16 Plus ...

Infinix представила новый смартфон Note 40 Pro Сегодня в Индии компания Infinix провела своё официальное мероприятие, на котором представила серию смартфонов Note 40 Pro. Правда, хотя компания представила именно «серию», на текущий момент она реализована единственным смартфоном — речь о модели Note 40 Pro 5G. Устройство ...

Google представит в октябре Pixel 9 Pro Fold Похоже, компания Google активно работает над тем, чтобы изменить восприятие своих новых устройств в области складных смартфонов — в умах пользователей компания выпускает простые гаджеты без изюминки и с достаточно низкой производительностью, что сильно вредит продажам. Многи...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Samsung представила NAND-память 9-го поколения Сегодня компания Samsung официально объявила о запуске массового производства новых чипов памяти NAND 9-го поколения. Главное преимущество этого решения в том, что новые чипы памяти имеют на 50% большую плотность, чем чипы памяти 8-го поколения. Кроме того, чипы памяти 9-го ...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

MediaTek Dimensity 9400 получит новое производительное ядро Cortex-X5 В ноябре прошлого года компания MediaTek официально выпустила процессор Dimensity 9300, представив уникальный дизайн с четырьмя производительными ядрами Cortex-X4 — обычно производители используют всего одно такое ядро. Соответственно, в отличие от традиционных конфигураций ...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Poco готовит к релизу свой первый планшет Poco Pad Компания Poco является одним из брендов гиганта Xiaomi — то производитель уровня Redmi с примерно тем же набором продуктов, так как производитель обычно делает ставку на унификацию производственных процессов для снижения стоимости конечной продукции. И хотя компания Redmi уж...

В США подали иск на сервис Apple CarPlay Министерство юстиции США обратило своё внимание на информационно-развлекательную систему компании Apple под названием CarPlay, утверждая, что она используется производителем для блокирования конкуренции на рынке. В иске говорится, что следующая версия CarPlay получит доступ ...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Искусственный интеллект Microsoft создал новый перспективный материал для аккумуляторов за 80 часов Искусственный интеллект в его сегодняшнем виде — это не только рисование картинок, создание кода и написание текстов. Его также активно используют в науке. И Microsoft решила показать возможности своей платформы, создав посредством ИИ новый материал, потенциально ...

Производитель сигарет JTI решил продолжить бизнес в России Один из крупнейших в мире производителей сигарет японская компания Japan Tobacco International приняла решение продолжить свой бизнес в России.

Apple скоро представит новые ноутбуки на процессорах М3 В прошлом месяце достаточно известные поставщики секретной информации заявили, что компания Apple уже работает над созданием ноутбуков нового поколения на процессоре M3. Тогда никакой детальной информации у инсайдеров не было, но сегодня она появилась, так как западные журна...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Microsoft решила объединить ядерную энергетику и искусственный интеллект В рамках нового подхода компания Microsoft решила объеденить ядерную энергию и искусственный интеллект (ИИ), чтобы запустить следующую «эру развития ИИ». Технологический гигант предложил использовать технологию ИИ для упрощения сложных процессов регулирования, связанных с со...

Realme GT Neo 5 появится уже в апреле текущего года Довольно интересный смартфон Realme GT Neo 5 был представлен в феврале прошлого года, после чего компания решила представить GT Neo 5 SE в апреле 2023-го — оба смартфона пользовались внушительным спросом у аудитории и на текущий момент производитель принял решение, что наста...

iPhone 16 не получит процессор с индексом Pro В этом году компания Apple официально представила новую линейку смартфонов, во флагманских моделях которой используется новый мобильный процессор A17 Pro. Данный процессор обладает достаточно внушительной производительностью, но пока что он используется только в самых дороги...

От Digital Equipment до Nixdorf: старые бренды в IT, которых больше нет Многие современные IT-гиганты наших дней начинали свою деятельность во второй половине XX века и преуспели благодаря технологическому буму, хорошей стратегии, подрывным инновациям, талантливому менеджменту и т.п. Причин для успеха было много. Их имена у всех на слуху, но про...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Apple разрабатывает складной планшет или даже ноутбуки Судя по последней информации, компания Apple в конечном итоге всё же выйдет на рынок складных устройств, так как на рынке уже достаточно качественных дисплеев и поставщиков. Например, если верить информации инсайдеров, компания Samsung перестраивает свою команду разработки д...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Nintendo сообщила дату релиза Switch 2 На протяжении достаточно длительного времени известные инсайдеры уверенно говорили о том, что компания Nintendo представит свою новую портативную консоль уже к концу 2024 года, но, как оказалось, они немного ошибались. Вчера глава японской компании выступил с официальным зая...

Новая консоль Xbox выйдет в 2026 году Следующее поколение консоли Xbox должно выйти через несколько лет, но кажется, что её выпустят раньше, чем PlayStation 6, так как Microsoft хочет заложить основы для следующего поколения консолей уже сейчас — для этого есть все необходимые технологии. По информации источнико...

Huawei уже заменила компанию Nvidia в Китае: она поставляет ИИ-чипы для Baidu Китайская компания Baidu заказала чипы, предназначенные для использования с ИИ-приложениями, у Huawei, вместо традиционного американского поставщика Nvidia. Это решение было принято после введения США санкций, запрещающих американским компаниям поставлять подобные проду...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

TSMC начинает производство чипов для суперкомпьютера Tesla Dojo Тайваньский гигант полупроводниковой промышленности TSMC приступил к производству высокоинтегрированных чипов по технологии CoW-SoW для суперкомпьютера Tesla Dojo, предназначенного для обучения систем искусственного интеллекта.

Motorola представила наушники Moto Buds Сегодня компания Motorola официально представила свою совершенно новую серию смартфонов, после чего производитель заявил, что также создал и новые беспроводные наушники. Речь идёт о модели Moto Buds — это достаточно доступная модель, представленная в четырёх ярких цветах. Пр...

Пора прощаться с культовым брендом Sony Xperia? Компания может отказаться от него уже в следующем году Дела на рынке смартфонов у компании Sony уже давно идут не очень хорошо, но производитель упорно гнёт свою линию. Возможно, в ближайшее время Sony всё же решиться на кардинальные изменения и откажется от бренда Xperia.  Xperia X1; фото: Sony Об этом впервые написа...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Huasun представил гетеропереходные солнечные модули 0BB с технологией Zero Busbar   Компания Huasun Energy, крупнейший в мире производитель продукции для гетеропереходов (HJT), представила свою последнюю инновацию в области высокоэффективных модулей с инновационной технологией Zero Busbar (0BB). Это передовое усовершенствование было легко интегрирова...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Intel впервые обратилась к пользователям в связи с проблемой с её процессорами Core i9, но ничего не объяснила и снова обвинила партнёров Компания Intel выступила с заявлением для потребителей относительно ситуации с её топовыми процессорами Core i9 и их нестабильной работой.   фото: Geekawhat В своём заявлении Intel ни словом не обмолвилась о причинах этой ситуации, зато снова обвинила производител...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Индустрия высокоскоростной памяти HBM может удвоить доходы к 2025 году Недостаток компонентов HBM создает возможности для малых производителей, однако ожидается, что крупные производители активно будут заниматься разработкой следующего поколения моделей

Huawei откажется от рынка смартфонов в пользу ИИ Huawei решила сделать шаг назад в своем бизнесе смартфонов, сосредоточившись на освоении растущего рынка аппаратного обеспечения для искусственного интеллекта в Китае — это позволит конкурировать с NVIDIA. Для этого китайский производитель делает ставку на собственные чипы A...

В этом году NVIDIA выпустит только RTX 5090 Сегодня инсайдеры, которые ранее достаточно точно предсказывали действия компании NVIDIA в области геймерских видеокарт, сообщили, что производитель в 2024 году выпустит в продажу исключительно флагманскую модель RTX 5090, тогда как остальные более доступные модели будут отп...

be quiet! представила передовую СЖО Pure Loop 2 Вероятно, все современные пользователи, которые интересуются компьютерными комплектующими слышали про компанию be quiet! — это немецкий производитель компьютерных компонентов премиального уровня, который делает, пожалуй, лучшие продукты в мире. И теперь компания официально с...

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Google работает над новым сервисом на базе ИИ Компания Google прямо сейчас работает над новым проектом на базе искусственного интеллекта, который способен просматривать ваши фотографии и рассказывать о вашей жизни в целом. Да, это звучит достаточно интригующе, но, вероятно, это многих напугает, потому что дать искусстве...

Samsung представила умные часы Galaxy Fit 3 Сегодня Samsung официально представила совершенно новый умный браслет Galaxy Fit 3, который, по словам производителя, должен заинтересовать пользователей, ищущих устройство для отслеживания своей физической активности с длительным временем работы от батареи. Galaxy Fit 3 пос...

США могут добавить в «чёрный список» поставщиков Huawei США рассматривает возможность внесения в «чёрный список» ряда китайских полупроводниковых компаний, связанных с Huawei Technologies, после того, как в прошлом году телекоммуникационный гигант совершил значительный технологический прорыв, о чем пишет Bloomber...

Huawei все больше занимается машинами: создано совместное предприятие с Changan Huawei и Changan создали совместное предприятие (СП) и подписали меморандум о сотрудничестве. Технологический гигант стремится расширить свою деятельность, включив в неё больше автомобильных компаний, изучая новые модели сотрудничества, открытые и взаимовыгодные. Следуя...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Samsung готовит к релизу смартфон Galaxy Z Fold 6 Если верить информации инсайдеров, компания Samsung уже начала работать над складными смартфонами Galaxy Z Fold 6 и Galaxy Z Flip 6. Соответственно, в ближайшее время мы должны получить достаточно много информации о том, как эти смартфоны будут выглядеть, какие у них будут ф...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

iPad Pro получит более тонкие рамки и чип М3 Несколько часов назад появился новый слух от достаточно надёжных источников о том, что не только серия смартфонов нового поколения iPhone 16 будет иметь более тонкие рамки — теперь поставщики секретной информации уверены, что производитель решил использовать аналогичные преи...

Cermaq продлил сотрудничество с Cognizant Компания Cognizant объявила о продлении сотрудничества с Cermaq Group AS — ведущим мировым производителем лосося, способствующим переходу систем на более здоровые и благоприятные для климата продукты. Это продолжение длящихся уже десятилетие отношений между двумя компаниями,...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

Samsung Galaxy S25 получит сразу два процессора По информации инсайдеров, компания Samsung нацелена в следующем году использовать свою стандартную стратегию с двумя процессорами в серии, представив на различных рынках флагманы на базе процессора Qualcomm Snapdragon 8 Gen 4 или Samsung Exynos 2500. Если верить данным запад...

Polestar Phone официально представили В прошлом году китайский производитель электромобилей Nio представил свой собственный смартфон, и это, похоже, крайне заинтересовало конкурентов, которые тоже решили выпустить свои смартфоны под личным брендом. Сегодня, например, компания Polestar анонсировала свой первый см...

TSMC установила исторический рекорд стоимости акций Акции крупнейшего производителя полупроводников, тайваньской компании TSMC (Taiwan Semiconductor Manufacturing Company), достигли исторического максимума, поднявшись днем почти до 23 долларов, согласно данным Тайваньской биржи (TWSE). По завершении торгов стоимость акци...

MediaTek Dimensity 9400 появится в десятках новых смартфонов Совсем скоро в релиз должен отправиться новый мобильный процессор Dimensity 9400 от компании MediaTek. И если не считать компании Google и Apple, MediaTek уже заключила контракты со всеми крупными производителями смартфонов о поставках нового флагманского процессора, но сего...

Apple отказалась от новых процессоров в iPhone В настоящее время компания Apple использует технологический процесс в 3 нм от компании TSMC для нескольких своих чипов, и компания вполне может использовать этот производственный процесс в течение нескольких последующих поколения смартфонов iPhone, так как особого смысла рва...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Samsung готовит к релизу One UI 6.1.1 Сегодня западные журналисты со ссылкой на свои источники официально сообщили, что компании Samsung и Google совместно работают над разработкой новых функций искусственного интеллекта для своих линеек смартфонов. Более того, инсайдеры сообщают, что некоторые технологии уже ра...

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

По собственному ядерному реактору для каждого ЦОД с ИИ. Правительство США обсуждает с IT-гигантами подобные идеи Ускорители для ИИ потребляют весьма немало энергии, а современные суперкомпьютеры оснащены тысячами таких адаптеров. Это дополнительная нагрузка на системы энергообеспечения, и в США считают, что вопрос можно решить посредством ядерной энергии.  создано DALL-E Адм...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

5 мини-ПК для решения разных задач середины осени 2023 года Производители продолжают выпускать миниатюрные ПК. Некоторые компании поставляют на рынок мощные девайсы, которые можно использовать для игр и работы с контентом. Другие предлагают просто рабочих лошадок для офисов. Их можно задействовать для серфинга, просмотра видео, раб...

Intel завершает установку первого станка для производства чипов EUV Компания Intel завершила процесс установки литографической машины High-NA EUV, полученной от ASML. Технологический гигант будет разрабатывать технологии 14A на этих дорогостоящих машинах в 2025 году.

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Apple Vision Pro использует систему чиплетов для процессора R1 Можно смело заявить, что шлем дополненной реальности Apple Vision Pro — одно из самых сложных технологических устройств на планете. Так что специалистам iFixit пришлось потратить немало времени и сил, чтобы разобрать устройство и изучить материнскую плату, на которой помимо ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Samsung Galaxy S25 и прочие будущие флагманы могут подорожать из-за «удивительного уровня производительности» Snapdragon 8 Gen 4 На состоявшемся на этой неделе мероприятии под названием Snapdragon Summit 2023 компания Qualcomm представила топовые однокристальные системы Snapdragon 8 Gen 3 (для смартфонов) и Snapdragon X Elite (для ноутбуков под управлением Windows). Также в компании сообщили, что...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Новые iPhone будут лучше ловить сигнал: Apple подписала соглашение с UMC на поставку новых чипов Компания Apple подписала соглашение с новым производителем чипов, о чем пишет gizmochina со ссылкой на источники из цепочки поставок. Согласно свежим данным, UMC заключила контракт с Apple на производство ключевых чипов для будущих. Эти чипы будут интегрированы в констр...

Nubia представила Z60 Ultra Photography Edition для фотографов Сегодня достаточно популярный в Китае смартфон Nubia Z60 Ultra получил специальное обновление в виде версии Photographer Edition с существенно улучшенным дизайном корпуса, при разработке которого специалисты явно вдохновлялись классическими фотоаппаратами. Например, у смартф...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

LPDDR6 уже в этом году, но только на смартфонах с Android? Поддержку новой памяти может получить Snapdragon 8 Gen 4 Память LPDDR6 действительно может появиться в серийных устройствах уже в этом году. Сообщается, что Qualcomm может добавить поддержку новой памяти своей топовой SoC Snapdragon 8 Gen 4.  Официальных данных на этот счёт нет, но у компании вполне неплохой запас време...

Intel Xeon W9-3595X активно тестируют перед релизом Следующий поколение процессоров Intel Xeon-W, вероятно, уже совсем скоро отправится в релиз, потому что модель W9-3595X удалось обнаружить в базе данных Geekbench с 60 ядрами. Стоит напомнить, что ранее инсайдеры опубликовали различные данные о процессорах нового поколения и...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Intel начала двигать Nvidia на рынке ИИ? Корейская компания Naver перешла с GPU Nvidia на CPU Intel для своего сервера с искусственным интеллектом За последний год компания Nvidia стала неоспоримым лидером на рынке поставщиков ускорителей для искусственного интеллекта. Однако на этом рынке присутствует не только она. Как сообщается, компания Naver заменила ускорители Nvidia на аналогичные решения Intel.  соз...

Хорошо, а могло быть ещё лучше: TSMC продолжает развиваться, но в США у компании проблемы с заводом. Что случилось? TSMC — крупнейший контрактный производитель чипов в мире. От этой единственной корпорации может зависеть благополучие глобальной отрасли производства и разработки электроники. Но и у такого гиганта бывают проблемы — например, сейчас стало известно о задержке строительства за...

Microsoft решила выпустить автономный пакет Office 2024 Компания Microsoft активно перемещает свои программные продукты в облако и предлагает их по подписке. Вплоть до того, что многие компоненты Windows в будущем также могут быть перемещены в облако. Однако, возможно, всё не так радужно с таким подходом, потому как, как соо...

Samsung работает над 1-дюймовым датчиком изображения Сегодня появилась достаточно интересная информация о том, что южнокорейская компания Samsung, судя по данным некоторых крупных инсайдеров, начала работу над камерой размером в 1 дюйм, но, к сожалению, на разработку такого крупного проекта нужно много времени и в конечном ито...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Apple готовит складной iPad в 2026 году Есть информация от надёжного источника о том, что компания Apple впервые перейдёт от технологии miniLED к OLED для линейки планшетов iPad Pro в 2024 году, после чего эти панели в конечном итоге появятся и в премиальной линейке ноутбуков MacBook. Кроме того, поставщики секрет...

Samsung установит в Galaxy S25 сенсор от Sony Нужно понимать, что компания Samsung активно использует технологии из своих предыдущих смартфонов в новых флагманах, потому что в некоторых областях развитие технологий не настолько заметное, чтобы каждый год демонстрировать что-то невероятное. Например, серия смартфонов Gal...

Xiaomi создаёт смартфон-раскладушку Можно с уверенностью сказать, что компания Xiaomi делает действительно отличные смартфоны, но при этом в области классических раскладушек у производителя опыта нет — гигант китайского рынка выпустил классический складной смартфон, но теперь, судя по информации инсайдеров, ра...

ONYX BOOX представила ридеры Tab Ultra C Pro и Note Air 3 С Сегодня, 17 октября, компания МакЦентр, которая выступает эксклюзивным дистрибьютором продукции компании ONYX International Inc, официально сообщила, что линейка электронных читалок расширилась сразу двумя достаточно крупными моделями — ONYX BOOX Tab Ultra C Pro и ONYX BOOX ...

Гонка между Intel, Samsung и TSMC за выпуск первого 2-нм чипа Крупнейшие производители полупроводниковых чипов соревнуются в создании 2-нанометровых процессоров для будущих устройств, включая смартфоны и серверы.

Samsung выпустит Galaxy S24 раньше времени Сегодня зарубежные инсайдеры сообщили, что компоненты для нового флагманского смартфона Galaxy S24 запустят в производство уже в следующем месяце, и Samsung планирует выпустить новые смартфоны даже раньше, чем говорили поставщики секретной информации. Это необходимо производ...

Intel сдалась и просит производителей системных плат очень сильно ограничить все современные CPU Core i9 Похоже, вскоре все процессоры Intel Core i9 двух последних поколений станут намного медленнее без выбора со стороны пользователей. Как сообщается, Intel обратилась к производителям системных плат с просьбой установить специальные настройки Intel Default Settings в качес...

Apple теряет рынок смартфонов Китая Западные журналисты сообщают о том, что компания Apple активно теряет рынок Китая — там смартфон гиганта из Купертино продаются очень плохо, что негативно сказывается в том числе на стоимости акций производителя. Например, до недавнего времени компания Apple уверенно занимал...

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Гарантия 3 года, 12 месяцев после ремонта и запчасти по «разумным ценам». В Европе предварительно согласовали новый закон Евросоюз достиг предварительного соглашения, которое обязывает продавцов предоставлять дополнительную 12-месячную гарантию на электронную продукцию, отремонтированную в течение гарантийного срока. Текущий гарантийный срок для электронных продуктов в Европе составляет дв...

Тайваньский полупроводниковый гигант TSMC вернулся в топ-10 самых дорогих компаний мира Хотя по значимости для полупроводниковой индустрии он может занимать даже первое или как минимум второе место

AMD купила компанию для работы с ИИ Ни для кого не секрет, что современный рынок высоких технологий активно двигается в сторону искусственного интеллекта, так как решения на базе этой технологии позволяют существенно упростить многие технологические процессы. Например, сейчас мало кого можно удивить системой, ...

Apple увольняет 700 сотрудников и закрывает целые подразделения Согласно информации западных инсайдеров, более 700 сотрудников компании Apple могут лишиться работы — это напрямую связано с отказом от разработки нового электрического автомобиля и ряда технологий, которые должны были появиться в устройствах будущего. Например, компания App...

MediaTek заключила контракт с Apple на поставки модулей Wi-Fi 7 Согласно информации издания Economic News Daily, компания MediaTek выиграла заказы на чипы Wi-Fi 7 у ведущего американского производителя, что угрожает монополии Broadcom в области модулей связи для планшетов. Учитывая, что Apple в настоящее время является наиболее доминирую...

Samsung готовит доступную версию Galaxy Z Fold 6 Западные инсайдеры сообщают, что складные смартфоны Galaxy Z Fold 6 и Galaxy Z Flip 6 будут представлены уже в августе текущего года, и пока что об этих смартфонах практически нет никакой информации — ни сливов, ни секретных данных. Есть лишь информация о том, что компания н...

Купить кожух с двумя вентиляторами для видеокарты, чтобы заменить родной кожух с тремя вентиляторами. Cooler Master показала необычный продукт Компания Cooler Master показала на CES 2024 довольно странный продукт. Это часть системы охлаждения для видеокарты для собственноручной замены пользователем.  Фактически это кожух с двумя вентиляторами. По задумке Cooler Master, пользователь купит такой кожух, дем...

Nintendo создаёт свой собственный стриминговый сервис Nintendo планирует расширить своё влияние за пределами рынка видеоигр в ближайшем будущем — некоторые инсайдеры предполагают, что японская компания работает над собственным мультимедийным потоковым сервисом. Ранее инсайдеры сообщали о поданной заявке на патент, который Ninte...

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

Apple Vision Pro второго поколения появится только в 2025 году Релиз шлема дополненной реальности Apple Vision Pro вызвал ряд положительных отзывов, включая комментарии от глав крупнейших компаний в мире. Однако это не значит, что продукт идеальный, потому что помимо цены в 3500 долларов есть и другие проблемы, с которыми сталкиваются о...

Стартап из Великобритании Space Forge готовит спутник для производства полупроводников в космосе Компания Space Forge потеряла свой первый экспериментальный спутник в январе во время неудачного запуска ракеты LauncherOne от Virgin Orbit. Новый спутник, названный ForgeStar-1, отправится в США для запуска в конце 2023 года или в начале 2024 года. Space Forge подписал...

Apple интегрирует ИИ в iPhone 16 Большие языковые модели для работы искусственного интеллекта обычно требуют исключительно больших ресурсов в области оперативной памяти, но инсайдеры сообщают, что компания Apple активно экспериментирует с размещением баз данных на флэш-накопителях, вероятно, чтобы сделать т...

Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel, похоже, намекает нам на то, что в будущем процессоры вполне смогут потреблять более 1 кВт мощности. Совместно с Submer процессорный гигант анонсировал революционную, как говорят участники, разработку в области однофазной технологии погружного охлаждения,...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

В основе нового чипа Google для ИИ будет лежать в том числе архитектура RISC-V. Предположительно, речь о ядре SiFive X390 Похоже, компания Google собирается использовать архитектуру RISC-V для своих будущих чипов TPU, нацеленных на вычисления с ИИ.  Компания SiFive, которая является разработчиком коммерческих процессоров RISC-V и IP-блоков для них на основе архитектуры набора команд ...

Производитель фотоэлектрических инверторов Sineng Electric получил Tier 1 от BloombergNEF  Sineng Electric, ведущий в мире производитель фотоэлектрических и накопительных инверторов, получил Tier 1 в списке производителей фотоэлектрических инверторов от BloombergNEF, благодаря технологическому прогрессу, стабильности бизнеса и исключительной рыночной конкурентоспо...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Samsung потратила на мобильные процессоры 7 млрд за год Расходы компании Samsung на процессоров для смартфонов выросли до того уровня, что корейский гигант вынужден идти на компромисс по функциям, предоставляемым в общей линейке смартфонов Galaxy. Аналитики мобильного рынка заявили, что из-за чрезмерной зависимости от внешних ком...

AMD создала слишком мощный чип для Китая. Так посчитали власти США, запретив поставки Advanced Micro Devices (AMD) не получила одобрение правительства США, стараясь предложить новый чип, предназначенный для китайского рынка, о чем сообщает Bloomberg. AMD попыталась получить добро от Министерства торговли США на продажу процессора с технологиями искусстве...

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Тайваньская TSMC стала флагманом производства чипов по объёму выручки Тайваньский финансовый аналитик Дэн Нистедт привёл статистику, согласно которой один из мировых производителей полупроводников, компания TSMC, стала лидером отрасли не только по объёму поставок, но и выручки.

Импортозамещение по-американски: развертывание собственного производства полупроводников идет не совсем по плану В 2022 году мы опубликовали статью «Импортозамещение по-американски: крупнейшие производители полупроводников мира строят фабрики в США». В ней говорилось о том, что американцы собираются нарастить свое присутствие на глобальном рынке полупроводниковых элементов. Дело в том...

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

Тайвань показывает зубы: производители чипов сталкиваются с ограничениями Тайвань усиливает защиту ключевых технологий страны. Так, правительство Тайваня обнародовало список из 22 технологий в пяти секторах производства (оборона, космос, сельское хозяйство, полупроводники и информационная безопасность ), которые будут дополнительно защищены от ут...

Skoda официально будет покорять Казахстан с Octavia, Kodiaq, Kamiq и Karoq. Компания планирует выпускать 200 000 машин ежегодно Компания Skoda официально подтвердила предыдущую информацию о том, что она собирается начать производство автомобилей в Казахстане. Крупнейший производитель автомобилей в Чешской Республике планирует вернуться в Казахстане, откуда компания ушла в 2021 году, сразу с четы...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

США опасаются, что Китай захватит тайваньскую компанию TSMC, навредив этим американской экономике TSMC является главным мировым производителем высокотехнологичной полупроводниковой продукции

iPhone 16 будет продаваться очень плохо Аналитики мобильного рынка уверены, что компания Apple в следующем году покажет достаточно низкие показатели уровня продаж. Причина в том, что на рынке Китая производитель теряет свои показатели — локальные бренды активизировались и достаточно активно работают над тем, чтобы...

И снова Samsung помогает в развитии Android. Google отказалась от функции обмена данными Nearby Share и совместно с Samsung создала вместо неё Quick Share Компания Google решила сделать единую функцию обмена данными между устройствами с Android, объединившись с Samsung.  В итоге, если с 2020 года Google продвигала свою функцию Nearby Share, то теперь она заменила её на Quick Share, которую разработала и давно примен...

ASML предупреждает: если США продолжат усиливать санкции относительно Китая, это может плохо сказаться на бизнесе компании и её партнёрах Компания ASML, являющаяся крупнейшим в мире, а в некотором смысле и единственным, производителем современных систем для выпуска чипов, заявила, что дальнейшее ужесточение санкций со стороны США может сильно навредить компании.  Геополитическая напряженность может ...

Архитектура AMD RDNA 3.5 придёт, чтобы остаться надолго. Компания будет использовать её минимум до 2027 года Уже в ближайшие месяцы компания AMD представит первые мобильные процессоры с iGPU на основе обновлённой архитектуры RDNA 3.5. Согласно свежим данным, эта архитектура будет использоваться компанией ещё несколько лет.  Инсайдер Golden Pig Upgrade утверждает, что RDN...

Honor показала возможности своей сверхскоростной съёмки Magic6 Pro В преддверии глобального выпуска нового флагманского смартфона Honor Magic6 Pro производитель решил продемонстрировать преимущества этого аппарата

Слух: iPhone 16 получит усиленные антенны за счёт новой технологии Anokiwave Тайваньская компания UMC, производитель полупроводников, разработала и будет производить новые комплектующие для антенных модулей iPhone 16. Об этом со ссылкой на отчёт о цепочке поставок Apple сообщило издание GizmoChina.

Arena объявила о сотрудничестве с AMD Компания Arena, разработчик специализированных базовых моделей искусственного интеллекта, объявила о сотрудничестве с компанией AMD по масштабированию развертывания Arena Atlas — первого в мире ИИ-решения для тестирования и оптимизации функциональных узлов на основе новейшей...

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

Власти Нидерландов попытались не дать ASML покинуть страну Правительство Нидерландов пытается предотвратить отъезд из страны самой ценной технологической компании — ASML. Ведущий мировой производитель оборудования для производства микросхем, компания ASML играет важную роль в экономике и технологическом положении Нидерландов. Однако...

Разработчик процессоров «Эльбрус» учредил новую компанию Разработчик российских процессоров «Эльбрус» АО МЦСТ учредил новую структуру — ООО «Эльбрус», основной вид деятельности которого разработка программного обеспечения». Об этом сообщает издание «Коммерсантъ». Новая компания ...

Каждый запрос в ChatGPT обходится OpenAI в 4 цента. Компания думает выпуске собственных ИИ-чипов Использование ChatGPT обходится компании OpenAI очень дорого. Согласно данным аналитика Bernstein Стейси Расгон, каждый запрос стоит примерно 4 цента. Поэтому компания OpenAI изучает возможность создания собственных чипов искусственного интеллекта, о чем пишет ...

Micron построит заводы стоимостью 100 миллиардов долларов Сегодня появилась достаточно интересная информация о компании Micron Technology — она получила внушительное финансирование от Министерства торговли США в рамках Закона о микросхемах и науке, что позволит производителю существенно прокачать производственные мощности, но тольк...

Bybit Card заработает эксклюзивные награды Toncoin в новой коллаборации Bybit, одна из трех крупнейших по объему торгов криптобирж в мире, снова активно сотрудничает с фондом TON. Это сотрудничество вводит новую программу вознаграждений, помогая пользователям Bybit Card понять цели TON в распространении основных криптовалют. Bybit Card – один из...

Поглощение Google искусственным интеллектом: генеративный ИИ заменит «рекламщиков» По сообщениям, Google, решительно продвигаясь по пути автоматизации, использует свой искусственный интеллект для рационализации операций, что может привести к сокращению рабочих мест. Источники сообщают, что технологический гигант рассматривает возможность реорганизации свое...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Qualcomm Snapdragon 8 Gen 4 появится в смартфонах уже в сентябре Компания Qualcomm и её партнёры активно работают над тем, чтобы выпустить новейший флагманский процессор Snapdragon 8 Gen 4 на рынок — в сети уже достаточно много информации о данном чипе, который, вероятно, обладает действительно впечатляющей производительностью. Например, ...

Qualcomm очень сильно зависит от Samsung Недавно появилась достаточно интересная статистика относительно продаж процессоров для мобильных устройств, в рамках которой стало известно, что MediaTek продаёт наибольшее количество чипов в мире. Но изучая эти графики многие журналисты не обратили на потенциальную проблему...

RCS появится в iPhone 16 уже осенью этого года В прошлом ноябре компания Apple согласилась поддерживать протокол связи RCS на iPhone — это произошло после многих лет, в течение которых Google и другие крупные компании просили реализовать поддержку этой технологии. Здесь стоит отметить, что протокол RCS добавляет функции,...

Microsoft начала тестирование потенциальной RTM-сборки Windows 11 версии 24H2 Несколько часов назад Microsoft выпустила новую инсайдерскую сборку Windows 11 под номером 26100 для инсайдеров на каналах Dev и Canary, которая рассматривается компанией в качестве основного кандидата на получение статуса RTM. Если в сборке не будут обнаружены какие-либо к...

Смотри, Samsung, и никакого Full HD у флагманов. Линейка Oppo Find X не получит модели Pro и предложит экраны 1.5K и 2K Компания Oppo готовится представить свою флагманскую линейку Find X7, а в Сети продолжают появляться всё новые подробности.  К примеру, инсайдер Digital Chat Station говорит, что модели X7 Pro вообще не будет, хотя ранее о ней писали активнее всего. Возможно, Oppo...

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

Samsung больше не хочет GPU AMD? Компания намерена создать собственное графическое ядро и отказаться от решений AMD Компания Samsung может прекратить сотрудничество с AMD в области GPU, то есть перестанет использовать соответствующие графические ядра в своих мобильных платформах.  Инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заяв...

Худшие процессоры MediaTek, способные испортить любой смартфон Компания MediaTek уже давно перестала восприниматься как производитель плохих процессоров для смартфонов. Большинство ее чипсетов, выпущенных за последние 5 лет, почти не греется и демонстрирует отличные показатели мощности, зачастую превосходящие решения Qualcomm в своем к...

Релиз Nintendo Switch 2 перенесли на 2025 год Геймеры с огромным нетерпением ждут презентацию от Nintendo, так как ранее появилась информация о том, что компания планирует в ближайшем будущем представить портативную консоль нового поколения, но, кажется, планы относительно Switch 2 резко поменялись. Дело в том, что в се...

Собрано более 100 000 заказов на смартфоны серии Meizu 21 Meizu готовится к запуску своей последней серии смартфонов, Meizu 21, и активно продвигает предстоящие устройства с помощью различных тизеров.

Тайваньские производители захотели «перенести» штаб-квартиры за пределы острова Тайваньские производители-гиганты рассматривают возможность создания штаб-квартир за рубежом, чтобы обеспечить непрерывность бизнеса в случае «конфликта с Китаем», пишет FT. Этот шаг отражает более широкую тенденцию диверсификации цепочки поставок, поскольку компании «стремя...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Чипсеты Apple M4 и A18 увеличат производительность ИИ Тайваньская компания TSMC будет заниматься производством пластин для этих процессоров

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Apple откажется от технологии Touch ID С выходом iPhone X в 2017 году компания Apple отошла от технологии аутентификации при помощи сканера отпечатков пальцев Touch ID. Смартфон получил совершенно новую систему распознавания лица под названием Face ID и с тех пор Apple не возвращалась к технологии Touch ID, несмо...

Xiaomi SU7 бьёт рекорды продаж ещё до старта продаж Компания Xiaomi, которая ранее была известна исключительно электроникой уровня смартфонов или планшетов, вышла на рынок электромобилей с ошеломительным успехом. Например, сегодня производитель официально сообщил, что компания Xiaomi получила более 100000 предварительных зака...

Samsung разрабатывает процессор для нового ИИ Компания Samsung Electronics стремительно развивается в области производства полупроводников, но даже при этом компании не удалось привлечь внимание лидеров рынка вроде NVIDIA, которые предпочитают TSMC из-за более продвинутых технологических процессов. Но сегодня появилась ...

Cognizant продолжила сотрудничество с Pon IT по управлению и оптимизации облачных служб Компания Cognizant объявила о продолжении своих давних отношений с компанией Pon IT, входящей в международный голландский семейный холдинг Pon Holdings. Это сотрудничество позволит компании Cognizant продолжить обеспечивать различные операционные компании Pon IT управляемыми...

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

Samsung Exynos 2600 получит новую графическую подсистему Если верить информации достаточно надёжного инсайдера, компания Samsung планирует полностью заменить графический процессор Xclipse, разработанный совместно с компанией AMD, на собственное графическое решение. Но, естественно, это произойдёт не сразу, потому что своё решение ...

MediaTek Dimensity 9300 уничтожит Snapdragon 8 Gen 3. Новые подробности о топовой SoC тайваньской компании от надежного источника Инсайдер Digital Chat Station раскрыл новые подробности о будущей флагманской однокристальной системе MediaTek Dimensity 9300. По его словам, она превзойдет Snapdragon 8 Gen 3 по производительности в бенчмарке AnTuTu в одно- и многопоточных тестах. MediaTek Dimensity 9...

В бенчмарке засветился процессор Qualcomm Snapdragon X Plus По информации западных инсайдеров, компания Microsoft готовится к мероприятию по анонсу своих новых планшетов и ноутбуков на базе ARM-процессоров — событие пройдёт 20 мая, а основным партнёром в этом вопросе выступит компания Qualcomm, которая разрабатывает мобильные процесс...

Intel рассказала, как искусственный интеллект помогал ей при разработке процессоров Meteor Lake Искусственный интеллект используется во многих сферах, и один из вариантов — разработка новых чипов. Intel поделилась информацией, как использовала ИИ при разработке своих новейших процессоров Meteor Lake.  создано DALL-E Судя по всему, пока ИИ используется ...

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

Никогда такого не было и вот опять: падение поставок ASML и финансовые преграды Источник: Reuters. Ведущий производитель оборудования для полупроводниковой промышленности, компания ASML, столкнулась с преградами. Финансовые результаты за первый квартал 2024 года оказались ниже, чем прогнозы даже пессимистично настроенных аналитиков. Вместе с падением ...

Samsung откажется от GPU AMD. Платформа Exynos 2600 может получить графическое ядро собственной разработки корейского гиганта Компания Samsung уже в обозримом будущем может отказаться от графических ядер AMD для своих SoC Exynos.  Инсайдер Роланд Квандт (Roland Quandt) утверждает, что платформа Samsung под кодовым именем S5E9955, за которым скрывается грядущая Exynos 2500, всё ещё будет ...

Boeing подал в суд на Virgin Galactic, обвинив в краже коммерческой тайны и неуплате $25 000 000 Аэрокосмический гигант Boeing подал иск против стартапа космического туризма Virgin Galactic, обвинив компанию в краже коммерческой тайны и неуплате $25 000 000 за работы над новым «материнским самолётом», предназначенным для вывода экскурсионного корабля в ...

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Redmi представила планшет Pad Pro Сегодня компания Redmi официально представила свой новейший планшет Pad Pro. И первый в серии Pro планшет от данного производителя имеет внушительный перечень преимуществ — например, он оснащён достаточно большим экраном диагональю 12,1 дюйма, производительным процессором Qu...

Samsung готовит к релизу One UI 7.0 Можно с уверенностью заявить, что ключевой фишкой прошивки One UI 6.1 являются сервисы искусственного интеллекта Galaxy AI, которые задействованы буквально во всех разделах операционной системы смартфонов компании Samsung. Данная система существенно упростила процесс написан...

В 2024 году смартфоны сильно изменятся. Ждем массовое развитие ИИ и нейросетей Искусственный интеллект в смартфоне в ближайшее время должен стать не просто массовым, но и очень качественным. Компания Google продемонстрировала наиболее серьезные на сегодня возможности искусственного интеллекта в телефонах на базе Tensor G3, предложив набор интересных ф...

Из-за нового китайского запрета Intel потеряет до $1,5 млрд, а AMD лишится сотен миллионов Intel и AMD могут потерять миллиарды долларов, если Китай ограничит использование их чипов и серверов в государственных компьютерах, о чем пишет Financial Times со ссылкой на аналитиков Уолл-стрит. Напомним, Китай представил рекомендации по поэтапному отказу от американ...

«Эпохальный ноутбук с искусственным интеллектом». Представлен Honor MagicBook Pro 16: Intel Core Ultra 7, Nvidia GeForce RTX 40 Laptop и экран 3К 165 Гц Honor сегодня не только представила флагманский смартфон Magic6 Pro, но и представила флагманский ноутбук MagicBook Pro 16 2024. Он преподносится производителем как «эпохальный ноутбук с искусственным интеллектом», а еще это первый в мире Windows-ноутбук с п...

Samsung тоже выпустит свой процессор для ИИ, но конкурировать с Nvidia пока не собирается. Mach-1 выйдет в следующем году Компания Samsung выпускает очень много полупроводниковой продукции, но в первую очередь это чипы памяти и собственные SoC Exynos. Теперь вот, как сообщается, Samsung собирается выйти на разгоняющийся рынок процессоров для ИИ.  создано DALL-E Решение корейского гиг...

Компания Qualcomm представила свой новый процессор Snapdragon X Elite Производитель заявляет, что новый продукт происходит своих конкурентов как в производительности, так и в энергоэффективности

Sony дразнит анонсом Xperia 3, а от Xperia 5 могут отказаться Компания Sony через свой подразделение опубликовала тизер с цифрой 3, который может намекать на анонс смартфона под названием Xperia 3. Кроме того, инсайдер Zacbucks в сообщении на Weibo оставил следующий пост «серия Xperia 5, RIP 2024». Однако он не привел ...

Samsung получила патент на необычный складной смартфон Samsung уже достаточно длительное время занимает лидирующие позиции на рынке складных смартфонов — буквально с момента выпуска первого Galaxy Z Fold. А сегодня появился новый патент, который показывает, как могут выглядеть будущие решения компании в этом сегменте. Например, ...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple готовит процессор на 2 нанометрах В сентябре текущего года на фирменном мероприятии компания Apple анонсировала новые модели iPhone 15 Pro с флагманским чипом A17 Pro. Это было достаточно интересное решение, так как стандартные модели без индекса Pro всё ещё оснащены чипом A16 Bionic и производятся по старом...

Yutong Bus получил престижную премию на Busworld Europe 2023 Компания Yutong Bus, ведущий глобальный производитель электрических автобусов, произвела фурор на недавно состоявшейся в Брюсселе выставке Busworld Europe 2023, продемонстрировав четыре современнейшие модели электрических автобусов и реализованную в них новейшую технологию Y...

Китайская SMIC увеличила закуп тайваньского сырья в ожидании новых санкций Китайская SMIC (Semiconductor Manufacturing International Corporation) разместила у своих тайваньских партнеров значительные заказы на сырье, эквивалентные примерно двухлетнему запасу. Создание запасов материалов рассматривается компанией как упреждающая мера, направленная н...

Forbes: Как только Украина задействует над полем боя самолеты F-16, она потеряет многие из них Видимо поэтому западные страны "так спешат" с передачей истребителей ВСУ

Только в 2025 году в iPhone появится то, что уже есть в Samsung Galaxy S24 — новое антибликовое стекло повышенной прочности В следующем году iPhone 17 будет оснащен антибликовым дисплеем, который более устойчив к царапинам, чем Apple Ceramic Shield, установленный на моделях iPhone 15. Китайский инсайдер Instant Digital заявил, что защитное стекло iPhone 17 получит «сверхтвердый антибли...

Apple впервые за 30 лет вернулась к практике лицензирования своей ОС. Речь о новом поколении CarPlay Компания Apple вернулась к практике лицензирования своей операционной системы. Впервые за 30 лет.  Речь идёт не о macOS или iOS, а о CarPlay. Как стало известно, система CarPlay нового поколения подразумевает распространение посредством лицензирования. А это означ...

Microsoft хочет улучшить процесс производства Surface с помощью ИИ Microsoft в своём блоге подробно рассказала о том, как команды Surface и Azure использовали облачные технологии высокопроизводительных вычислений для революции в процессе проектирования новых устройств линейки Surface. Заявляется, что это позволило уменьшить затраты и сокра...

Sennheiser представила наушники MOMENTUM True Wireless 4 Сегодня компания Sennheiser официально представила свои флагманские беспроводные наушники с шумоподавлением MOMENTUM True Wireless 4. Стоит сказать, что наушники MOMENTUM True Wireless 4 имеют стандартный дизайн TWS-модели с динамическими драйверами TrueResponse диаметром 7 ...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Производитель прозрачных смартфонов и наушников Nothing начал продавать одежду Компания Nothing, известная своими прозрачными гаджетами, решила расширить свою линейку продукции и представила коллекцию одежды под названием Nothing Apparel.

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

Wildberries запустил двойную аутентификацию для защиты от случайных заказов Российский маркетплейс Wildberries, как и планировалось, запустил опцию дополнительного подтверждения заказа в приложении. Новая функция, по задумке разработчиков, призвана свести к минимуму возможность «случайных» заказов, которые могут быть сделаны с аккау...

Это санкции США вызвали катастрофу? За текущий год в Китае закрылось почти 11 000 компаний, связанных с производством чипов: это по 30 компаний в день Когда SMIC удалось выпустить для Huawei 7-нанометровую SoC, можно было бы подумать, что санкции США только укрепляют силу китайских производителей чипов, хотя американцы заявляют, что всё не так просто. Однако данные DigiTimes указывают на то, что на самом деле ситуация...

Huawei Kirin 9000S оказался сильно слабее конкурентов Возвращение компании Huawei на рынок смартфонов с чипом Kirin 9000S в сети назвали настоящим чудом, но это не отменяет того факта, что этот процессор значительно медленнее конкурентов. Это было вполне ожидаемо, поскольку SMIC, партнёр Huawei по производству, в настоящее врем...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Уникальная однослотовая GeForce RTX 4060 Ti. Такой адаптер представила компания Galax Пока компания Zephyr, выпуская свою новую карту RTX 4060 Ti линейки Sakura, сделала выбор в пользу небольшой длины, Galax представила более необычную версию в однослотовом исполнении.  Модель RTX 4060 Ti 16GB Unrivaled MAX занимает лишь один слот расширения, что б...

Disney и SEGA работают над новой мобильной игрой Топовый инсайдер Midori сообщил, что SEGA и Дисней делают новую мобильную игру. Пока непонятно, какие персонажи в ней будут использоваться и что за жанр нас ждёт, но уже известно, что релиз на iOS и Android намечен на 2025 год. Midori считает, что создание подобных игр в ко...

Nvidia прекращается в монстра. Доля компании на рынке ЦОД почти втрое превышает совокупную долю AMD и Intel Nvidia — крупнейший на сегодня производитель полупроводниковой продукции, если считать по выручке и прибыли. Об этом мы уже говорили на днях, а теперь появился ещё один наглядный график, демонстрирующий стремительный успех компании.  фото: Nvidia Это график ...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Высокоскоростной транспорт будущего не оправдал себя: Hyperloop One закрывается Американская компания Hyperloop One, специализировавшаяся на технологиях высокоскоростного транспорта вообще и концепции вауумных поездов в частности,  прекратит свою деятельность 31 декабря 2023 года. Об этом сообщило издание Bloomberg со ссылкой на собственные ис...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Samsung планирует сделать Galaxy S24 ИИ-смартфоном Инсайдеры уверенно заявляют, что в январе компания Samsung официально представит флагманский смартфон Galaxy S24, который продемонстрирует пользователям то, куда двигается рынок современных смартфонов в целом. Всё дело в том, что инсайдеры заявляют, что новые смартфоны будут...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

В сети появились первые рендеры Xiaomi 14 Pro Сегодня на просторах интернета появилась информация о грядущем флагмане Xiaomi 14 Pro и даже появились рендеры, на которых можно заметить основные преимущества данного смартфона. Например, компания решила использовать систему из четырёх камер, что достаточно необычно даже по...

HarmonyOS Next, которая откажется от поддержки приложений Android, уже работает так же плавно, как HarmonyOS. Первый смартфон ожидается в этом году Huawei усердно работает над HarmonyOS Next, новой версией операционной системы, которая полностью отказывается от поддержки приложений Android. Известный инсайдер Digital Chat Station, который уже опробовал HarmonyOS Next, утверждает, что текущая разрабатываемая версия ...

Qualcomm Snapdragon X Elite опередил Intel Core Ultra 7 155H в тестах с ИИ Передовой процессор Qualcomm Snapdragon X Elite предназначен для использования в ноутбуках нового поколения и появится в продаже примерно в середине 2024 года, но перед тем, как отправить решение в релиз, Qualcomm решила немного похвастаться возможностями чипа в области гене...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Смартфон от компании Polestar готов к запуску в Китае Долгожданный смартфон Polestar, о котором на самом деле многие никогда в жизни не слышали, совсем скоро отправится в полноценный релиз. Устройство будет представлено 23 апреля в Китае — производитель заявил, что локальный рынок Поднебесной является его основным рынком сбыта,...

От роботов-пылесосов к топовым внедорожникам: в России официально появятся машины Rox Motor Молодая и амбициозная компания Rox Motor, основанная в 2021 году генеральным директором известного производителя роботов-пылесосов Roborock, готовится к официальному выходу на российский рынок. Новость о планах компании была озвучена на международном автосалоне в Пекине...

Спецификации Wi-Fi 7 будут приняты через несколько месяцев Несмотря на то, что на рынке уже есть продукты с поддержкой Wi-Fi 7, официально организация Wi-Fi Alliance ещё даже не утвердила спецификации этого стандарта. Но по новым данным это произойдёт уже в начале следующего года.  создано DALL-E Спецификации будут заверш...

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

Google работает над переходом к полностью собственному производству чипов Tensor Google решила полностью перейти на собственное производство чипов Tensor, о чём говорят последние контракты компании с тайваньскими TSMC и King Yuan Electronics Corp. (KYEC).

Такие ПК могут быть выходом в ситуации проблемных процессоров Core i9. Lenovo готовит настольный игровой ПК Legion Blade 7000K на мобильных CPU Core HX Компания Lenovо решила выйти на рынок MoTD (Mobile on Desktop). Речь о настольных компьютерах, основанных на мобильных процессорах. Этот сегмент в последнее время активно развивается, так как мобильные CPU стали намного более мощными, а старшие линейки у AMD и Intel тех...

Чип Dimensity 9300 поможет MediaTek получить 35% глобального рынка чипов Производитель чипов MediaTek может уже в течение 2024 года заполучить 35% глобального рынка благодаря ставке на свой флагманский процессор SoC Dimensity 9300.

Ждём Cyberpunk 2077 на смартфонах? Qualcomm и Samsung собираются использовать технологию апскейла AMD FSR Технология суперсемплинга AMD FSR, как известно, является открытой и поддерживается условно любым GPU. Как сообщается, FSR в обозримом будущем может появиться и на смартфонах.  Инсайдер Revegnus говорит, что Samsung и Qualcomm решили сотрудничать с AMD для реализа...

Apple ищет партнера в лице Rivian для реализации своих электромобильных амбиций Компания Apple, несмотря на недавнее закрытие своей инициативы по производству электромобилей Project Titan, вновь проявляет интерес к автомобильному рынку. По сообщениям, Apple рассматривает возможность партнерства с производителем электрокаров Rivian для воплощения своих а...

Компания Nokia прекратила своё существование Сегодня компания HMD Global решила официально прекратить существование компании Nokia и удалить все упоминания о компании со своего веб-сайта. Теперь, когда Nokia больше не существует, HMD Global собирается начать работу над собственными смартфонами и мобильными телефонами —...

MediaTek завоевала рынок смартфонов Сегодня компания Canalys опубликовал отчёт о рынке смартфонов за четвёртый квартал 2023 года, разделив производителей процессоров на отдельные категории. Данный анализ показал, что MediaTek выпустила больше мобильных процессоров для смартфонов, чем любой другой производитель...

Nvidia представила три, а Palit создала десять. Компания анонсировала адаптеры GeForce RTX 40 Super, включая модели с функцией «Aвтор» Компания Nvidia представила видеокарты GeForce RTX 40 Super, а производители 3D-карт начали анонсировать свои модели на основе этих новинок. В частности, Palit пополнила свой ассортимент сразу 10 новыми адаптерами.   С 17 января в продаже появятся достаточно комп...

Samsung не знает, как её чипы оказались в смартфона Huawei: «Компания соблюдает экспортные правила США и не поддерживает отношения с Huawei» Samsung Electronics заявила, что не торговала с Huawei после санкций США в 2020 году после сообщения о том, что некоторые из ее чипов используются в новых смартфонах, продаваемых китайским производителем. «Samsung соблюдает экспортные правила, установленные правит...

Компания Vedanta Aluminium стала самым устойчивым производителем алюминия по версии S&P Global CSA  Vedanta Aluminium, один из крупнейших мировых производителей алюминия, заняла верхнюю строчку в престижном рейтинге S&P Global Corporate Sustainability Assessment (CSA) 2023 в алюминиевой отрасли, обогнав своих глобальных конкурентов в области экологического, социального...

Смартфонам HuaweI P70 быть. Но пока неясно, будет ли преемница у SoC Kirin 9000s Компания Huawei продолжит выпускать свои флагманские смартфоны. Согласно свежим данным, в следующем году нас ждёт линейка P70.  Данные получены от производителей подэкранных сканеров отпечатков пальцев. Для новинки Huawei такой элемент будут поставлять компании Go...

Российский автопром покинул последний крупный западный инвестор: Daimler (Mercedes) продал свою долю в КамАЗе Немецкий концерн Daimler Truck продал свою долю в ПАО «КамАЗ», которая включала 15% акций, о чем заявила гендиректор КамАЗа Сергей Когогин в интервью «Ведомостям». На данный момент неизвестно, кто стал покупателем доли КамАЗа, ранее принадлежавши...

NVIDIA GeForce RTX 4070 Ti резко подешевела Производители видеокарт на текущий момент предлагают крупные скидки на графические процессоры NVIDIA GeForce RTX 4070 Ti, чтобы как можно быстрее избавиться от остатков данной модели (видеокарта уже не производится). Причина также заключается в том, что компания AMD снизила ...

В сеть слили серийные номера умных колец Samsung Galaxy Ring Изначально умное кольцо Galaxy Ring от компании Samsung было анонсировано в январе текущего года, после чего производитель продемонстрировал новинку в феврале на выставке MWC 2024. А теперь инсайдеры уверенно заявляют, что новый гаджет будет официально анонсирован в июле на ...

Samsung объявляет о сотрудничестве с Arm для разработки ядер Cortex-X следующего поколения Компания Samsung объявила, что будущие ядра процессоров Arm Cortex-X будут использовать 2-нм узел GAAFET от Samsung Foundry.

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

ASML поставила Intel литографическое оборудование на $300 млн ASML, голландский гигант по производству полупроводникового оборудования, объявила о поставке своих новейших систем экстремальной ультрафиолетовой литографии «High NA» другому технологическому титану Intel.

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Samsung Galaxy Z Fold 6 получит новый дисплей и ИИ-функции Через пару месяцев состоится официальный анонс нового складного смартфона Samsung Galaxy Z Fold 6, а сейчас в сети появилась информация о том, что производитель планирует внести ряд изменений в свой флагманский гаджет нового поколения. Например, инсайдеры уверены, что смартф...

F2 innovations (часть 1) - Обзор компании Инженеры LIDER-3D посетили производство компании F2 innovations в Перми, где ознакомились с оборудованием компании и узнали о тонкостях производства!А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подробности о розыгрыше...

А вот это США не смогут запретить использовать китайским компаниям. Американские власти обеспокоены популярностью RISC-V в Поднебесной Китайские компании, кроме прочего, активно используют для создания собственных процессоров открытую архитектуру RISC-V. И это, видимо, не нравится США. Как сообщается, штаты рассматривают различные варианты ограничений для Китая, способных помешать активному развитию RI...

Китайские хакеры атаковали производителей полупроводников в Восточной Азии Эксперты заметили, что китайские хакеры атакуют полупроводниковые компании в Восточной Азии с помощью приманок, связанных с тайваньской TSMC. Таким способом злоумышленники доставляют в системы жертв маяки Cobalt Strike.

Apple решила урезать iPhone 16 Plus, чтобы он не конкурировал с iPhone 16 Pro Max? Новая модель получит менее ёмкий аккумулятор, чем iPhone 15 Plus В Сети появляется всё больше данных о будущих смартфонах iPhone 16. К примеру, инсайдер Majin Bu поделился данными об аккумуляторах.  Согласно его данным, iPhone 16 получит элемент ёмкостью 3561 мА·ч, у iPhone 16 Plus это будет 4006 мА·ч, а iPhone 16...

Местные жители на севере Тайваня не дали TSMC запустить строительство нового завода Тайваньский производитель полупроводников, компания TSMC, не смогла запустить строительство нового завода по производству 1-нм чипов. Против этого выступили местные жители.

Tesla планирует перейти на 3-нм чипы TSMC в 2024 году Компания TSMC получила заказ от Tesla на производство чипов для автопроизводителя на своем заводе в Аризоне.

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

«Зачем вам так много машин одного класса и очень похожего качества?». Глава Xiaomi критикует коллег по автомобильному рынку Основатель Xiaomi Лэй Цзюнь рассказал, почему Xiaomi решила выпускать изначально только один автомобиль. Он не понимает, зачем китайские производители выпускают так много очень похожих машин (даже в пределах одной компании). Лэй Цзюнь сказал, что он почувствовал «...

Samsung Galaxy S26 может получить SoC следующего поколения с GPU собственной разработки компании Производитель из Южной Кореи может отказаться от сотрудничества с AMD.

Камеры Sony больше не нужны? Китайские производители смартфонов переходят на OmniVision: топовый датчик превзойдёт Sony IMX989 Производитель датчиков изображения CMOS OmniVision выпустит в конце года новые продукты высокого класса, включая OV50K. Это датчик изображения дюймового формата, который будет намного превосходить по характеристикам Sony IMX989. Ранее анонсированный Xiaomi 14 испол...

Материнские платы MSI теперь поддерживают до 256 ГБ оперативной памяти Сегодня компания MSI стала ещё одним производителем материнских плат, представившим поддержку оперативной памяти DDR5 объёмом до 256 ГБ на своих материнских платах с чипсетами серии Intel 700 и 600. Собственно, в прошлом году крупные производители памяти представили множеств...

США лишат китайского производителя чипов CXMT доступа к своим технологиям Планируемые ограничительные меры США могут подорвать развитие полупроводниковой отрасли Китая. Компания CXMT - лишь первая мишень.

Dimensity 9300, LPDDR5T, топовая камера Zeiss и рекордная производительность. Предзаказы на Vivo X100 уже идут, а производитель подтвердил ключевые характеристики Компания Vivo официально объявила, что серия Vivo X100 первой в мире получит чип обработки изображений собственной разработки V3 и флагманскую однокристальную систему Dimensity 9300. В MediaTek Dimensity 9300 используются высокопроизводительные ядра: 4 Cortex-X4 и 4 Cor...

В iPhone 16 будет два вибромотора Taptic Engine Сетевые источники сообщают о том, что недавно компания Apple заказала у тайваньского производителя полупроводников увеличенную партию сенсорных кнопок. Точные объемы заказа неизвестны. Однако источники сообщают о значительном увеличении заказа. Предполагается, что именно сен...

Гигант стейблкоинов Tether ставит на будущее нейротехнологий и вкладывает $200 млн в стартап, занимающийся нейроинтерфейсами Tether Holdings Ltd., эмитент крупнейшего стейблкоина USDT, объявила о масштабной инвестиции в размере $200 миллионов в Blackrock Neurotech, компанию из Солт-Лейк-Сити, специализирующуюся на разработке передовой технологии интерфейса «мозг-компьютер». Инвест...

Современные CPU Intel настолько горячие, что EK Water Blocks представила жидкостную СО специально для скальпированных процессоров Современные топовые процессоры Intel очень и очень прожорливые и горячие. Это привело к тому, что всё больше пользователей обращаются к процессу скальпирования. И теперь компания EK Water Blocks представила первую в мире жидкостную систему охлаждения именно для таких CP...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Очень необычные для Intel процессоры Lunar Lake всего с четырьмя большими ядрами в конце текущего года выйдут в очень ограниченных объёмах Похоже, процессоры Intel Lunar Lake ждёт судьба актуальных ныне Meteor Lake. В том смысле, что первые тоже выйдут в самом конце года.  Согласно данным инсайдера Golden Pig Upgrade, Intel в случае с Lunar Lake будет придерживаться той же программы EEP (Early Enable...

Садко или китайцы: кто будет собирать телевизоры Сбера в Новгороде? SberDevices (которые как бы не Сбер, но все всё понимают) в следующем году собирается локализовать до 50% производства (сборки, конечно) своих телевизоров под маркой Сбера (а чего не Салюта?) в Новгороде. Площадку в ОЭЗ «Новгородская» начнут оснащать в начале 2024 года, а на...

Только флагманы, только хардкор: линейка Redmi K80 останется без дешевой версии K80e Xiaomi работает над смартфоном Redmi K80, который вскоре должен появиться на внутреннем рынке бренда, в Китае. Новость поступила от информатора Smart Pikachu, который поделился информацией в Weibo. Инсайдер заявил, что работа над серией Redmi K80 продвигается быстрыми т...

Samsung строит умные фабрики по производству чипов — собирать микросхемы будет исключительно ИИ Южнокорейский производитель микросхем намерен использовать "умные" технологии и полностью исключить людей из процесса производства кристаллов

Какие компьютеры Apple получат процессор M4 и когда они выйдут Вся линейка компьютеров Apple будет переведена на процессоры M4. Изображение: macrumors.com Последние годы график выхода новых компьютеров Apple постоянно менялся, и выявить какую-то закономерность было очень сложно. То MacBook Air привычно выходил на каждой WWDC, то его не ...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Honor открыла предзаказы на Magic6 Ultimate Судя по информации от официальных источников, уже через неделю компания Honor выпустит свой новый флагманский смартфон Magic6 Ultimate, который получит дизайн, сильно схожий со смартфонами Magic6 и Magic6 Pro. Более того, в Китае компания даже запустила предварительную прода...

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Google проиграла и признана монополистом. Epic Games удалось доказать свою позицию в суде Компания Epic Games выиграла суд у Google. Согласно решению суда, Google превратила свой магазин приложений Google Play в монополиста. При этом пока что суд не вынес никаких решений относительно того, что должна теперь сделать Google, пока принято не было — их при...

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Тусклый экран Samsung Galaxy S24 наконец можно будет сделать таким же ярким, как у Galaxy S23 или S21 Важную эксклюзивную новость для владельцев и потенциальных покупателей смартфонов серии Samsung Galaxy S24 опубликовал осведомлённый инсайдер под ником Ice Universe. Он заявил, что в следующей прошивке для Samsung Galaxy S24 производитель решит недостаточной яркости и с...

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

Samsung улучшит Bixby за счёт ИИ Сегодня появилась информация, указывающая на то, что компания Samsung не готова отказываться от своего голосового помощника Bixby. Компания планирует внедрить функции генеративного искусственного интеллекта в свой инструмент и в отличие от других слухов, которые сейчас доста...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

[Перевод] Футуристический план производства стали с помощью ядерного синтеза Крупнейшая американская сталелитейная компания делает ставку на то, что ядерный синтез поможет ей избавиться от выбросов углекислого газа и обеспечить энергией один из самых энергоёмких производственных процессов в мире.В рамках первого в своём роде партнёрства между крупной...

Intel представила ускоритель ИИ Gaudi 3 На протяжении достаточно длительного времени в сети ходили слухи о том, что компания Intel планирует создать собственное аппаратное обеспечение для работы с искусственным интеллектом. А сегодня, к счастью, компания наконец представила свой собственный ускоритель для искусств...

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

«Илон Маск, я думал о вас». Глава Intel пригласил миллиардера на экскурсию по заводу компании Компания Intel имеет амбициозный план стать вторым после TSMC крупнейшим производителем полупроводниковой продукции в мире к 2030 году. А для этого ей нужны клиенты. Глава Intel Пэт Гелсингер (Pat Gelsinger), видимо, видит в качестве потенциального клиента компанию Tesl...

Аэрокосмический гигант Lockheed Martin намерен приобрести Terran Orbital Компания Lockheed Martin, один из мировых лидеров в области аэрокосмической и оборонной отрасли, выступила с предложением о покупке Terran Orbital, ведущего производителя космических аппаратов. В письме, поданном в Комиссию по ценным бумагам и биржам (SEC) 1 марта, Lock...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Чип DX-M1 компании DEEPX будет показан на выставке CES 2024 Компания DEEPX (генеральный директор Локвон Ким), разработчик оригинальных полупроводниковых технологий ИИ, представила 40 клиентов для своего флагманского чипа DX-M1

Умные часы CaseGuru CGWatch Round: бюджетные, несовершенные, с тюменской «пропиской» Мы регулярно рассказываем об умных часах крупнейших зарубежных производителей. Но для многих покупателей, особенно в нынешних реалиях, становятся актуальны модели менее известных брендов, в том числе отечественных. Самые активные из этих брендов зачастую пользуются сложившим...

Стартап Flawless Photonics успешно произвёл более 5 километров прозрачного оптического волокна на МКС Американский стартап Flawless Photonics, базирующийся в Кремниевой долине, создал на МКС более 5 километров ZBLAN всего за две недели. ZBLAN — это оптическое волокно, которое обладает высокой прозрачностью и широко используется на Земле в лазерных системах и усили...

4К, 120 Гц, 75 дюймов, крошечная рамка и подарок — за $545. Xiaomi раздаёт покупателям Xiaomi TV A Pro браслеты Xiaomi Mi Band 8 Pro в Китае Компания Xiaomi, которая вчера представила линейку недорогих телевизоров Xiaomi TV A Pro, решила сделать их ещё привлекательнее. На официальной страничке в социальной сети Weibo говорится, что первые покупатели Xiaomi TV A Pro получат в подарок новый фитнес-браслет Xiao...

Современные процессоры Intel запретили продавать в Германии. Компания проиграла патентный спор, касавшийся некоторых линеек CPU Компания Intel проиграла патентный спор в Германии. Из-за этого теперь там запрещены продажи некоторых процессоров компании.  DALL-E Региональный суд в Дюссельдорфе постановил, что Intel нарушила патент компании R2 Semiconductor, который касается технологии регули...

Ноутбуки Acer Swift засветились с новыми процессорами Intel Новые ноутбуки компании Acer, оснащённые процессорами Intel Meteor Lake, уже сегодня появились на сайте немецких розничных продавцов. Стоит напомнить, что Acer является последний производитель ноутбуков, чьи будущие модели с процессорами Intel Meteor Lake были представлены п...

Samsung Galaxy Ring выпустят летом этого года Компания Samsung представила своё умное кольцо Galaxy Ring в январе текущего года на мероприятии Unpacked — спустя какое-то время оно было анонсировано ещё и на выставке MWC 2024 в Барселоне. Теперь же инсайдеры со ссылкой на свои анонимные источники сообщают, что официальна...

США запретили Intel и Qualcomm поставлять чипы Huawei: «Это укрепит национальную безопасность США и урежет возможности коммунистического Китая» США отозвали лицензии, которые позволяли компаниям, включая Intel и Qualcomm, поставлять чипы, используемые для ноутбуков и мобильных телефонов, китайскому производителю телекоммуникационного оборудования Huawei Technologies, попавшему под санкции, о чем пишет Reuters. ...

Microsoft готовит портативную консоль Xbox По информации сразу нескольких западных инсайдеров, в компании Microsoft в настоящее время активно работают над несколькими прототипами портативной консоли Xbox. Тем не менее, наличие нескольких прототипов вовсе не означает, что устройство выйдет на рынок — крупные компании ...

Xiaomi добавит в свои машины ДВС для увеличения запаса хода Компания Xiaomi, по сообщению китайских СМИ, работать над системами увеличения запаса хода (EREV) для электрифицированных моделей. Производитель уже набирает инженеров-разработчиков с соответствующим опытом. Согласно описанию должностей, инженеры будут отвечать за разра...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Появились первые упоминания Windows 11 версии 24H2 В недавно выпущенной инсайдерской сборке Windows 11 для канала Canary энтузиасты обнаружили новую групповую политику под названием «Enable Delegated Managed Service Account», для которой требуется Windows 11 24H2 или более новая версия ОС. Это вполне можно считать подтвержд...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Игровые ПК серии Maingear North получили более крупный корпус для мощных GPU и лучшее охлаждение Maingear, производитель эксклюзивных ПК для игр и создания контента (и всего остального), выпускает обновленную версию своих настольных компьютеров серии North.

Первыми среди крупных маркетплейсов: в Wildberries тестируют оплату по биометрии Команда крупнейшей российской торговой онлайн-площадки Wildberries готовится к запуску новой возможности оплаты покупок. Как сообщила пресс-служба, Wildberries первым среди крупных маркетплейсов тестирует оплату покупок по биометрии.  Сгенерировано нейросетью...

Apple создаст с LG безрамочный iPhone Компания Apple, по словам западных инсайдеров, начала поиск возможности создать iPhone с дисплеем, который будет занимать всю фронтальную поверхность устройства без каких-либо вырезов, чёлок и так далее. Стоит напомнить, что первый раз вырез в формате чёлки появился в смартф...

Специальное термопокрытие Adata позволяет заметно снизить температуру быстрой памяти DDR5 Компания Adata придумала, как дополнительно охладить современную довольно горячую память DDR5. Производитель создал некое термопокрытие, которое позволяет заметно снизить температуру. Технических подробностей нет, но, судя по всему, речь о каком-то веществе, которым по...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Что важнее, 200-ваттная зарядка или аккумулятор емкостью 6000 мАч? Vivo сделала свой выбор Vivo, как и многие другие производители смартфонов из Китая, активно участвует в гонке мощностей зарядных устройств для обеспечения сверхбыстрой зарядки. Но сейчас, на пороге внедрения 200-ваттной технологии в готовые устройства, Vivo остановилась. Об этом рассказал ин...

Creatbot D1000 и D600 Pro2: новые высоты в мире промышленных FDM-принтеров Совсем недавно китайский производитель промышленных FDM-принтеров Creatbot порадовал нас новинками: моделями Сreatbot D1000 и D600 Pro2. Принтеры стали логичным обновлением моделей F1000 и D600 Pro, ставшими хитами как на мировом, так и на российском рынке. Стоит отметить, ч...

Porsche Cayenne 2026 уже тестируется, а флагманский семиместный Porsche K1 ожидается к 2027 году Porsche наконец представит полностью электрический Macan на этой неделе, однако инженеры фирмы уже заняты разработкой старшего брата этой модели — электрического Cayenne. Фотографы-шпионы carscoops засняли модель на тестировании в Швеции, при этом пока что програм...

Гиганты объединились, чтобы дать бой Nvidia CUDA. Google, Intel, Samsung, Qualcomm и другие будут продвигать Intel oneAPI в качестве альтернативы Архитектура Nvidia CUDA давно является неким стандартом в своём сегменте, что, с одной стороны, хорошо, а с другой, делает Nvidia ещё большим монополистом. Как сообщает Reuters, коалиция крупных IT-компания UXL Foundation, в которую входят в том числе Google, Intel, Sam...

Возвращение классических консолей продолжается: NES, SNES, SEGA, SONY PS1, а теперь и Atari 2600 За последние несколько лет производители классических игровых консолей и игр к ним презентовали разные инкарнации своих девайсов. Так, самой первой стала Nintendo NES Classic, затем SNES, а после неё сделать подарок ностальгирующим геймерам решили и другие компании.Сейчас вы...

Qualcomm создаёт мощный чип для шлемов дополненной реальности На текущий момент шлем дополненной реальности Apple Vision Pro оборудован сразу двумя процессорами M2 и R1, которые были произведены на 4-нм процессе компании TSMC и обладают достаточно приличной производительностью. Но сегодня появились новости о том, что компания Qualcomm ...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Apple вынудят сделать iOS более открытой Появилась достаточно интересная информация о том, что компания Apple в ближайшее время будет вынуждена изменить систему допуска к своей операционной системе. Дело в том, что представители Европейского союза высказались о том, что сторонние разработчики и сервисы должны иметь...

Собственные чат-бот Apple GPT и большая языковая модель Apple отстают от ChatGPT и Gemini Bloomberg отмечает, что собственная технология генеративного искусственного интеллекта Apple отстает от конкурентов. Сотрудники Apple тестировали собственного чат-бота под названием Apple GPT, кроме того, у компании есть собственная большая языковая модель под кодовым н...

Samsung оказался самым крупным покупателем процессоров MediaTek MediaTek — тайваньский производитель чипов, который в 2023 году стал лидером по поставкам мобильных процессоров.

Apple выпустит складной iPad или iPhone, который в конечном итоге может заменить iPad Mini. Компания также работает над 20,5-дюймовым складным устройством Apple рассматривает возможность выпуска своего первого складного устройства в ближайшие несколько лет в виде 7-8-дюймового устройства, которое в конечном итоге может заменить 8,3-дюймовый iPad mini. Как сообщает южнокорейское издание The Elec, Apple рассматривает сроки ...

Фил Спенсер о выходе Xbox и PC эксклюзивов на PlayStation и планах на мультиплатформу Генеральный директор Microsoft Gaming Фил Спенсер подтвердил, что в 2024 году сразу четыре небольшие неназванные игры для Xbox выйдут на других платформах. Тем временем, флагманские релизы от первого лица, как Starfield и Indiana Jones and the Great Circle, останутся эксклюз...

Redmi Note 13 Turbo и Poco F6 получат новейший чип Snapdragon 8s Gen 3 Redmi работает над новым телефоном серии Note 13 для китайского рынка. На данный момент бренд выпустил несколько телефонов серии Note 13, включая Redmi Note 13 5G, Note 13 Pro 5G, Redmi Note 13 Pro+ 5G, Redmi Note 13R и Redmi Note 13R Pro. Предстоящий Redmi Note 13 Turb...

Космическая компания Rocket Lab планирует конкурировать со SpaceX Starlink и развивать собственную спутниковую группировку Компания Rocket Lab, изучая потенциальные варианты использования своей спутниковой группировки, объявила о намерении самостоятельно развивать бизнес, аналогичный Starlink, для обеспечения стабильного дохода. Об этом заявил один из руководителей компании на минувшей неде...

Nvidia и Amazon создадут суперкомпьютер Ceiba с производительностью 65 ExaFLOPS. Но это производительность не FP32 или FP64 Компании Nvidia и Amazon Web Services (AWS) объявили о расширении своего стратегического сотрудничества, в рамках которого в том числе будет построен самый быстрый суперкомпьютер искусственного интеллекта Ceiba.  Ceiba получит 16 384 системы GH200 Superchips и буд...

Nothing Phone 2a отправится в релиз совсем скоро Сегодня инсайдеры сообщили, что новый флагманский смартфон Nothing Phone 2a совсем скоро будет официально представлен — и многие пользователи на самом деле очень рады этому, потому что смартфон предоставит приличную производительность за вполне разумные деньги. А пользовател...

Крупнейший интернет-магазин готовит свой «ответ» Android По слухам, компания Amazon собирается распрощаться со своей многолетней практикой использования адаптированных версий ОС Android во всем спектре своих продуктов. По сообщениям, готовится новая операционная система, получившая предварительное название «Vega», что свидетельств...

В следующем году будет больше флагманов с аккумуляторами ёмкостью 5500 мАч? Это возможно, но речь о необходимости компенсировать потребление Snapdragon 8 Gen 4 Флагманские смартфоны нового поколения, оснащённые SoC Snapdragon 8 Gen 4, могут чаще получать более ёмкие аккумуляторы.   Инсайдер Digital Chat Station говорит, что он знает о тестировании уже трёх аппаратов следующего поколения, и все оснащены элементами питания...

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Apple не стала заморачиваться и создаёт для себя облачные серверы ИИ на основе обычной SoC M2 Ultra. Позже начнут использовать M4 Компания Apple, возможно, не будет заморачиваться с разработкой собственного специализированного процессора для облачных серверов. Или по крайней мере такой CPU выйдет нескоро, так как Apple будет использовать обычные потребительские SoC.  фото: Apple Как сообщает...

Mazda и Toyota решили использовать на 90% одинаковые бортовые системы и программное обеспечение Будущие модели Mazda будут использовать новое автомобильное программное и аппаратное обеспечение, разработанное совместно с Toyota, и использовать общую операционную систему. Два японских производителя автомобилей будут сотрудничать в разработке будущей системы, чтобы з...

Intel обвиняет AMD в использовании старой архитектуры Zen 2 в новых процессорах, но при этом в своей презентации делает странное сравнение и даже лукавит Компания Intel, похоже, решила возобновить рекламную кампанию против AMD, но в очередной раз сделал это несколько странно. Процессорный гигант создал презентацию Core Truths, в которой решил раскрыть страшную тайну о том, что даже в линейке Ryzen 7000 компания AMD продо...

Пока Qualcomm отказывается от Snapdragon Satellite, Honor уже разработала собственную технологию для спутниковой связи в смартфонах Компания Honor заявила о том, что разработала собственное решение для спутниковой связи в смартфонах.  создано DALL-E Глава компании рассказал, что ей удалось решить три основные технические проблемы в рамках своего проекта. Это размеры антенны, длительность звонк...

Такая компания нужна нам самим: производитель литографов ASML остаётся в Нидерландах ASML, лидер на рынке литографических систем, обеспечивает работой 23 000 сотрудников, около 40% из которых не являются гражданами Нидерландов. Крупнейшая технологическая компания Европы покупает комплектующие из разных стран мира, но собирает своё оборудование в городе ...

У крупнейшего производителя оборудования для майнинга Bitmain нет денег на выплату зарплат сотрудникам Bitmain, один из крупнейших в мире производителей ASIC-майнеров для добычи биткойна и других криптовалют, с сентября приостановил выплату заработной платы сотрудникам, о чем сообщило китайское новостное агентство Leiphone. Bitmain также сократила все бонусы для своих со...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Samsung готовит к релизу прямоугольные Galaxy Watch В далёком 2013 году компания Samsung официально выпустила свои новые умные часы под названием Galaxy Gear — это были первые умные часы компании прямоугольным экраном. За ними последовали Gear 2 и Gear Live в том же форм-факторе, но с тех пор компания полностью перешла на кру...

Какие камеры лучше для Android-смартфона: Sony, Samsung или OmniVision Возможно, для кого-то данный факт станет открытием, но в подавляющем большинстве производители смартфонов не разрабатывают камеры своих устройств. Те самые датчики, благодаря которым вы делаете фото и снимаете видео, выпускают другие компании. Своих сенсоров нет ни у Xiaomi...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Tesla действительно будет отливать днище автомобилей единой деталью Tesla действительно работает над модернизацией своей технологии «гигакастинг» (gigacasting), позволяющей отливать под давлением все детали днища автомобиля как единое целое, о чем сообщило в среду, 27 сентября, издание Shanghai Securities News со ссылко...

MediaTek показала Dimensity 8300. Дешевый чип с флагманскими возможностями ИИ Компании Qualcomm и MediaTek уже анонсировали флагманские процессоры для смартфонов с поддержкой функций генеративного искусственного интеллекта. Как обычно, технологии заходят в наш мир с верхних сегментов, но рано или поздно опускаются в средний и бюджетный. В этот раз эт...

Sony представит флагманский смартфон Xperia 1 VI 17 мая Сегодня специалисты напомнили, что компания Sony официально анонсировала свой флагманский смартфон Xperia 1 V достаточно давно, 11 мая прошлого года, а теперь появилась информация о том, что японская компания планирует придерживаться того же графика релизов в 2024 году, так ...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Samsung и SK hynix добились от США бессрочного права поставлять в Китай оборудование для выпуска чипов Samsung Electronics и SK Hynix будет разрешено поставлять американское оборудование для выпуска чипов на свои китайские заводы без отдельных разрешений США, о чем сообщили в администрации президента Южной Кореи и в пресс-службах компаниях. Как пишет Reuters, ранее ...

Samsung выпустит Galaxy S25 на собственных процессорах Западные журналисты поделились новостью о том, что Samsung планирует полностью отказаться от процессоров стороннего производства в своих флагманских устройствах в ближайшем будущем. Они считают, что вся линейка смартфонов Galaxy S25 будет использовать исключительно свои чипы...

Могли бы получить Core i9-15900K, а получим Core Ultra 9 285K. Стали известны названия будущих настольных процессоров Intel В мобильном сегменте Intel уже отказалась от бренда Core i, перейдя на обычные Core и Core Ultra. В настольном такой переход нас ждёт позже в этом году с выходом Arrow Lake-S. И теперь мы знаем, как будут называться такие CPU.  Инсайдер перечислил шесть моделей бу...

16 устройств Apple, которые выйдут в 2024 году В этом году Apple готовится выпустить сразу 16 новых мобильных устройств. В этот перечень входят не только смартфоны, но и планшеты iPad. Узнать эту информацию получилось из утечки, в которой сообщается, какие процессоры компания из Купертино планирует использовать в каждом...

Немедленно в печать! Настоящее и будущее аддитивных технологий Первая волна массового использования 3D–принтеров захлестнула мир чуть больше 10 лет назад. Поначалу технологию воспринимали как выставочную, однако уже в 2016 году она доказала свою состоятельность. Оборудование стоимостью менее 1000$ стало доступным для рядовых покупателей...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

Возникли проблемы: Nvidia откладывает запуск нового чипа H20, ориентированного на Китай Nvidia сообщила клиентам в Китае, что компания откладывает запуск нового чипа искусственного интеллекта, разработанного в соответствии с экспортными правилами США, до первого квартала следующего года. Речь идёт о чипе H20, самом мощном их трёх продуктов, ориентированных...

ARM-процессоры заинтересовали большее число производителей: у RISC-V проблемы Благодаря своей энергоэффективности и производительности архитектура ARM становится все более популярной в мире разработки микросхем такими крупными технологическими компаниями, как Apple. Так, и AMD, и Nvidia, признали преимущества архитектуры и осваивают производство проце...

Новые смартфоны HUAWEI Pura 70 — это фиаско. Такую халтуру не оценят даже в Китае 18 апреля в КНР состоялась презентация сразу четырех смартфонов HUAWEI Pura 70, и это — отличный повод для разговора не столько о новых устройствах китайского технологического гиганта, сколько о перспективах компании в целом. Линейка «Pura» — правопреемница P-серии, которая...

Использование On-Logon триггеров в СУБД Postgres Pro Enterprise Триггеры On-Logon хорошо знакомы разработчикам приложений для СУБД Oracle Database.On-Logon триггер является одним из видов триггеров событий базы данных, и автоматически срабатывает при подключении пользователя к БД.В СУБД Postgres Pro Enterprise, еще в версии 14, среди про...

Представлен первый в мире автомобильный дисплей в прозрачном кристалле Swarovski Continental продемонстрировала автомобильный экран под названием Crystal Center Display на выставке CES 2024. Производитель заявляет, что это первый в мире автомобильный дисплей для автомобилей премиум-класса, полностью интегрированный в кристалл Swarovski. Дисплей явл...

Когда будет следующая презентация Apple и что на ней покажут Все презентации Apple, намеченные на 2023 год, уже благополучно прошли. За это время нам показали MacBook Air 15, Apple Vision Pro, целую россыпь MacBook Pro на процессорах M3, линейку iPhone 15, две модели Apple Watch и, конечно же, новые версии операционных систем, которы...

В Китае представили собственную видеокарту уровня GTX 1050 Если вы следите за новостями, связанными с рынком видеокарт, то вы могли несколько раз слышать о китайских производителях видеокарт, которые активно работают над собственными решениями. Некоторые крупные компании из Китая действительно стараются выпустить модели исключительн...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Чтобы никакие личные фотографии не достались сотруднику сервисного центра. Google работает над режимом Repair Mode для Android Компания Google работает над режимом Repair Mode для операционной системы Android. Указания на этот режим обнаружились в репозитории AOSP.  создано DALL-E Речь о режиме, который пригодится любому пользователю, если придётся сдавать смартфон в сервисный центр. Это ...

Производством раздаточных коробок для полноприводных грузовиков КамАЗ теперь занялся Тутаевский моторный завод Российский производитель грузовых автомобилей КамАЗ переносит производство раздаточных коробок, о чём сообщает инсайдерский телеграм-канал «Автопоток». КамАЗ переносит производство раздаточных коробок для полноприводных грузовиков. Теперь их выпуском будет з...

Apple наращивает производство iPhone 15 Pro из-за высокого спроса В этом году компания Apple реализовала целый ряд эксклюзивных улучшений для смартфонов iPhone 15 Pro и iPhone 15 Pro Max, что объясняет, почему они пользуются таким большим спросом. Настолько большим, что компания Samsung увеличила производство OLED-панелей для 6,1-дюймовой ...

[Перевод] Что понимают технологические компании и чего не понимают традиционные компании о разработчиках ПО Я работал в разнообразных технологических компаниях: от «традиционных» центров программирования и консалтингов до инвестиционных банков и быстрорастущих технологических фирм. Также я общался с разработчиками ПО, работающими в стартапах, банковской сфере, автомобилестроении,...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Более дешёвые и старые модели видеокарт NVIDIA RTX 20XX получили эксклюзивную функцию от RTX 30XX и 40XX Компания NVIDIA внесла радикальные изменения в свою технологию улучшения качества изображения RTX Video Super Resolution (VSR).

Компас и глюкоза: анализ крови с помощью смартфона Одной из отличительных черт современного мира являются мобильные или носимые устройства. Смартфоны, в отличие от своих предшественников, выполняют множество функций помимо голосовой или текстовой связи. Использование смартфонов и носимой электроники в диагностических целях...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Xiaomi представил свой первый электромобиль SU7 Китайский производитель смартфонов Xiaomi представил свой первый электромобиль SU7 с ценой ниже 500 000 юаней. Компания стремится использовать синергию между своей экосистемой и автомобильным бизнесом.

Sony Xperia 1 VI представят уже 17 мая Сегодня инсайдеры сообщили о том, что компания Sony представит флагманский смартфон Xperia 1 VI на своём мероприятии, которое состоится совсем скоро — 17 мая. Хотя за последние несколько недель было представлено очень много информации по этому вопросу, сегодня появилось ещё ...

Флагманы следующего поколения на Snapdragon 8 Gen 4 станут монстрами автономности — они получат аккумуляторы 6000 мА·ч Отлично знакомый с планами производителей смартфонов из Поднебесной инсайдер Digital Chat Station сообщил, что флагманские модели нового поколения, основанные на SoC Qualcomm Snapdragon 8 Gen 4, получат аккумуляторы побольше, чем сейчас — емкость составит 6000 мАч...

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Линейки iPhone 16 и Galaxy S24 не получат прибавки к объёму ОЗУ в сравнении с предшественниками, несмотря на ажиотаж вокруг ИИ Смартфоны Samsung линейки Galaxy S24 и аппараты линейки iPhone 16, вероятно, сохранят те же варианты объёма оперативной памяти, какие сейчас есть у актуальных моделей.  Аналитики Mizuho Securities, на которых ссылается инсайдер Revegnus, говорят, что растущий спро...

Sony Xperia 1 VI лишится своих основных фишек Сегодня появилась информация о том, что грядущий смартфон Xperia 1 VI сохранит тот же дизайн, что и предыдущие флагманы компании Sony, но получит два существенных апгрейда, чтобы привлечь внимание аудитории новыми фишками и возможностями. Во-первых, устройство лишат 4К-диспл...

NVIDIA Blackwell B100 GPU выйдет по 3 нм процессу Компания NVIDIA будет применять технологию TSMC 3 нм уже со следующего года. Отмечается, что компания будет производить вычислительный графический процессор GB100 по этой технологии. При этом есть высокая вероятность, что вся серия GPU Blackwell будет использовать эту т...

Ноутбук Honor MagicBook Pro 16 получил 165-Гц экран Компания Honor представила ноутбук MagicBook Pro 16 2024, который позиционируется производителем первой моделью на рынке с искусственным интеллектом и поддержкой пространственного звучания (spatial audio). Новинку оснастили новейшими процессорами Intel Core Ultra 7, гра...

Международная дорожная карта компании Xtep нацелена на взаимный успех в сотрудничестве с партнерами во всем мире В августе на конференции достижений, проходившей у Великой китайской стены в Пекине, компания Xtep International Holdings Limited («Xtep»), один из ведущих производителей спортивной одежды, запустила программу модернизации стратегии бренда «Китайские беговые кроссовки Xtep м...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

SAIC и GomSpace North America запустят мощный кубсат, объединяющий искусственный интеллект и машинное обучение Американский оборонный подрядчик SAIC заключил свой первый контракт с Пентагоном на интеграцию небольшого спутника в партнёрстве с производителем космических аппаратов GomSpace. Согласно контракту, стоимость которого не разглашается, SAIC будет разрабатывать и развёртыв...

Apple готовит к релизу процессор М4 Компания Apple, по информации сразу нескольких инсайдеров, активно работает над тем, чтобы выпустить процессор М4 нового поколения уже в этом году. На текущий момент эта информация официально никем не подтверждается и на самом деле сложно сказать будет ли производитель идти ...

Представлена новая версия легендарного Mercedes-Benz 190E Evo II. Объявлены цены Компания HWA под руководством Ханса Ауфрехта, одного из основателей AMG, представила первые изображения своего амбициозного проекта — возрождения легендарного автомобиля Mercedes-Benz 190E Evo II. Новый суперседан, получивший название HWA Evo, будет выпущен о...

Долю экспорта в структуре своих доходов увеличит производитель жестяной продукции ООО «Банкон» Жестяная продукция сегодня вполне может быть индикатором состояния рынка. Вопрос импортозамещения в области производства жестяных банок не стоит – все потребности производителей консервов закрыты российскими предприятиями. На российском рынке жестяных консервных банок объем ...

Роман Якутин: история успеха белорусского IT-предпринимателя Роман Юрьевич Якутин - выдающийся IT-предприниматель из Республики Беларусь, чье имя стало широко известно в мире технологий. Его путь к успеху начался еще в студенческие годы, когда он основал IT-компанию и запустил цифровой логистический стартап. Сегодня Роман Якутин являе...

Samsung хочет по примеру Apple превратить свои складные смартфоны в игровые консоли. Для этого компания сотрудничает с Epic Games, Krafton и другими Компания Samsung, видимо, тоже хочет превратить свои смартфоны в более игровые решения по примеру Apple. Для этого корейский гигант заручилась поддержкой таких гигантов, как Epic Games и Krafton.  Со вчерашнего дня в разных странах начали работу команды Samsung Ga...

Nvidia выходит на поле квантовых вычислений с облачным сервисом Quantum Cloud Nvidia запустила облачный сервис для квантовых вычислений, стремясь получить прибыль от области, которая получает финансирование по всему миру, несмотря на то, что в этой области до сих пор было создано мало приложений. По словам Тима Косты, директора по высокопроизводи...

Сервис Google Maps получил генеративный ИИ Компания Google делает достаточно важные шаги в своём развитии, интегрируя искусственный интеллект в практически все свои сервисы, чтобы повысить интерес со стороны аудитории. Например, компания предлагает генеративный искусственный интеллект в приложении Google Maps, которы...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Крупнейший в мире производитель микросхем TSMC построит второй завод в Японии Гигант по производству микросхем, Taiwan Semiconductor Manufacturing Company (TSMC), планирует увеличить свои производственные мощности в Японии, построив там второй завод

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

Microsoft разрабатывает серверное оборудование для ИИ, чтобы снизить зависимость от NVIDIA Агентство The Information сообщает, что Microsoft разрабатывает новую сетевую карту, которая должна повысить производительность серверного чипа Maia AI и снизить зависимость компании от решений NVIDIA. По информации источника, руководит проектом Прадип Синду (Pradeep Sindhu...

OnePlus Pad 2 готовится к релизу В феврале прошлого года компания OnePlus официально представила свой первый планшет под названием OnePlus Pad — с того времени прошло уже больше года, так что было бы логично выпустить вторую версию. И сегодня появились первые данные о том, что планшет OnePlus Pad 2 будет вы...

Xiaomi продаст 100 тысяч SU7 за текущий год Недавно компания Xiaomi официально вышла на рынок автомобилей со своим электрическим транспортным средством SU7, который мгновенно привлёк внимание аудитории благодаря дизайну, характеристикам и низкой стоимости. А теперь производитель заявил, что планирует только за первый ...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

iOS 18 будет делать упор на ИИ-технологиях Буквально вчера вечером компания Apple официально объявила дату своего следующего крупного мероприятия — 10 июня начинается ежегодная конференция компании для разработчиков WWDC, на которой, как ожидается, будет представлена iOS 18, релиз которой должен состояться осенью тек...

Импортозамещение по-китайски. 10 000 компьютеров на китайских процессорах Longsoon были поставлены в 50 школ города Хэби Китайская компания Longsoon, известная своими достаточно неплохими процессорами собственной разработки, как сообщается, получила достаточно крупный государственный заказ.  Управление города Хэби закупило 10 000 компьютеров для 50 городских школ, и это ПК на основе...

Оказывается, новые процессоры Intel поддерживают уникальную функцию APO, ускоряющую игры на 20-30% Процессоры Intel Core 14-го поколения (Raptor Lake Refresh) не могут похвастаться новой архитектурой или техпроцессом, они не поддерживают Thunderbolt 5, но оказалось, что они всё же могут предложить пользователям кое-что, чего нет у предшественников. Речь о функции Int...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Samsung зарегистрировала торговую марку AI Phone Вчера от западных журналистов появилась информация о том, что компания Samsung подала патент не только на название Samsung Glasses для нового шлема виртуальной реальности, но и на названия AI Phone и AI Smartphone. Вероятно, дело в том, что корейский гигант планирует внедрит...

Китайская компания SMIC скоро запустит производство 5-нм чипов. На этот раз по-настоящему Примерно месяц назад мы публиковали статью «Чуда не произошло: "китайский" 5-нм процессор Kirin 9006C выпускала фабрика TSMC». В ней говорилось о том, что на самом деле чип Kirin 9006C, который установила Huawei в своём ноутбуке, произведён не в Китае, его поставила в Поднеб...

Австралийская космическая компания Gilmour Space привлекла $55 000 000 и готовится к серии запусков Австралийская компания Gilmour Space, специализирующаяся на разработке ракетных технологий, привлекла $55 000 000 в рамках раунда финансирования. Эти средства будут направлены на поддержку первых четырёх попыток запуска ракеты на орбиту. Благодаря этому вложению оценка ...

JA Solar сохранил категорию инвестиционной привлекательности ААА в рейтинге PV ModuleTech Компания PV Tech опубликовала рейтинг инвестиционной привлекательности за третий квартал 2023 года, согласно которому компания JA Solar вновь получила высшую категорию ААА благодаря стабильно высоким финансовым показателям, постоянным технологическим инновациям и надежному к...

Colorful представила видеокарты со скрытым разъёмом питания Сегодня компания Colorful расширила свою линейку видеокарт iGame Ultra Z, которая предоставляет возможность достаточно аккуратно расположить кабели питания внутри системы. Стоит напомнить, что несколько месяцев назад компания уже запустила в продажу свои передовые видеокарты...

Сначала USB-C, а теперь это? ЕС призывает Apple призывает открыть свою экосистему для конкурентов Комиссар Евросоюза по вопросам внутреннего рынка Тьерри Бретон публично призвал генерального директора Apple Тима Кука открыть экосистему аппаратного и программного обеспечения компании для конкурентов. После личной встречи с Куком в Брюсселе Бретон сказал следующее: &l...

iPhone 16 Pro получит корпус с глянцевым покрытием В прошлом году компания Apple выпустила смартфоны iPhone 15 Pro и 15 Pro Max с титановыми рамками с матовой отделкой, что понравилось многим покупателям, потому что смартфон удобно держать в руке. Согласно новому слуху, смартфоны серии iPhone 16 Pro сохранят титановый корпус...

Huawei Pura 70 полностью независим от иностранных технологий Компания Huawei совсем недавно представила серию смартфонов Pura 70 в Китае, предоставив пользователям смартфон, который практически полностью не зависит от иностранных компонентов. Согласно отчёту специалистов из данной области, новые смартфоны компании содержат больше комп...

Производителей ARM-процессоров становится больше: теперь и AMD с Nvidia. А вот у RISC-V проблемы ARM-архитектура год от года становится всё популярнее. Сейчас уже не только Apple, но и другие крупные компании используют её для разработки современных чипов для ноутбуков и ПК. На днях стало известно о том, что AMD и Nvidia независимо друг от друга занялись разработкой име...

Apple представит новые iMac до конца октября В прошлом журналист издания Bloomberg Марк Гурман говорил о том, что в этом году компания Apple не будет делать никаких крупных анонсов. Однако в новом выпуске своей новостной рассылки под названием Power On инсайдер неожиданно сообщил о скором выходе новых iMac. По словам Г...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Как правильно выбрать смартфон на процессоре Snapdragon и не прогадать После прочтения текста о главном обмане процессоров MediaTek у многих людей могло возникнуть впечатление, будто в чипах MTK сложно разобраться, ведь тайваньская фирма то и дело перевыпускает старое железо. Однако путаница возникает и при беглом взгляде на процессоры Snapdra...

Moondrop MIAD 01 — музыкальный смартфон, который получит не только разъём 3,5 мм, но и балансное подключение посредством разъёма 4,4 мм Компания Moondrop, известная своей Hi-Fi аудиотехникой, представила свой первый смартфон. Конечно же, музыкальный смартфон.  Анонс пока предварительный. Нет ни даты выхода, ни большей части параметров. Называется новинка MIAD 01, где первое слово расшифровывается,...

Ядро Cortex-X5 от ARM потребляет слишком много энергии Сегодня появилась интересная информация о том, что производительные ядра Cortex-X5 от ARM испытывают серьёзные проблемы с высоким энергопотреблением при повышенных частотах и демонстрируют незавидную производительность в многоядерном режиме при снижении максимального предела...

«Apple пощадит бесчисленное количество животных и поможет смягчить последствия климатической катастрофы». PETA объявила Apple «Компанией года 2023» Организация «Люди за этичное обращение с животными» (PETA) назвала победителей своей награды 2023 года, высоко оценив организации, которые, по ее мнению, подают пример другим в вопросах окружающей среды и жестокого обращения с животными. В 2023 году Apple бы...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Новый процессор Huawei с ядрами Taishan V120 показал приличную производительность Появились новые результаты тестов будущего серверного процессора Huawei, показывающие уровни производительности, которые конкурируют с ядрами AMD Zen 3. Процессор был замечен на платформе Geekbench, хотя его точное название пока держится в секрете. Тем не менее, его про...

Красноярская компания запустила новый стенд для испытания гидроцилиндров Гидроцилиндры «UNOHYDRAULIC» — динамичный развивающийся бренд. Фирма более десяти лет успешно занимается разработкой и  производством качественных отечественных аналогов зарубежных гидроцилиндров — Rexroth Bosch Group, Parker, Hydac, Duplomatic, Hydoring, Hercules, и д...

Samsung Electronics представила память нового поколения HBM4 Сегодня компания Samsung Electronics официально объявила о своих планах запустить массовое производство памяти HBM4 в 2025 году. Представители компании объяснили, что это позволит им разнообразить предоставляемые услуги для компаний-партнёров и удовлетворить потребности инду...

Microsoft готовит ноутбуки на базе ИИ Если верить информации иностранных журналистов, компания Microsoft намерена провести фирменное мероприятие в Сиэтле уже 20 мая, чтобы подробно изложить своё видение «AI PC» (речь про компьютер на базе искусственного интеллекта). Это событие состоится за день до начала «Конфе...

Firefly Aerospace и Lockheed Martin запустят технологию, позволяющую ускорить запуск космических аппаратов Новая технология, разработанная компанией Lockheed Martin, названная Tantrum, открывает новые возможности для эффективного запуска и настройки спутников. В рамках сотрудничества с компанией Firefly Aerospace, они разработали инженерный образец, который сократит время, н...

MediaTek выпустит самый большой мобильный процессор с более 30 млрд транзисторов Компания MediaTek готовит к выпуску свой следующий флагманский чипсет Dimensity 9400, который может похвастаться революционным размером кристалла.

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)