Социальные сети Рунета
Вторник, 21 мая 2024

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Китайская SMIC увеличила закуп тайваньского сырья в ожидании новых санкций Китайская SMIC (Semiconductor Manufacturing International Corporation) разместила у своих тайваньских партнеров значительные заказы на сырье, эквивалентные примерно двухлетнему запасу. Создание запасов материалов рассматривается компанией как упреждающая мера, направленная н...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Производство композиционных материалов в Томске увеличилось в 1,2 раза Научно-производственная компания «Полимер-компаунд» в Томске увеличила производство композитных материалов благодаря поддержке Фонда развития промышленности. Новое отделение будет заниматься производством материалов для различных отраслей, таких как кабельная, электротехниче...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Тайваньская TSMC стала флагманом производства чипов по объёму выручки Тайваньский финансовый аналитик Дэн Нистедт привёл статистику, согласно которой один из мировых производителей полупроводников, компания TSMC, стала лидером отрасли не только по объёму поставок, но и выручки.

Так выглядит новый сокет LGA1851 для будущих процессоров Intel Core (Ultra) 200 (Arrow Lake-S): первое качественное фото На выставке Embedded World 2024 состоялась премьера первых материнских плат с разъемом LGA1851. В частности, на фото ниже показана плата Mi 1002 разработки тайваньской iBase. Она рассчитана на новые CPU Meteor Lake PS — те же мобильные Intel Core Ultra 14 поколени...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

AMD удаляет тайваньскую торговую марку с процессоров AMD удалила упоминания о тайваньском происхождении в маркировке процессоров Ryzen, но политика здесь ни при чем

В России научились производить алмазные пластины для электроники Российские учёные разработали технологию производства алмазных пластин для электроники

Планшет iQOO Pad 2 Pro будет оснащён новейшим чипсетом Dimensity 9300 Plus Этот чипсет улучшенная версия оригинального Dimensity 9300, которому повысили рабочие частоты и оптимизировали производительность ИИ.

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Тестирование Intel Core i5-13400F для платформы LGA1700: неоднозначный бюджетный процессор без встроенной графики Один из недорогих процессоров компании Intel отличается достаточно большим количеством ядер и потоков, но из-за применения старой архитектуры он не получил увеличенного объема кэша, как старшие представители текущей линейки, и потому не настолько силен, как хотелось бы. Тем ...

Китайцы попрощались с Mitsubishi. Вместо японской марки теперь Hunan Zhixiang Automobile Mitsubishi ушла из Китая, а единственное совместное предприятие, производившее машины для местного рынка, — GAC Mitsubishi Motors — официально сменило название Hunan Zhixiang Automobile. При этом Mitsubishi Motors Corporation и Mitsubishi Corporation не явля...

Huawei сосредоточится на производстве своих ИИ-чипов вместо смартфонов Mate 60 Компания Huawei планирует увеличить производство своего ИИ-чипа Ascend 910B, за счет снижения выпуска смартфонов Mate 60 на одном из своих предприятий. Это решение вызвано низкой производительностью на заводе, где производятся и Ascend и Kirin чипы, используемые в смартфонах...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Компания Alphacool представила новую пластину Apex Distro Plate Y60 для корпуса HYTE Y60 Пластина обеспечивает оптическое обновление и имеет премиум-классные материалы

Google работает над переходом к полностью собственному производству чипов Tensor Google решила полностью перейти на собственное производство чипов Tensor, о чём говорят последние контракты компании с тайваньскими TSMC и King Yuan Electronics Corp. (KYEC).

Как отключить заплатки в процессорах Intel и увеличить производительность на 40% Энтузиасты выяснили, как можно отключить большую часть заплаток, закрывающих уязвимости Downfall, Zombieload V2, Spectre и Meltdown, вернув процессорам изначальную производительность.

MediaTek Dimensity 9300 приписывают CPU с частотой до 3,25 ГГц и 12-ядерный GPU Тайваньская компания MediaTek, скорее всего, представит свой чипсет Dimensity 9300 в текущем месяце. Данной новостью поделился информатор Digital Chat Station, который также раскрыл некоторые характеристики новой SoC на китайской платформе Weibo. Как сообщается, Dimensity…

Huawei Kirin 9000S оказался сильно слабее конкурентов Возвращение компании Huawei на рынок смартфонов с чипом Kirin 9000S в сети назвали настоящим чудом, но это не отменяет того факта, что этот процессор значительно медленнее конкурентов. Это было вполне ожидаемо, поскольку SMIC, партнёр Huawei по производству, в настоящее врем...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

AMD убрал тайваньскую маркировку с процессоров и оценил причастность Китая Удивив многих, AMD удалила все маркировки, указывающие на то, что ее процессоры Ryzen 7000 для настольных ПК производятся в Тайване. Впоследствии компания пояснила, что это решение было принято не для того, чтобы «умиротворить» КНР, которая уже давно пытается заставить компа...

Huawei Pura 70 Ultra получил чипсет Kirin 9010 Новый процессор Huawei значительно превосходит по производительности предшественника, несмотря на очень схожий дизайн и архитектуру

Тайваньские компании отрицают помощь Huawei в производстве чипов Topco Scientific и UIS отрицают нарушение санкций США против Huawei

Московские производства увеличили выпуск комплектующих для электротранспорта Министр правительства Москвы Владислав Овчинский сообщил о росте производства комплектующих для электротранспорта в столице. По его словам, московские компании активно развивают производство инновационных зарядных станций и новых видов аккумуляторных батарей. По итогам прошл...

TSMC приостановила производство чипов после землетрясения на Тайване Тайваньская компания по производству полупроводников (TSMC), крупнейший в мире производитель современных чипов, остановила работу некоторых машин по производству микросхем и эвакуировала персонал после крупнейшего землетрясения, произошедшего на острове. Это может вызвать…

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Тестирование выявило низкую производительность чипсета Kirin 9010 Тесты показывают, что Kirin 9010 на Pura70 Ultra хуже, чем чипсеты среднего класса последнего поколения, такие как Snapdragon 7+ Gen 2.

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

MediaTek объединилась с TSMC ради 3-нм чипсета Генеральный директор MediaTek рассказал о сотрудничестве с TSMC по созданию 3-нм чипсетов нового поколения. Приготовьтесь к появлению Dimensity 9400 — мощного чипа, который, по слухам, изменит производительность смартфонов.

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Рост потребления пластин NAND у Samsung, но компания сохраняет осторожность Samsung наращивает производство пластин NAND, но при этом сохраняет осторожность, опасаясь влияния на цены. Ожидается подорожание NAND в следующем квартале.

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Чипсет Kirin 9010 проигрывает по производительности даже чипсетам среднего уровня Похоже, что завоевать свою долю на рынке смартфоны Huawei Pura 70 смогут только адекватной ценой и качественными фотографиями.

Процессор Mediatek чипсета Dimensity 9300 поставил рекорд производительности в Antutu Впрочем, это уже не первый раз, когда эксперты отмечают, что решения от MediaTek оказываются интереснее некоторых аналогичных от американского чипмейкера. Читать полную статью

AMD планирует выпустить чипсет X870E вместе с процессорами Ryzen 9000 "Granite Ridge" Компания AMD планирует выпустить чипсет X870E, который будет использоваться с процессорами Ryzen 9000 "Granite Ridge"

MediaTek расширяет линейку Wi-Fi 7 новыми чипсетами для различных устройств Чипсеты Filogic второго поколения обеспечивают скорость Wi-Fi 7, максимальную производительность и постоянную надежность и подходят к смартфонам, ПК, телеприставкам и прочим

Какие процессоры чаще всего устанавливают в Android-смартфоны, и почему вы должны знать свой Процессор (чипсет) — это сердце смартфона. От него зависит не только производительность устройства, но и масса других показателей, включая автономность и качество фото. Но задумывались ли вы, какой процессор стоит в телефоне? Если нет, то абсолютно зря. Ведь информация о чи...

Samsung планирует запустить массовое производство 3-нм чипсета Exynos 2500 Будущий флагманский чипсет Exynos 2500 от Samsung превзойдёт по скорости работы текущие чипы.

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

TSMC начинает производство чипов для суперкомпьютера Tesla Dojo Тайваньский гигант полупроводниковой промышленности TSMC приступил к производству высокоинтегрированных чипов по технологии CoW-SoW для суперкомпьютера Tesla Dojo, предназначенного для обучения систем искусственного интеллекта.

Samsung Galaxy A55 разочаровал своей производительностью На прошлой неделе в сети появились первые результаты теста смартфона Galaxy A55 в Geekbench 6, но это были тесты, запущенные не на самом устройстве Galaxy A55, а на некой тестовой инженерной платформе. Но теперь ситуация изменилась, так как прототип Galaxy A55 тоже протестир...

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

Несмотря на «слабое» восстановление рынка, TSMC увеличила прибыль на 8,9% Тайваньский техногигант TSMC подтвердил свой оптимистичный прогноз на выручку и чистую прибыль в 2024 году. И это несмотря на заявление главы компании о том, что рынок технологий восстанавливается «слабо» и «медленно» даже на фоне высокого спроса на развивающийся ИИ.

Samsung намерена начать производство своего 3-нм чипсета Exynos во второй половине 2024 года О выпуске Samsung Galaxy S25, который ожидается в 2025 году, говорят уже давно. Наконец, новый чипсет Exynos, по слухам, поступит в массовое производство во второй половине 2024 года.

В iPhone 16 будет два вибромотора Taptic Engine Сетевые источники сообщают о том, что недавно компания Apple заказала у тайваньского производителя полупроводников увеличенную партию сенсорных кнопок. Точные объемы заказа неизвестны. Однако источники сообщают о значительном увеличении заказа. Предполагается, что именно сен...

Postgres Pro Shardman: горизонтальное масштабирование реляционных СУБД Последние несколько лет мы в Postgres Professional активно занимаемся разработкой своего решения для горизонтального масштабирования PostgreSQL. Пользователям нужен был простой способ увеличить производительность путем добавления узлов. Традиционно для веба в таких случаях п...

Теперь Intel обещает 20-40% прироста и даже больше, но хватит ли этого, чтобы догнать монстров AMD? В Сеть попал документ о CPU Xeon поколения Emerald Radips В первой половине следующего года Intel выпустит серверные процессоры Xeon поколения Emerald Radips, которые заменят Sapphire Rapids и будут самыми производительными CPU компании. Сегодня в Сети появились документы Intel, посвящённые этим процессорам.  Характерист...

AYANEO выпустила обновленную консоль AIR 1S с процессором Ryzen 7 8840U Интересно, что для игровой консоли такая замена ничего нового не приносит, так как новый процессор идентичен Ryzen 7 7840U, но с увеличенной производительностью искусственного интеллекта

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Тесты новейшего процессора Loongson 3A6000 Недавно был представлен 8-ядерный процессор следующего Loongson 3A6000 на базе ядра LA664, а знакомый энтузиаст смог приобрести плату на данном процессоре (модель процессора LS3A6000-HV и чипсет LS7A2000). Читать далее

Местные жители на севере Тайваня не дали TSMC запустить строительство нового завода Тайваньский производитель полупроводников, компания TSMC, не смогла запустить строительство нового завода по производству 1-нм чипов. Против этого выступили местные жители.

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Apple представила 3-нм чип M4 с улучшенной мощностью и энергоэффективностью На прошедшем сегодня мероприятии компания Apple анонсировала свой очередной фирменный процессор – Apple M4. Чип, созданный с использованием передовой 3-нм технологии второго поколения, обещает снова увеличить производительность и энергоэффективность устройств Apple. Apple M4...

Компания Sharp отказалась от производства Smart TV в пользу устройств с ИИ Японская компания Sharp — дочернее подразделение тайваньской Hon Hai Precision Industry, также известной как Foxconn, — объявила о прекращении производства телевизоров и сокращении производства телевизионных дисплеев.

MediaTek и Nvidia совместно разрабатывают ARM процессор для платформы Windows Производством чипов занимается TSMC, а выход в продажу запланирован на 2025 год.

Больше половины российских процессоров Baikal оказались бракованными «Байкал Электроникс» не может добиться высокого процента выхода качественной продукции, о чем пишет «Код Дурова». Российская компания, которая занимается производством отечественных процессоров, столкнулась с серьёзной проблемой качества. На сего...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Текущий глава Intel Пэт Гелсингер почти 40 лет назад фактически «расписался» на каждом процессоре Intel 80386, а обнаружили это лишь сейчас Текущий глава Intel Пэт Гелсингер (Pat Gelsinger), как оказалось, «расписался» на каждом процессоре Intel 80386 (или просто Intel 386).  Гелсингер изначально не был управленцем — он был, кроме прочего, одним из основных разработчиков культового п...

Производство и продажи высокотехнологичных чипов продолжают расти Тайваньская компания продолжает занимать первое место среди производителей

Ещё один тайваньский вендор откроет завод по производству чипов с ИИ Ещё один тайваньский чипмейкер, компания Powerchip Semiconductor Manufacturing Corp. (PSMC) построит новый завод по производству чипов и микросхем памяти для широкого спектра приложений, включая технологии ИИ. Но для этой компании строительство завода скорее не переход на но...

Китай собрался выпускать 1600-ядерные чипы размером с целую пластину Нарезать отдельные чипы из пластин больше не потребуется

Чипсет MediaTek Dimensity 9400 оказался быстрее предшественника на 24% Недавно инсайдер @DigitalChatStation опубликовал данные о результатах тестирования флагманского чипсета MediaTek Dimensity 9400, который демонстрирует значительный рост производительности по сравнению с предшественником 9300 и, как ожидается, бросит вызов доминированию Qualc...

Какие процессоры получат смартфоны Samsung линейки Galaxy S25 Samsung продолжит использовать два разных процессора в своих флагманских смартфонах. Galaxy S25, как и S24, будут доступны с чипсетами Exynos 2500 и Snapdragon 8 Gen 4.

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Microsoft разрабатывает серверное оборудование для ИИ, чтобы снизить зависимость от NVIDIA Агентство The Information сообщает, что Microsoft разрабатывает новую сетевую карту, которая должна повысить производительность серверного чипа Maia AI и снизить зависимость компании от решений NVIDIA. По информации источника, руководит проектом Прадип Синду (Pradeep Sindhu...

В списке Geekbench найдена глобальная версия Realme 12+ 5G с чипсетом Dimensity 7050 Согласно сайту Geekbench, Realme 12+ 5G будет иметь процессор с номером модели MT6877V/TTZA, что соответствует мобильному процессору MediaTek.

Intel официально представила процессоры Core Ultra 100 Meteor Lake Одним из основных новшеств новой серии является увеличенная мощность встроенной графики, сравнимой по производительности с iGPU Radeon

Intel официально представила процессоры Core Ultra 100 Meteor Lake Одним из основных новшеств новой серии является увеличенная мощность встроенной графики, сравнимой по производительности с iGPU Radeon

Всё готово, Ryzen 9000 можно выпускать. Некоторые системные платы на чипсетах 600-й серии уже поддерживают грядущие процессоры Похоже, запуск процессоров AMD Ryzen нового поколения действительно уже не за горами. Как минимум оказалось, что выпущенные раннее в этом месяце версии BIOS для ряда системных плат уже поддерживают грядущие CPU.  Это касается как минимум плат Asus и MSI на чипсета...

Intel винит во всём производителей системных плат и ПК. Компания сделала первое заявление относительно проблем с её топовыми процессорами Компания Intel сделала первое заявление относительно сложившейся ситуации с замедлением топовых CPU на фоне проблем в играх и приложениях.  Intel заметила, что эта проблема может быть связана с условиями эксплуатации, выходящими за пределы технических характеристи...

Мини-ПК Minisforum UM790 XTX появился в продаже Компания Minisforum дала старт китайским продажам компактного ПК UM790 XTX, который доступен в качестве Barebone-системы или готового ПК. Конфигурация с 8-ядерным процессором AMD Ryzen 9 7940HS, 16 ГБ оперативной памяти DDR5-5600 и твердотельным накопителем вместимостью 512 ...

Процессор Snapdragon 8 Gen 4 с ядрами Oryon появится осенью 2024 года Компания Qualcomm объявила о сроках появления своего следующего процессора для смартфонов, который, как мы уже знаем, будет оснащен совершенно новыми технологиями, которые должны кардинально изменить производительность наших смартфонов.

ProLogium открыла первый завод по массовому производству твердотельных батарей для электромобилей Тайваньская компания ProLogium открыла завод по производству твердотельных батарей для электромобилей. Mercedes-Benz планирует использовать эти батареи в своих автомобилях к 2030 году.

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

Резидент «Сколково» увеличил производительность одного из крупнейших грибных комбинатов на 30% Компания «ИТэра», резидент «Сколково», успешно завершила первую очередь комплексной модернизации НПО «Биогрин» в Самарской области, крупнейшего на сегодня в России грибного комбината полного цикла по производству экзотических грибов по стерильной технологии.

Последняя прошивка BIOS для MSI Claw увеличила производительность в играх до 44% Портативный компьютер MSI Claw становится лучше: обновления BIOS и новые драйверы графических процессоров Intel помогают повысить производительность в играх на 44 %

Портативная игровая приставка AyaNeo Pocket S поступила в продажу Компания AyaNeo выпустила в продажу Pocket S – портативную игровую приставку на базе Android. Устройство оснащено SoC Qualcomm Snapdragon G3x Gen 2, которая обеспечивает на 30% большую производительность CPU, а мощность графического процессора Adreno A32 увеличены вдвое по…

«Ростех» сообщил о запуске серийного производства электродвигателей для БПЛА Заниматься производством будет концерн «Радиоэлектронные технологии».

Intel 10A представят в 2028 году Сегодня компания Intel официально анонсировала передовой технологический процесс под названием 10A (на деле это 1 нм) — производитель планирует его внедрение примерно в 2028 году, но пока что это только предположение. Кроме того, стоит понимать, что значит, что компания Inte...

Intel, а это действительно должно интересовать потребителей? Компания рассказала о процессорах Lunar Lake, но говорила только о производительности в задачах ИИ На прошедшем сегодня мероприятии Vision 2024 компания Intel не только представила настольные процессоры Core Ultra поколения Meteor Lake, но и раскрыла подробности о Core Ultra 200V поколения Lunar Lake, которые выйдут в конце текущего года.  К сожалению, сегодня ...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

“Made in China”: легким движением руки процессоры Intel Xeon превращаются в китайские чипы Китайские бренды не раз были замечены за копированием западных и не только разработок, будь то дизайн одежды или технологии. И речь не только о дешевых копиях вещей с Aliexpress, с которыми каждый из нас сталкивался, в отрасли производства и разработки электроники такое тож...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Наконец-то AMD вернётся к наращиванию количества ядер у своих процессоров. В Сети засветился APU Ryzen поколения Strix Point с 12 ядрами В базе Geekbench засветился мобильный процессор AMD линейки Strix Point. Новинка пока не имеет имени и скрывается за кодом 100-000000994-14_N. ПО подтверждает последние слухи и утечки о том, что количество ядер у процессоров Strix Point будет увеличено с текущих восьми...

Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов ИИ Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов искусственного интеллекта

Intel Core Ultra 200V предложит производительность ИИ более 100 TOPS Компания утверждает о трехкратном росте производительности при работе с ИИ в новых процессорах линейки Lunar lake

Apple планирует значительно увеличить производительность ядер Neural Engine в новом процессоре A18 В iPhone 16 значительно улучшат ядра Neural Engine

MediaTek показала Dimensity 8300. Дешевый чип с флагманскими возможностями ИИ Компании Qualcomm и MediaTek уже анонсировали флагманские процессоры для смартфонов с поддержкой функций генеративного искусственного интеллекта. Как обычно, технологии заходят в наш мир с верхних сегментов, но рано или поздно опускаются в средний и бюджетный. В этот раз эт...

ARM-процессоры заинтересовали большее число производителей: у RISC-V проблемы Благодаря своей энергоэффективности и производительности архитектура ARM становится все более популярной в мире разработки микросхем такими крупными технологическими компаниями, как Apple. Так, и AMD, и Nvidia, признали преимущества архитектуры и осваивают производство проце...

Новым процессорам Intel Core 14-го поколения можно будет разрешить работать при температуре до 115 градусов. Как минимум на платах MSI Процессоры Alder Lake и Raptor Lake выделяются очень большим энергопотреблением и нагревом. Raptor Lake Refresh из-за повышенных частот и отсутствия каких-то изменений в архитектуре будут потреблять и греться ещё больше. И при желании в некоторых случаях можно будет поз...

Новые 8-ядерные процессоры Loongson будет иметь производительность 12-го поколения Intel Core i7 Генеральный директор компании утверждает, что производительность этих новых процессоров может увеличиться до 50% по сравнению с предыдущими моделями.

Ryzen 7 8840U без труда кладёт на лопатки Core Ultra 7 155H, и речь не о CPU или GPU. AMD поделилась тестами блоков NPU Компания AMD снова хвастается производительностью блока NPU в своих мобильных процессорах Ryzen 8000, сравнивая их с новейшими Core Ultra.  AMD сравнила Ryzen 7 8840U с Core Ultra 7 155H в тестах, связанных с большими языковыми моделями. В чате Llama v2 APU Ryzen ...

Основатель TSMC рассказал о возрождении в Японии производства чипов Основатель тайваньской полупроводниковой компании TSMC Моррис Чанг побывал в качестве почётного гостя на церемонии открытия первого завода компании в Японии. По его словам в Японии произойдёт «ренессанс чипов».

Intel исследует возможность производства квантовых процессоров на основе кремния Корпорация Intel занимается исследованием квантовых процессоров на основе кремния с целью создания масштабируемых устройств.

Arctic представила башенный кулер Freezer 36 Сегодня компания Arctic официально представила свой новый башенный процессорный кулер Freezer 36, готовый для сокета LGA 1851 (новое поколение процессоров Intel будет поставляться уже на нём). При этом стоит понимать, что башенный процессорный кулер Arctic Freezer 36 совмест...

Мощности Huawei ограничены. Смартфоны Huawei Mate 60 не выйдут даже на тайваньском рынке Серия смартфонов Huawei Mate 60 вызвала ажиотаж после анонса, смартфоны активно раскупают. При этом официальные лица Huawei подтвердили, что серия Mate 60 не будет выпущена на зарубежных рынках. На вопрос о том, есть ли у серии Mate 60 шанс появиться хотя бы на тайваньс...

Vivo представляет доступный смартфон Y38 5G Компания Vivo анонсировала Vivo Y38 5G, смартфон с поддержкой 5G, чипсетом Snapdragon 4 Gen 2 и мощным 6000 мАч аккумулятором, предлагая отличную производительность и большую автономность.

Мировые поставки игровых видеокарт GeForce падают. Возможно, из-за роста объёмов производства ускорителей Nvidia для ИИ Похоже, ситуация с дефицитом игровых видеокарт GeForce из-за акцента Nvidia на ускорителях для ИИ не просто возможна, а уже имеет место.  Как сообщается, мировые поставки игровых графических процессоров Nvidia начали резко сокращаться. Ссылаясь на источники в тайв...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Samsung готовит новые смарт-часы Galaxy Watch 7 с улучшенной производительностью Galaxy Watch 7 могут быть доступны в вариантах Classic, Pro и Ultra с чипсетом Exynos W940.

Asus и Acer начнут развивать производство в Индии из-за ужесточения импорта Тайваньские компании Acer и Asustek Computer намерены всерьёз «застолбиться» в Индии и наладить полноценные производственные цепочки. Это связано, среди прочего, с тем, что Индия ужесточает условия импорта ради развития внутренней промышленности и рынка.

Новая версия Lada Niva Travel выйдет уже в июне АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с обновленной мультимедийной системой Lada EnjoY Pro в конце мая, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, Lada Niva Tra...

Intel готовит ещё 3 процессора нового поколения без индекса К Буквально вчера вечером компания Intel официально представила свои совершенно новые процессоры, которые на самом деле оказались процессорами прошлого года, но с повышенной тактовой частотой производительных ядер. Это, безусловно, разочаровало многих пользователей, так как ге...

Intel показала работу встроенного GPU процессора Meteor Lake в игре Dying Light 2 с XeSS Использование технологии XeSS позволяет увеличить производительность встроенной графики до 80 процентов

Решения для крупноформатного аддитивного производства от Kings 3D Компания Kings 3D основана в 2015 году. Сегодня это национальное высокотехнологичное предприятие, занимающееся исследованиями и конструкторскими работами, производством и применением аддитивного оборудования. Kings 3D — один из немногих китайских производителей, выпускающих ...

АвтоВАЗ увеличит выпуск автомобилей до 500 тысяч штук Президент АвтоВАЗа, Максим Соколов, объявил о планах компании увеличить производство автомобилей до 500 тысяч в следующем году. На итоговом заседании ООО "СоюзМаш России" в Челябинске он подчеркнул, что текущий план компании на 2023 год составляет около 400 тысяч автомобилей...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Флагманский смартфон Meizu 21 Pro готов к выходу Компания Meizu уже в марте этого года выпустит флагманский смартфон Meizu 21 Pro, который присоединится к представленному в прошлом году Meizu 21. Об этом сообщает авторитетный китайский инсайдер Smart Pikachu. Смартфону приписывают увеличенный AMOLED-дисплей с разрешением 2...

Snapdragon 8 Gen 4, возможно, будет работать на частоте 4,26 ГГц Snapdragon 8 Gen 4, новый чипсет компании Qualcomm, будет иметь редизайн и частоту до 4,26 ГГц, что поможет ему соперничать с чипсетами серии A от Apple.

Пластины большего размера в модулях Astronergy ASTRO N7 удешевят солнечные электростанции Компания Astronergy, первопроходец в области производства фотоэлектрических модулей TOPCon n-типа, объявила о пополнении серии модулей ASTRO N7 TOPCon новыми линейками изделий на 66 прямоугольных ячейках, которые готовы к запуску в серийное производство и предлагают клиентам...

Google Pixel 9 получит медленный процессор В базе данных популярного бенчмарка Geekbench 5 обнаружился смартфон Google на базе фирменной платформы Tensor G4. Именно этот процессор будет использоваться во флагманах Google Pixel 9 и Pixel 9 Pro, которые представят осенью. Аппарат набрал 1082 балла в однопоточном испыта...

Ни у кого сейчас нет ПК, соответствующего этим требованиям. Для локального запуска Copilot компьютеры класса AI PC будут должны иметь NPU мощностью 40 TOPS Мало того, что компании уже сравнивают свои процессоры по производительности блоков NPU, так вскоре этот показатель может стать ещё и ограничивающим фактором в Windows. Intel на мероприятии Intel AI Summit рассказала, что у ИИ Windows Copilot будет требование к производ...

В сеть попало изображение пластины с чипами Intel Xeon Granite Rapids Данные процессоры будут выпускаться по новому технологическому процессу Intel 3 и включать новые производительные ядра Redwood Cove

Показан дизайн смартфонов OPPO Reno 11 Series с чипсетами Dimensity 8200 и Snapdragon 8+ Gen 1 Будущий смартфон Reno 11, как ожидается, будет работать на чипсете Dimensity 8200, в то время как Reno 11 Pro будет оснащен чипсетом Snapdragon 8+ Gen 1.

Qualcomm анонсировала процесоры Snapdragon X для устройств с Windows on ARM Qualcomm анонсировала новое поколение десктопных ARM-процессоров под названием Snapdragon X. Известно, что они будут основаны на ядрах Oryon от компании Nuvia, которую Qualcomm приобрела в 2021 году. Интересный факт — Nuvia основана бывшими инженерами Apple, работавшими над...

Apple представила новые MacBook Air на чипе M3 Компания Apple представила новое поколение ноутбуков MacBook Air. Модели MacBook Air с 13,6- и 15,3-дюймовым дисплеем Liquid Retina снабжены чипом M3, который позволил увеличить производительность ноутбука на 60% в сравнении с моделью на процессоре M1. Время автономной работ...

iPhone 16 может оказаться без физических кнопок По данным источников, тайваньская компания Advanced Semiconductor Engineering (ASE) заключила эксклюзивный контракт на производство чипов SiP (System-in-Package), которые заменят физические кнопки в новых iPhone.

Новый планшет Microsoft Surface Pro на Snapdragon X оценен в $1000 Компания Microsoft пополнила ассортимент планшетов моделью Surface Pro, которая основана на мощных процессорах Qualcomm Snapdragon X Plus и X Elite. Последние могут похвастаться возросшей до 90% производительностью по сравнению с моделью предыдущего поколения. Новинку также ...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 2024 году Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 30 миллионов пластин в месяц в 2024 году

«Ведомости»: Больше половины производимых в России процессоров «Байкал» оказываются бракованными Раньше «Байкал Электроникс» пользовались услугами тайваньской компании TSMC, но после ввода санкций пришлось привлекать российских специалистов, у которых недостаточно опыта для корпусирования чипов.

TSMC может получить больше ядерной энергии, если правительство Тайваня внесёт поправки в законодательство Компания TSMC является крупнейшим в мире производителем полупроводниковой продукции. А ещё это самый большой потребитель энергии на Тайване. Масштабы производств в рамках страны таковы, что законодатели готовы изменить действующие правила, регулирующие ядерную энергетик...

Samsung добилась большого прогресса в оптимизации SoC Exynos 2400 Новый чипсет будет построен с использованием 3-нм техпроцесса, который позволит повысить производительность и энергоэффективность.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Теперь Intel сравнивает свои CPU не с чипами AMD, а со Snapdragon. Процессоры Lunar Lake выйдут уже в третьем квартале Процессоры Intel Lunar Lake действительно выйдут раньше, чем считалось ранее. Intel подтвердила запуск в третьем квартале текущего года.  Процессоры Lunar Lake-MX ориентированы на мобильные ПК, причём не самые мощные. Это процессоры, которые с самого начала разраб...

Список смартфонов, которые будут работать на новом чипсете Snapdragon 8 Gen 3 В связи с тем, что был выпущен новейший чипсет Snapdragon 8 Gen 3 некоторые компании уже успели официально подтвердить его применение в своих устройствах. Поэтому представлен небольшой список подтверждённых смартфонов.

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Стартап Evolution Space открывает центр производства твердотопливных ракетных двигателей в NASA Stennis Space Center Стартап Evolution Space, занимающийся разработкой твердотопливных ракетных двигателей, подписал соглашение о создании производственно-испытательных операций на космическом центре NASA в Стеннисе. 10 октября компания объявила, что достигла соглашения о создании производс...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Они не помогают Huawei, а «предлагают услуги по очистке сточных вод». Власти Тайваня проверят компании, обвиняемые в нарушении санкций Власти Тайваня проведут проверку четырех местных корпораций после появления информации о том, что они помогают Huawei Technologies в формировании инфраструктуры производства чипов в Китае. При этом министр экономики Тайваня уже заявил, что никаких нарушений торговых сан...

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

Redmi K70E на чипсете Dimensity 8300 Ultra выйдет до конца ноября Новинка может стать первым представителем серии Redmi K70, но будет иметь отличную производительность

Samsung и тайваньская TSMC внезапно отложили запуск производства чипов в США Южнокорейская Samsung и тайваньская TSMC отложили запуск производства чипов в США на своих заводах. Это стало неожиданностью как для технологического сообщества, так и для Белого дома.

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Один из первых ноутбуков с процессором AMD Ryzen 8040: Acer Nitro V 16 Компания Acer представила ноутбук Nitro V 16, оснащенный процессором AMD Ryzen 8040. Будучи одним из первых ноутбуков, оснащенных этим новейшим процессорам обещает приятный опыт геймерам с акцентом на «производительность и длительное время автономной работы».

Apple купила французскую фирму, занимающуюся ИИ и компьютерным зрением Недавно выяснилось, что французская компания по производству искусственного интеллекта Datakalab была приобретена Apple в декабре.

Китайская компания Loongson заявила, что её новые процессоры не уступают Intel Core 10-го поколения По словам компании, по одноядерной производительности её CPU находятся примерно на одном уровне с процессорами Intel Core 10-го поколения.

Realme GT Neo 6 SE получит процессор Snapdragon 7+ Gen 3 При этом ожидается, что версия Realme GT Neo 6 будет оснащена выходящим чипсетом Snapdragon 8s Gen 3.

Иностранные инвесторы массово покидают тайваньский рынок акций На фоне прогнозов TSMC произошел рекордный отток капитала с тайваньского фондового рынка

Графический процессор Apple M4 не дает прироста по сравнению с M3 Хотя разница между процессорами может быть значительна, утверждается, что производительность графического процессора M4 и M3 одинакова.

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Compal переносит производство из Китая в Европу и другие страны Тайваньский производитель электроники Compal ищет пути выхода на европейский рынок.

Sapphire выпускает первую AM5 Mini-ITX плату Nitro+ B650I WIFI Ultra Platinum Компания из Гонконга Sapphire анонсировала компактную материнскую плату Nitro+ B650I WIFI Ultra Platinum на новом чипсете AMD B650 для процессоров Ryzen 7000.

Игры на системах с процессорами Intel Core 13 и Core 14 наконец-то перестанут «вылетать»? Asus подготовила важное для геймеров обновление BIOS Asus выпустила важное обновление не только для системных плат на чипсетах AMD серии 600, но и для моделей на чипсете Intel Z790. Новшество — функция под названием Intel Baseline Profile, которая, по сути, откатывает систему к базовым настройкам, повышая стабильнос...

Процессоры Intel Xeon Granite Rapids получат до 480 МБ кэш-памяти L3 Увеличение кеша должно повысить производительность будущих процессоров Intel и помочь сократить разрыв с конкурирующими решениями от компании AMD

Новый процессор Intel Core Ultra 7 155H разочаровал своими производительностью и потреблением Новинка уступает конкурирующему решению компании AMD как в общей мощности процессора так и в энергоэффективности

Производители DRAM стали скрывать цены после землетрясения на Тайване Повреждение завода по производству пластин на Тайване из-за землетрясения спровоцирует перебои с поставками чипов памяти и рост цен.

Toyota начинает производство твердотельных батарей и увеличит запас хода электромобилей до 1200 км Увеличенный запас хода и быстрая зарядка - вот лишь некоторые из преимуществ

Компания Textron Systems начала поставлять SECAT - новый военный транспорт-амфибию США Американская компания Textron Systems, занимающаяся разработкой и производством аэрокосмической и оборонной продукции, начала производство нового высокоскоростного транспортного средства-амфибии SECAT.

Intel выиграла в суде и не будет платить 2 млрд долларов Компания Intel, ведущий производитель процессоров в мире, добилась значительной победы в суде — сегодня суд апелляционной инстанции отменил штраф в размере 2,18 миллиарда долларов, наложенный на неё в 2021 году. Этот штраф был связан с основными компонентами систем управлени...

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Россия на 250% увеличила производство реактивной установки ТОС-1А "Солнцепек" Уралвагонзавод увеличил производство ТОС-1А Солнцепек в ответ на повышенный спрос со стороны ВС РФ в условиях конфликта на Украине.

MediaTek представляет чипсет Dimensity 8250 5G с ИИ-процессором APU 580 4-нм чип оснащён восьмиъядерным процессором с одним высокопроизводительным ядром Arm Cortex-A78 с тактовой частотой 3,1 ГГц.

MediaTek стала лидером по поставкам чипсетов для смартфонов в 2023 году За прошедший год компания продала более 100 миллионов своих процессоров

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Производительность процессоров AMD Zen 5 может вырасти на 40% в сравнении с Zen 4 Процессоры из линейки Ryzen 9000, которые будут использовать данные ядра, выйдут уже в этом году

Google перенести производство чипсетов Tensor из Кореи на Тайвань Samsung может лишиться крупного заказчика уже в будущем году, но Tensor 4 для Pixel 9 будет производиться в Корее.

Появились первые тесты процессора AMD Ryzen 7 7840S Сегодня китайский сайт опубликовал полноценный обзор нового ноутбука Lenovo Yoga Air 14s 2023 Ryzen Edition, оснащённого эксклюзивным процессором AMD Ryzen 7 7840S, который был спроектирован и разработан специально для Lenovo. Этот чип, основанный на архитектуре Zen 4, имеет...

Nintendo Switch 2 получит новый процессор На прошлой неделе в сети появилось множество новостей о том, что компания Nintendo готовится к релизу консоли Switch 2 — нового поколения портативного решения, которое будет обладать приличной производительностью для запуска современных видеоигр. Проблема в том, что на самом...

Процессоры AMD Ryzen 9000 "Zen 5" были замечены в последних драйверах чипсетов Хотя в драйверах чипсетов AMD упоминаются как настольные, так и мобильные компоненты, неясно, о каких именно процессорах Zen 5 идет речь

Китайские процессоры Loongson догоняют Intel и AMD Новый процессор Loongson 3A6000 смог достичь производительности по числу инструкций на такт современные чипы AMD архитектуры Zen 4 и Intel Raptor Lake. Однако из-за того, что таковая частота китайских CPU заметно ниже, общая производительность пока отстаёт от мировых ли...

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

Процессор Apple M3 Ultra получит впечатляющие 80 видеоядер Apple готовит к выпуску новый флагман в мире процессоров — M3 Ultra, который, как ожидается, станет самым мощным чипсетом компании.

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

Пока Intel отказывается от Hyper-Threading, AMD создаёт мобильных монстров. Компания подтвердила основные параметры новых APU Ryzen Strix Point Компания AMD подтвердила основные характеристики своих грядущих мобильных гибридных процессоров Strix Point.   Они выйдут в этом году и будут полностью новой разработкой. Процессорная часть будет представлена ядрами Zen 5, графическая — архитектурой RDNA 3+ ...

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

AMD подтвердила запуск процессоров Strix Point в 2024 году Новые процессоры будут использовать архитектуры Zen5 и RDNA3+ и утроят производительность в области искусственного интеллекта

Чипы Zhaoxin из Китая равны по производительности процессорам 2011 года от AMD. Что это за чипы и зачем их выпустили? Пару лет назад Китай анонсировал процессор Zhaoxin KX-6640MA, обычный x86-чип, не ARM и не RISC-V. Тогда же стало появляться большое количество новостей о скором выходе мини-ПК и ноутбуков на его базе. На Хабре сообщалось, что устройства доступны ограниченными партиями.Сами ...

Samsung оказался самым крупным покупателем процессоров MediaTek MediaTek — тайваньский производитель чипов, который в 2023 году стал лидером по поставкам мобильных процессоров.

Qualcomm снова обещает высочайшую скорость чипов Snapdragon в ноутбуках Компания анонсировала платформу Snapdragon X Elite, заявляя, что по производительности она будет обходить популярные процессоры Intel и AMD.

Жизнь после Nokia: HMD выпустит смартфон Tomcat HMD, материнская компания Nokia, недавно выпустила три новых смартфона начального уровня: Pulse, Pulse+ и Pulse Pro. Серия Pulse оснащена SoC Unisoc T606. Теперь же компания работает над более производительным смартфоном. Новый телефон HMD под кодовым названием Tomcat п...

Подорожание пластин для Snapdragon 8 Gen 4 может сделать флагманские смартфоны дороже Очередной слух говорит об увеличении стоимости новых процессоров Qualcomm

Компания «АрктикТекс» увеличит производственные мощности в 3 раза Средства гранта будут направлены на развитие технологий создания электропроводящего текстиля и масштабирование производства гибких нагревательных элементов на территории Нанопарка «Гатчина».

Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года Так AMD поддерживает старые сокеты. Компания выпустила новый процессор Ryzen 7 5700 и готовится выпустить ещё несколько Компания Intel представила процессоры Meteor Lake, которые полностью новые, но, во-первых, доступны только в ноутбуках, а во-вторых, глобально мало н...

Samsung Galaxy S25 получит сразу два процессора По информации инсайдеров, компания Samsung нацелена в следующем году использовать свою стандартную стратегию с двумя процессорами в серии, представив на различных рынках флагманы на базе процессора Qualcomm Snapdragon 8 Gen 4 или Samsung Exynos 2500. Если верить данным запад...

Ким Чен Ын проинспектировал работу завода по производству тактических ракетных систем Верховный лидер КНДР Ким Чен Ын посетил оборонное предприятие, специализирующееся на производстве тактических ракетных систем. Он заявил об успешном выполнении планов производства на первом полугодии и наметил увеличенные цели на конец года.

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Apple планирует производить 25% всех iPhone в Индии Apple и её поставщики, включая Foxconn, готовятся резко увеличить производство iPhone в Индии, планируя ежегодно выпускать более 50 миллионов единиц в течение следующих 2-3 лет. Этот амбициозный план повысит роль Индии в мировом производстве iPhone, на которую будет приходит...

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

Apple наращивает производство iPhone 15 Pro из-за высокого спроса В этом году компания Apple реализовала целый ряд эксклюзивных улучшений для смартфонов iPhone 15 Pro и iPhone 15 Pro Max, что объясняет, почему они пользуются таким большим спросом. Настолько большим, что компания Samsung увеличила производство OLED-панелей для 6,1-дюймовой ...

Предложение — топ? Материнскую плату Erying MoDT HX с интегрированным 24-ядерным Core i9-13980HX оценили всего в 370 долларов Erying представила обновленную материнскую плату Polestar MoTD с интегрированными мобильными процессорами Gen Raptor Lake-HX (Intel Core 13). Вариантов CPU — три, топовый — 24-ядерный Core i9-13980HX. Erying MoDT HX, оснащенная этим процессором, стоит всего ...

Apple уже несколько раз откладывала старт производства новых iPad Компания Apple уже несколько раз переносила дату начала массового производства новых iPad. Об этом рассказали авторы ресурса DigiTimes со ссылкой на собственные источники среди тайваньских поставщиков Apple.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы...

TSMC готовится к росту рынка ИИ и инвестирует $16 млрд в производство CoWoS TSMC надеется быстро расширить производство упаковочных пластин CoWoS за счет масштабных инвестиций, обеспечивая бесперебойную цепочку поставок для клиентов ИИ.

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Iris Energy увеличила производительность майнинга Согласно данным GlobeNewsWire, майнинговая компания Iris Energy Limited, зарегистрированная на Nasdaq под тикером IREN, существенно повысит свои производственные показатели

В iPhone 16 будет увеличен объем оперативной памяти для поддержки искусственного интеллекта Согласно сообщениям, корейская компания, занимающаяся ценными бумагами, утверждает, что в следующей модели iPhone, iPhone 16, будет увеличен объем оперативной памяти для поддержки запуска искусственного интеллекта.

Qualcomm Snapdragon X Elite протестировали в играх Достаточно ожидаемая система на кристалле Snapdragon X Elite, которую компания Qualcomm анонсировала некоторое время назад, была протестирована в новой видеоигре Baldur's Gate 3 — ноутбук на базе этого чипа работал со скоростью 30 FPS, что достаточно неплохо для мобильного ч...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Первый полноценный бенчмарк Galaxy A55 подтверждает наличие Exynos 1480 с GPU AMD В Galaxy A55 будет стоять новый чипсет Exynos 1480 с графическим процессором AMD

Exynos 2500 может стать последним совместным процессором AMD и Samsung Инсайдеры сообщают, что чипсет Exynos 2600 будет уже полностью самостоятельной разработкой Samsung

Vivo Y200e был замечен на GeekBench с чипсетом Snapdragon 4 Gen 2 Данный чипсет обычно работает с 8 ГБ ОЗУ и под управлением пользовательского скина Funtouch OS на базе ОС Android 14.

Qualcomm готовит новые чипсеты для субфлагманов – ... Компания Qualcomm активно работает над обновлением линейки чипсетов Snapdragon 7 и 8 для смартфонов среднего ценового сегмента – новые альтернативы Dimensity 8300 и 9200 готовятся к выходу

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Процессор Apple A18 Pro будет быстрее A17 Pro всего на 10 процентов Новый процессор Apple A18 Pro предложит лишь незначительное увеличение производительности по сравнению с предыдущей моделью A17 Pro. Источник утверждает, что многоядерная производительность нового чипа увеличится всего на 10 процентов.

Компания PERI возводит первый 3D-печатный многоквартирный дом Проект реализуется в немецком городе Люнене усилиями производителя строительных материалов PERI и компании COBOD, занимающейся разработкой и производством строительных 3D-принтеров.

Qualcomm готовит Snapdragon X Plus - упрощенную версию флагманского чипсета По тестам Geekbench, новый чипсет Snapdragon X Plus для ноутбуков будет оснащен 10-ядерным процессором.

Германия построит два новых суперкомпьютера, один из которых будет класса Exascale. Оба будут основаны на AMD Instinict MI300A В ближайшие годы Германия получит два новых суперкомпьютера, один из которых предложит производительность свыше 1 ExaFLOPS.  Университет Штутгарта и Hewlett Packard Enterprise объявили о соглашении, в рамках которого в 2025 году будет построен суперкомпьютер Hunte...

Компания NVIDIA анонсировала чип Blackwell Согласно пресс-релизу NVIDIA, новые чипы Blackwell предлагают значительное улучшение производительности и энергоэффективности. Чипы Blackwell в 7-30 раз быстрее предшественников H100 и потребляют в 25 раз меньше энергии. Это достижение стало возможным благодаря использованию...

Новый процессор Intel Core Ultra 7 155H разочаровал своими производительностью и потреблением Новинка уступает своему конкуренты компании AMD как в общей мощности процессора так и в энергоэффективности

Qualcomm готовит чипсет Snapdragon 8s Gen 3 Новый чипсет уже появился в Geekbench с оценками производительности близкими к Snapdragon 8 Gen 1

Инженерный образец Snapdragon 8 Gen 4 протестирован в AnTuTu Инженерный образец Snapdragon 8 Gen 4 прошел бенчмарк, который показал, что производительность чипсета ниже ожидаемой

Acer обнародовала финансовые результаты за 2023 финансовый год Acer сообщила о чистой прибыли за 2023 финансовый год в размере 4,93 миллиарда тайваньских долларов и объявила денежные дивиденды в размере 1,6 тайваньского доллара на акцию

VIS готовится потратить $2 млрд на строительство нового предприятия в Сингапуре Оно впервые в истории компании будет работать с кремниевыми пластинами типоразмера 300 мм.

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Разгон Radeon 780M: скорость стала выше, энергопотребление вышло из-под контроля Оверклокер SkatterBencher провел тест на разгон интегрированной видеокарты Radeon 780M с Ryzen 7 8700G и памяти DDR5. Результаты показали, что производительность может быть увеличена в среднем на 37% за счет разгона карты и памяти DDR5. Даже если разогнать только Radeon 780M...

AMD планирует выпустить настольные процессоры Ryzen 9000 "Granite Ridge" в мае-июне 2024 года Пока неясно, будет ли AMD выпускать новые чипсеты для материнских плат Socket AM5

Новый смартфон Xiaomi Civi 4 будет оснащен процессором серии Snapdragon 8 Ранее предполагалось , что смартфон может использовать в качестве чипсета Dimensity 8300 Ultra.

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Выход чипсета Snapdragon 8 Gen 4 состоится в октябре Представитель компании Qualcomm сообщил на официальной странице точный временной период дебюта нового флагманского процессора

MediaTek Dimensity 9300 уничтожит Snapdragon 8 Gen 3. Новые подробности о топовой SoC тайваньской компании от надежного источника Инсайдер Digital Chat Station раскрыл новые подробности о будущей флагманской однокристальной системе MediaTek Dimensity 9300. По его словам, она превзойдет Snapdragon 8 Gen 3 по производительности в бенчмарке AnTuTu в одно- и многопоточных тестах. MediaTek Dimensity 9...

Игровые мини-ПК ROG NUC с Core 7 Ultra и RTX 4060 стоят от $1629 В январе этого года ASUS представила серию компактных игровых ПК ROG NUC. Теперь тайваньский производитель раскрыл стоимость компьютеров. На данный момент на веб-сайте компании представлены две модели ROG NUC. Модель начального уровня оснащена процессором Intel Core Ultra 7…

Китайская SMIC освоила 5-нм узел, а уже этой осенью Huawei выпустит смартфон Mate 70 с новым чипом По мнению аналитиков, стоимость каждого процессора серии Kirin окажется на 50% выше, чем предлагает тайваньская TSMC.

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Exynos 2400 показал великолепную производительность в играх Чипсет Exynos 2400 в некоторых играх идет наравне со Snapdragon 8 Gen 3 и даже превосходит его

Компания AMD раскрыла особенности своих новых процессоров Strix Point Новые продукты обеспечат в три раза более высокую производительность искусственного интеллекта по сравнению с процессорами Ryzen серии 7040

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Компания SK hynix объявила о сотрудничестве с TSMC в производстве HBM следующего поколения Обе компании сосредоточатся на улучшении производительности базовой матрицы, расположенной в самом низу пакета HBM

Ракета Vega запущена с космодрома Куру Ракета-носитель Vega с двумя спутниками стартовала с космодрома Куру во Французской Гвиане. Ракета вывела на орбиту таиландский спутник для наблюдения за поверхностью Земли Theos-2 и метеорологический спутник Formosat-7R/Triton Тайваньского космического агентства. Запу...

Запуск смартфонов серии Oppo Reno 12 запланирован на 23 мая Reno 12 станет первым смартфоном, оснащенным недавно выпущенным процессором Dimensity 8250, а Reno 12 Pro, как предполагается, будет оснащен чипсетом MediaTek Dimensity 9200 «Star Speed ​​Edition».

Радиусомер (R25-R50) Диапазон измерения стареньких (ГОСТовских) радиусомеров, вот такого типакоторыми я пользуюсь по работе - от 1 мм до 25 мм, но мне часто бывает необходимо замерять скругления несколько большего радиуса. Поэтому я спроектировал радиусомер с диапазоном измерения от 25 мм до 50 ...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Тайваньская серверная индустрия восстановится в 2024 году после спада 2023 года Согласно последнему отчету DIGITIMES Research, тайваньская серверная индустрия пережила спад доходов в 2023 году, но в 2024 году ее ожидает рост. Несмотря на снижение выручки на 7%, которая составила более 2 триллионов тайваньских долларов, падение было гораздо меньше, чем с...

Смартфон Huawei Mate 70 получит новый чип Kirin с суперядрами Производительность нового процессора компании может быть на уровне Snapdragon 8+gen 1.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Microsoft готовит ноутбуки на базе ИИ Если верить информации иностранных журналистов, компания Microsoft намерена провести фирменное мероприятие в Сиэтле уже 20 мая, чтобы подробно изложить своё видение «AI PC» (речь про компьютер на базе искусственного интеллекта). Это событие состоится за день до начала «Конфе...

Foxconn разрешили вложить ещё $1 млрд в строительство завода в Индии Тайваньская компания Foxconn получила разрешение регулирующих органов Индии инвестировать как минимум ещё $1 млрд в строящийся в стране завод, на котором будет осуществляться сборка устройств по заказам компании Apple.

Foxconn разрешили вложить ещё $1 млрд в строительство завода в Индии Тайваньская компания Foxconn получила разрешение регулирующих органов Индии инвестировать как минимум ещё $1 млрд в строящийся в стране завод, на котором будет осуществляться сборка устройств по заказам компании Apple.

Стартап представил идею заводов по производству микросхем по принципу Lego Нью-йоркская компания Nanotronics, занимающаяся разработкой промышленных ИИ, стремится совершить революцию в производстве полупроводников с помощью своей инновационной системы Cubefabs. Эти модульные заводы по производству микросхем с поддержкой ИИ могут быстро собираться и ...

Производитель российских ABS получит 10 млн отечественных резисторов для «для санкционно-стойких автомобильных блоков» Компания «Итэлма», запустившая летом в Костроме производство блоков ABS для автомобилей Lada, заключила партнерство с предприятием «Ресурс»: оно поставит «Итэлме» 10 миллионов резисторов уже в текущем году. Эти компоненты будут и...

Цена и характеристики Nothing Phone (2a) в одном месте Смартфон Nothing Phone (2a) официально покажут 5 марта. При этом уже известны характеристики, а также цена мобильного устройства в Европе. Так, модель на 8 + 128 ГБ будет стоить €349 или ₽34,334; цветовых варианта будет 2: белый и чёрный. Максимальный объём памяти Nothing P...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Китайские исследователи работают над процессорами с 1600 ядрами Такого количества ядер можно достичь, используя многокристальную конструкцию из полноценной полупроводниковой пластины

Новый складной Vivo X Fold 3 будет обладать улучшенным разрешением экрана и станет гораздо легче Также Vivo X Fold 3 будет оснащен чипсетом Snapdragon 8 Gen 2, а версия Vivo X Fold 3 Pro будет иметь чипсет Snapdragon 8 Gen 3.

Samsung рассматривает технологию 3D-чипсетов для мобильных точек доступа Exynos Сообщается, что компания Samsung Electronics рассматривает возможность применения технологии 3D-чипсетов в своих процессорах мобильных приложений (AP) Exynos.

PlayStation 5 Pro получит более быстрый процессор Zen2 и на 45% более быструю графику Тактовая частота центрального процессора будет увеличена до 3,85 ГГц, но сам процессор будет относиться к архитектуре Zen 2, в то время, как главным изменением консоли станет значительно более быстрый графический процессор RDNA 3

В сети представлен будущий дизайн Honor X50i+ с ЖК-дисплеем с разрешением FHD+ Сообщается, что он будет работать на чипсете с тактовой частотой 2,4 ГГц, хотя название процессора не разглашается.

Смартфон iQOO 12 в черном цвете будет иметь оперативную память LPDDR5x и хранилище UFS 4.0 Смартфон iQOO 12 будет оснащен новейшим чипсетом Snapdragon 8 Gen 3 , который будет интегрирован с графическим процессором Adreno 750.

Qualcomm подтвердила выход чипсета Snapdragon 8 Gen 4 в октябре 2024 года Директор по маркетингу компании Qualcomm Дон Макгуайр в ходе мероприятия Mobile World Congress 2024 (MWC 2024) подтвердил слухи о том, что мощный чипсет для смартфонов Snapdragon 8 Gen 4 выйдет в октябре текущего года.

AMD FSR появится в смартфонах Samsung следующего поколения Предполагается, что следующие чипсеты Samsung и Qualcomm будут использовать технологию масштабирования от AMD, что значительно повысит производительность мобильных графических ускорителей даже на устройствах среднего уровня

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Армия сухопутных войск Тайваня провела учения на «красном» пляже Сишу в Тайнане Тайваньские военные имитировали сценарий обороны от высадки китайских войск на случай конфликта в Тайваньском проливе. Учения были направлены на повышение боевой готовности и демонстрацию решимости Тайваня защищать свою суверенитет и территориальную целостность.

Производители роботов-гуманоидов уже присматриваются к рабочим местам на производстве Financial Times опубликовала статью, из которой становится ясно, что компании, занимающиеся производством роботов-гуманоидов, уже строят планы, на каких предприятиях и в каких отраслях они смогут заменить людей.

Использование процессоров Exynos резко сократилось Компания Canalys только что опубликовала отчет, анализирующий мировой рынок смартфонов в разбивке по производителям процессоров, и в нем содержатся неутешительные новости о чипсетах Exynos и Samsung Semiconductor.

MediaTek Dimensity 8300 Ultra оказался мощнее более дорогих конкурентов Компания MediaTek делает существенные шаги в разработке своих флагманских процессоров и моделей среднего класса для смартфонов, что не может не радовать, ведь отсутствие конкуренции может погубить рынок в целом. Например, процессорв DImensity 9300 выглядит впечатляющим решен...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Возможности ИИ в iPhone 16 Pro будут увеличены с помощью усовершенствованного чипа A18 Pro Apple намерена улучшить производительность предстоящих смартфонов iPhone 16 для работы с искусственным интеллектом с помощью чипа A18.

TSMC увеличивает производство корпусов CoWoS на 20%, чтобы удовлетворить растущий спрос TSMC увеличит свои мощности по производству корпусов ( CoWoS ) в ответ на стремительно растущий спрос со стороны таких крупных клиентов, как Nvidia, Apple, AMD, Broadcom и Marvell.

Qualcomm представит новый чипсет Snapdragon 8s Gen 3 на мероприятии 18 марта Компания Qualcomm объявила о дате выпуска своего нового чипсета Snapdragon 8s Gen 3, который станет частью линейки флагманских SoC для смартфонов

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Snapdragon 7+ Gen 3 обеспечит производительность для устройств среднего класса Появились новые тесты, демонстрирующие производительность будущего чипсета Qualcomm, Snapdragon 7+ Gen 3.

Леонардо Ди Каприо поддерживает два стартапа по производству искусственного мяса Обладатель «Оскара» Леонардо Ди Каприо инвестирует в Mosa Meat из Нидерландов и Aleph Farms из Израиля. Компании занимаются разработкой искусственного мяса.

Представлен процессор Apple M4 — ещё больше искусственного интеллекта и игр С новым процессором производительность планшетов Apple будет сопоставима с новейшими игровыми ноутбуками.

В США намереваются увеличить производство снарядов для ПВО «Железный купол» В Израиле таких мощностей нет и быть не может.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

MediaTek выпустит самый большой мобильный процессор с более 30 млрд транзисторов Компания MediaTek готовит к выпуску свой следующий флагманский чипсет Dimensity 9400, который может похвастаться революционным размером кристалла.

Процессоры Intel Raptor Lake будут работать на частоте 6 ГГц Более того, при условии охлаждения жидким азотом Raptor Lake можно разогнать до 8 ГГц, утверждают инженеры компании. На пресс-конференции в израильском центре разработки компании Intel в Хайфе было объявлено, что процессоры 13-го поколения Intel Raptor Lake смогут работат...

В Узбекистане хотят собирать по 500 000 машин BYD к 2027 году. Локализацию планируют довести до 60% BYD планирует выпускать на заводе BYD Uzbekistan Factory до 500 тыс. электромобилей и гибридных автомобилей к 2027 году. Об этом сообщил заместитель директора BYD Uzbekistan Factory Диёр Мухамедов. Ранее стало известно, что BYD создаст на территории Узбекистана предприя...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

Процессоры AMD для ПК следующего поколения Zen 5 были замечены в последних драйверах от ASUS Обновлённые драйвера чипсетов от ASUS содержат информацию о грядущих процессорах серии Ryzen 9000 с микроархитектурой Zen 5 для настольных ПК.

Компания Aorus представила новый графический процессор GeForce RTX 4080 Super Xtreme ICE GeForce RTX 4080 Super Xtreme ICE обладает премиальным дизайном и увеличенным разгоном.

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Представлен мини-ноутбук GPD Win Max 2 на базе процессора AMD Ryzen 7 8840U Результаты тестирования 8840U показывают, что его производительность сравнима с производительностью 7840U в портативных версиях.

Костромская областная аптечная база запустила новую линию производства лекарств Костромская областная аптечная база внедрила новую линию по производству лекарственных препаратов, увеличив перечень выпускаемых медикаментов с 90 до 250 позиций, пишет РИА Новости.

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Был проведен тест сравнения процессоров MediaTek Dimensity 7050 и Snapdragon 6 Gen 1 Оба чипсета отлично справляются с повседневными задачами и играми, но Snapdragon 6 Gen 1 может иметь преимущество в очень требовательных играх

Смартфон Vivo Y200i будет оснащён чипсетом Snapdragon 4 Gen 2 с 12 ГБ оперативной памяти В конце февраля данный смартфон с процессором Snapdragon 4 Gen 2 набрал 3199 баллов в одноядерном тесте и 7931 балл в многоядерном тесте.

Samsung Galaxy S24 будет использовать чипсеты Exynos и Snapdragon Galaxy S24 будут поставляться в разные регионы с разным процессором

16 устройств Apple, которые выйдут в 2024 году В этом году Apple готовится выпустить сразу 16 новых мобильных устройств. В этот перечень входят не только смартфоны, но и планшеты iPad. Узнать эту информацию получилось из утечки, в которой сообщается, какие процессоры компания из Купертино планирует использовать в каждом...

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

Эковата спб эковата спб — это экологически чистый утеплитель, который изготавливается из вторично переработанной бумаги с добавлением бора. Этот материал обладает отличными теплоизоляционными свойствами, не горит, не подвержен гниению и образованию плесени. В Санкт-Петербурге производс...

Intel сняла с производства процессоры 13 поколения с разблокированным множителем Компания Intel объявила о снятии с производства разблокированных "коробочных" настольных процессоров 13-го поколения Raptor Lake-S

Кэш-память 3-го уровня в будущих процессорах Intel Xeon Granite Rapids могут увеличить в 1,5 раза Компания продолжает наращивать объёмы кэш-памяти.

Intel просто выпускает новый драйвер, а производительность её видеокарт Arc повышается вплоть до 268% Компания Intel в очередной раз выпустила драйвер, который снова очень существенно повышает производительность её видеокарт Arc в ряде игр.  На сей раз ПО позволяет повысить количество кадров в секунду более чем в 20 играх. Среди них есть Tekken 8, The Last of Us P...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Петафлопс на петафлопсе: заработал суперкомпьютер MareNostrum 5 стоимостью в €202 млн. Что это за система? Пару лет назад Китай анонсировал процессор Zhaoxin KX-6640MA, обычный x86-чип, не ARM и не RISC-V. Тогда же стало появляться большое количество новостей о скором выходе мини-ПК и ноутбуков на его базе. На Хабре сообщалось, что устройства доступны ограниченными партиями.Сами ...

Инсайдер: Западная разведка предупредила Киев об увеличении производства ракет в России Российский ВПК увеличил производство различных ракетных систем в 4 раза и отправляет в войска свыше 600 боеприпасов данного типа

Частота графического процессора чипсета Qualcomm Snapdragon 8 Gen 3 снизилась Ходили слухи, что он будет иметь тактовую частоту в 900 МГц, но частота графического процессора составит 770 МГц.

16 ядер китайского происхождения. Loongson готовится выпустить на рынок серверный процессор линейки 3C6000 Только вчера мы говорили о том, что четырёхъядерный китайский процессор Loongson 3C6000 при определённых условиях можно сравнивать с Ryzen 9 7950X и Core i9-14900K, а сегодня компания заявила, что уже готова вывести на рынок 16-ядерную модель этой же линейки.  Так...

Новый бюджетный процессор AMD будет ограничивать возможности SSD и GPU Компания AMD анонсировала новые доступные процессоры, однако невысокая цена привела к ряду ограничений, которые скажутся на общей производительности компьютеров.

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

В США пригрозили взорвать TSMC, если Тайвань решит объединиться с Китаем Если подрыв тайваньской компании будет реализован, то это отбросит человечество как минимум на 10 лет в сфере освоения технологий

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Процессоры Ryzen 8000U/H/HS могут разочаровать отсутствием хоть каких-то значимых улучшений, однако это далеко не все новинки 2024 модельного года, которые готовит AMD. Линейка Strix Point будет намного интереснее.  Согласно слайдам AMD, эта линейка также выйдет в...

Резидент «Сколково» увеличил производство продукции для электродвигателей и робототехнических систем Компания разрабатывает и производит компонентную базу современных мехатронных и робототехнических систем.

AMD готовится к выпуску новых материнских плат на чипсетах 800-й серии Вместе с ними будут представлены и новые процессоры Ryzen 9000

Qualcomm анонсирует Snapdragon X Elite Gen 2: новый уровень производительности для ноутбуков Qualcomm готовит к выпуску Snapdragon X Elite Gen 2 с улучшенным графическим процессором Adreno 830, обещая высокую производительность и энергоэффективность для ноутбуков

Новые, но лишь формально, процессоры Intel Core 14-го поколения будут представлены 8 января Компания Intel представит оставшуюся часть процессоров Core 14-го поколения уже 8 января.  Напомним, пока что представлены были только Core 14xxxK с разблокированным множителем, а большая часть линейки пока недоступна. Нас ждёт множество моделей Core i3, Core i5 и...

Опубликованы реальные фотографии смартфонов iQOO Z9 и Z9 Turbo на которых видны характеристики iQOO Z9 будет оснащён чипсетом Snapdragon 7 Gen 3, а смартфон Z9 Turbo получит чипсет Snapdragon 8s Gen 3.

Intel Core i9-14900KS будут продавать за 750 долларов Если верить информации из сети, процессор Intel Core i9-14900KS должен отправиться в релиз в самое ближайшее время, буквально на следующей неделе. К сожалению, никто так и не смог слить в сеть информацию о том, сколько будет стоить данный процессор, но сегодня магазин MicroC...

Технология APO ускоряющая игры не появится в процессорах Intel 13-го и 12-го поколения Популярный канал YouTube Hardware Unboxed (HUB) протестировал APO и обнаружил реальный прирост производительности в двух поддерживаемых играх. Однако HUB был разочарован отсутствием поддержки программного обеспечения, а также необходимостью использовать процессор 14-го покол...

AMD Threadripper PRO 7995WX поставил новый рекорд производительности Время очередных рекордов — процессор AMD Threadripper PRO 7995WX в этот раз преодолел планку в 210 000 баллов в тесте Cinebench R23, установив совершенно новый рекорд в области решений для производительных рабочих станций. Стоит отметить, что процессоры серии Threadripper от...

Intel решила похвастаться мощью iGPU в процессорах Meteor Lake У Intel упала выручка и рухнула чистая прибыль Компания Intel решила продемонстрировать, насколько производительным является интегрированный графический процессов в CPU Meteor Lake.   Для этих целей компания использовала достаточно требовательную игру Dying Light ...

Тайваньская «культура труда» TSMC оказалась неподъемна для американцев Долгожданный завод TSMC по производству чипов в Аризоне столкнулся с серьезным препятствием: недовольством работников. В отчете Rest of World утверждается, что культура труда, привнесенная из Тайваня, приводит к высокой текучести кадров среди американских инженеров.

Материнская плата Gigabyte B660M DS3H AX DDR4 формата microATX: бюджетное решение на чипсете Intel B660 Материнская плата Gigabyte B660M DS3H AX DDR4 со стоимостью в районе 10 тысяч рублей предназначена для небольших ПК, в которых она будет работать с процессорами уровня Core i3/i5. Ограничений на размер кулера она не накладывает, места для установки достаточно. У платы 12 USB...

Новые OLED-телевизоры LG получат новейший чип Alpha 10. Его будут применять и в умной бытовой технике LG Как пишет IT Home со ссылкой на Flatpanelshd, процессор OLED-телевизора LG 2024 года был значительно модернизирован и получил название Alpha 10. В 2018 году LG выпустила процессор Alpha 9 для OLED-телевизоров. Эта серия процессоров с годами модернизировалась и достигла ...

Началось производство документального сериала о романе «Анна Каренина» Производством сериала занимается «Студия Видеопрокат» Сергея Бондарчука и Алексея Киселева при поддержке Института развития интернета и участии агентства экранизаций «Снимайте это немедленно!».

Дебют Realme C67 5G — бюджетный смартфон с чипсетом среднего класса Realme C67 5G — последний смартфон из C-линейки мобильных устройств Realme, который производитель презентовал. У него IPS LCD дисплей с диагональю 6,72 дюйма, разрешением FHD+ и частотой обновления 120 Гц. Фронтальная камера делает снимки на 8 Мп. Вокруг объектива будут появ...

Теоретическая и реальная производительность Intel AMX AMX (Advanced Matrix Extension) - это модуль аппаратного ускорения умножения матриц, который появился в серверных процессорах Intel Xeon Scalable, начиная с 4 поколения (архитектура Sapphire Rapids). В начале этого года ко мне в руки наконец попал сервер, с данным типом...

Гонка за нанометры: TSMC, Samsung и Intel стремятся как можно быстрее выпустить 2-нм чипы Для электронной промышленности значение имеет каждый нанометр, когда речь заходит о современных производительных чипах. Работа в направлении модернизации техпроцессов, на базе которых выпускаются эти компоненты, — передний край научно-технического прогресса. Заниматься решен...

Полмиллиона Lada за год: АвтоВАЗ настроен решительно Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что АвтоВАЗ планирует увеличить производство автомобилей по сравнению с 2023 годом и выпустить не менее полумиллиона машин. «Производственный план будет увеличен почти на четверть, сам „АвтоВАЗ&ldqu...

Процессор Dimensity 9300 увеличил прибыль MediaTek на 70% От процессора MediaTek Dimensity 9400 ждут больших свершений

16-дюймовый топовый Asus на новейшем 12-ядерном APU Ryzen. В Сети засветился ноутбук ROG Zephyrus G16 на основе процессора Strix Point Топовый игровой ноутбук Asus ROG Zephyrus G16 с пока ещё неанонсированным процессором AMD Strix Point засветился в Сети с ценой 3700 долларов.  Само собой, купить его нельзя, но обилие утечек в последнее время намекает на скорый анонс.  В описании ПК указано,...

Процессор флагманских смартфонов 2024 года Snapdragon 8 Gen 3 набрал более 2 млн баллов в тесте производительности Antutu Компания Qualcomm готовится к анонсу своего нового флагманского мобильного процессора, Snapdragon 8 Gen 3, который, как ожидается, будет представлен в конце этого месяца.

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Continental увольняет тысячи сотрудников по всему миру По сообщению немецкого экономического журнала Manager Magazin, компания Continental, занимающаяся производством шин и автокомпонентов в Германии, намерена сократить приблизительно 5,5 тыс. рабочих мест по всему миру. Планы об этом были озвучены топ-менеджменту компании ...

Красноярская компания запустила новый стенд для испытания гидроцилиндров Гидроцилиндры «UNOHYDRAULIC» — динамичный развивающийся бренд. Фирма более десяти лет успешно занимается разработкой и  производством качественных отечественных аналогов зарубежных гидроцилиндров — Rexroth Bosch Group, Parker, Hydac, Duplomatic, Hydoring, Hercules, и д...

На Ставрополье откроют производство смесей для строительной 3D-печати Предприятие будет выпускать около четырехсот тысяч мешков сухих смесей в год, производство планируется наладить в следующем году. Реализацией проекта занимается компания Smart Build, уже отметившаяся несколькими проектами по 3D-печати зданий. Планируемых объемов хватит для п...

iPhone 15 Pro Max останется самой продаваемой моделью, на неё придётся чуть ли не половина всех поставок iPhone в начале 2024 года, по прогнозу Минг-Чи Куо По прогнозу аналитика Apple Минг-Чи Куо, iPhone 15 Pro Max от Apple продолжит оставаться самой продаваемой моделью iPhone в первой половине этого года. Минг-Чи Куо утверждает, что на iPhone 15 Pro Max «по-прежнему будет приходиться 40–45% всех поставок iPhon...

«Сделано на Дону». Производство планшетов и смартфонов запустят в Ростовской области Губернатор Ростовской области Василий Голубев заявил, что российская компания «Бештау» планирует начать производство планшетов и смартфонов в Ростовской области. «Если посмотреть немного дальше, в 2027 год, то компания перед собой ставит цели, пра...

Когда будет следующая презентация Apple и что на ней покажут Все презентации Apple, намеченные на 2023 год, уже благополучно прошли. За это время нам показали MacBook Air 15, Apple Vision Pro, целую россыпь MacBook Pro на процессорах M3, линейку iPhone 15, две модели Apple Watch и, конечно же, новые версии операционных систем, которы...

Веся линейка смартфонов Galaxy S25 может получить чипсеты Exynos 2500 Samsung намерена использовать чипы Exynos во всей линейке Galaxy S25, что представляет собой стратегический шаг компании в сторону усиления своих позиций на рынке мобильных процессоров

Обзор компании Polymaker Сегодня мы хотим рассказать о компании Polymaker, которая занимается производством материалов для 3D печати и разработкой сопутствующих товаров для создания качественных 3D печатных изделий по технологии FDM/FFF.

Средняя цена пластин TSMC подскочила на 22% за год Поставки 3-нм пластин составляют 15% доходов компании

Омский НИИ будет выпускать до 3000 м² печатных плат в год Омский НИИ приборостроения, входящий в состав "Росэлектроники", благодаря модернизации оборудования значительно увеличит производство печатных плат до 3000 м² в год.

Процессоры Intel Core 12-го, 13-го и 14-го поколения практически не отличаются, если приравнять их по основным параметрам Компания Intel недавно выпустила процессоры Core 14-го поколения в виде линейки Raptor Lake Refersh, которая от обычных Raptor Lake технологически ничем не отличается. В свою очередь, Raptor Lake являются лишь слегка улучшенными моделями Alder Lake с увеличенным количес...

Ядро Cortex-X5 сможет наконец-то догнать ядра в SoC Apple? Прирост производительности у Cortex-X5 будет самым большим за пять лет Следующее процессорное суперъядро Arm Cortex-X может оказаться настолько производительным, что догонит специальные реализации на основе архитектуры Arm.  создано DALL-E Отчёт исследовательской компании Moor Insights and Strategy утверждает, что прирост производите...

OnePlus сделала топовый смартфон до 30000 рублей. После него POCO X6 Pro уже не кажется таким крутым В конце прошлого месяца, когда на AliExpress проходила очередная распродажа, я рассказывал о смартфоне OnePlus Nord CE3, который на тот момент казался лучшим предложением в категории до 25 тысяч рублей. И с этим было сложно спорить, ведь за относительно небольшую сумму нам ...

Apple установит процессоры M2 Ultra в свои серверы в этом году Они будут заниматься обработкой данных для систем искусственного интеллекта.

Xiaomi 15 станет первым смартфоном на процессоре Snapdragon 8 Gen 4 Компания сохранила за собой эксклюзивное право первой анонсировать смартфоны с новейшими флагманскими чипсетами от Qualcomm.

ИИ производительность процессоров Intel Panther Lake вырастет в шесть раз Такой прогноз даёт генеральный директор компании Intel.

Apple представила процессор M4 с поддержкой искусственного интеллекта Компания заявляет, что чип M4 имеет производительность до 38 TOP в приложениях ИИ

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Утечка информации намекнула на появление нового процессора Qualcomm Snapdragon "SM8635" Утверждается, что новый мобильный чипсет будет относиться к категории "Snapdragon 8s Gen 3" и иметь спецификации, включающие одно ядро Cortex-X4

MediaTek представил продвинутый чипсет Dimensity 9300+ с мощными возможностями ИИ Компания MediaTek анонсировала выпуск нового процессорного чипсета Dimensity 9300+, оснащенного расширенными возможностями искусственного интеллекта. Новинка сможет обрабатывать сложные ИИ-модели прямо на устройстве, открывая широкие перспективы для развития мультимодальных ...

Представлена спецверсия «Москвича 3» с багажником на 1500 л «Москвич 3» переоборудовали под нужды Спецсвязи и передали в опытную эксплуатацию ФГУП «Главный центр специальной связи». Подготовкой автомобиля для ведомства занималась компания «Луидор». Утверждается, что специалистам пришлось проде...

Snapdragon X Elite быстрее топовых процессоров Intel и AMD в Geekbench Процессор Qualcom также получит непревзойденную энергоэффективность, что значительно увеличит время автономной работы портативных компьютеров

Графические процессоры Intel Arc значительно улучшили Pytorch для Llama 2 Многие считают, что PyTorch предназначен для графических процессоров NVIDIA, но на самом деле это не так. PyTorch не зависит от платформы; просто многие пакеты, созданные на PyTorch, активно используют API CUDA NVIDIA. Вы можете запустить PyTorch практически на чем угодно; о...

DDR5-8600: была представлена самая быстрая оперативная память Тайваньская компания V-Color, известная своими инновациями в области компьютерной памяти, объявила о запуске нового продукта, который обещает перевернуть представления о скорости и производительности.

Тюменская компания «Платинус» занимается 3D-печатью микроцементом Специалисты предприятия отрабатывают аддитивное производство различных изделий, в том числе малых архитектурных форм по заказам дизайнерских агентств, отельеров и застройщиков.

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Раскрыта официальная дата показа смартфонов POCO F6 и POCO F6 Pro Производитель и бренд смартфонов POCO анонсировал дату показа новой линейки POCO F6 и POCO F6 Pro — 23 мая в 14:00 по МСК. Как передаёт издание GSMArena, POCO F6 Pro будет глобальной версией Redmi K70. Если это так, то у Pro-версии будет чипсет Snapdragon 8 Gen 2 и аккумулят...

Samsung будет использовать больше OLED-панелей LG в своих телевизорах Исследовательская организация Omdia сообщает, что в этом году Samsung значительно увеличит использование OLED-панелей производства LG в своих телевизорах.

Huawei Mate 70, который потягается с iPhone 16, получит очень быстрый чип Kirin: он уже набирает более 1,1 млн баллов в AnTuTu Компания Huawei выпустила свои последние флагманы Pura 70 с новым чипом Kirin 9010. Хотя SoC имеет тот же производственный процесс и базовую архитектуру, что и Kirin 9000, компания Huawei еще больше оптимизировала его, чтобы обеспечить производительность в своих последн...

F2 innovations (часть 1) - Обзор компании Инженеры LIDER-3D посетили производство компании F2 innovations в Перми, где ознакомились с оборудованием компании и узнали о тонкостях производства!А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подробности о розыгрыше...

Bloomberg утверждает, что тайваньские компании помогают Huawei обходить санкции США Ничего личного, просто бизнес.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Портативная консоль Ayaneo Pocket S получит мощный процессор В сети появились подробности о портативной игровой консоли Ayaneo Pocket S, главной особенностью которой станет однокристальная система Qualcomm Snapdragon G3x Gen 2. Данный чипсет представили в прошлом году, и с тех пор он не появился ни в одном устройстве. Предполагается, ...

Qualcomm уже проектирует Snapdragon X Elite Gen 2 Компания Qualcomm и её партнёры из сегмента ноутбуков активно работают над тем, чтобы мобильный процессор Snapdragon X Elite с довольно приличной производительностью появился в портативных компьютерах уже во второй половине 2024 года. Кроме того, уже ходят слухи о том, что в...

Samsung Exynos 1480 – чип среднего класса на базе графики AMD Не так давно компания Samsung представила смартфон Galaxy A55 на базе новейшего процессора Exynos 1480. Во время презентации подробности о чипсете раскрыты не были, теперь де корейский производитель предоставил полную информацию о новой SoC. ОсобенностиExynos 1480…

Россия готова выпускать Lada на новых мощностях в Казахстане По итогам переговоров между Россией и Казахстаном, президент РФ Владимир Путин заявил о готовности России создать новые производственные мощности для автомобилей Lada в Казахстане. Это могут быть дополнительные заводские сооружения, где начнется выпуск автомобилей от ко...

Nvidia наращивает поставки GPU для RTX 4090 в Китай в преддверии запрета поставок Компания Nvidia наращивает поставки графических процессоров для GeForce RTX 4090 в Китай в преддверии запрета, который вступает в силу 17 ноября.  Как сообщает MyDrivers, cразу несколько производителей видеокарт подтвердили, что серия RTX 4090 будет полностью запр...

Nvidia и Amazon создадут суперкомпьютер Ceiba с производительностью 65 ExaFLOPS. Но это производительность не FP32 или FP64 Компании Nvidia и Amazon Web Services (AWS) объявили о расширении своего стратегического сотрудничества, в рамках которого в том числе будет построен самый быстрый суперкомпьютер искусственного интеллекта Ceiba.  Ceiba получит 16 384 системы GH200 Superchips и буд...

Apple готовится к релизу процессора A18 Pro Если верить информации зарубежных инсайдеров, которые специализируются на сливах информации о компании Apple, новый процессор A18 Pro для смартфонов выйдет в конце этого года и, вероятно, будет использоваться исключительно в моделях iPhone 16 Pro и iPhone 16 Pro Max, в то вр...

Платформа Intel Core Ultra Lunar Lake предлагает производительность более 100 ТОПС На конференции Vision 2024 компания Intel раскрыла некоторые сведения о процессорах Core Ultra Lunar Lake для ноутбуков.

ASRock представила материнские платы Z790I Lightning WiFi и B760I Lightning WiFi Mini-ITX Компания ASRock представила материнские платы Z790I Lightning WiFi и B760I Lightning WiFi Mini-ITX, которые обладают мощной производительностью и поддержкой процессоров Intel Core 14-го поколения K-серии.

Выход процессоров Intel Panther Lake запланирован в середине 2025 года Компания обещает удвоить производительность ИИ в будущих процессорах, по сравнению с Lunar Lake и Arrow Lake.

Системная плата с собственной испарительной камерой на процессоре. Erying представила необычный продукт с мобильными CPU Intel Компания Erying представила весьма необычную системную плату для настольных ПК. Выделяется новинка двумя особенностями: распаянными процессорами и системой охлаждения.  Если говорить о CPU, то речь о том, что тут уже распаян какой-либо из процессоров мобильной лин...

Будущий Poco F6 будет оснащён процессором с производительностью Snapdragon 8 Gen 2 Вероятно, Poco F6 будет переименован в Redmi Note 13 Turbo

Материнские платы ASUS и MSI с чипсетами AMD 600 получают поддержку процессоров Ryzen 9000 Обе компании официально подтвердили поддержу процессоров AMD следующего поколения существующими материнскими платами при условии обновления прошивки

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Floppydisk.com: Дискеты всё еще активно используются во многих сферах Дискеты по-прежнему используются во всем мире, утверждает занимающаяся производством дискет американская компания Floppydisk.com В том числе они необходимы в авиации.

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Как сделать процессор Intel вдвое быстрее без повышения энергопотребления? Тесты показали преимущество AVX-512 у процессоров Xeon Emerald Rapids Процессоры Intel Xeon поколения Emerald Rapids, как оказалось, обеспечивают огромный прирост производительности при активации инструкций AVX-512, и при этом этот прирост почти бесплатный с точки зрения энергопотребления.  Авторы ресурса Phoronix протестировали фла...

Огромный чип на 4 триллиона транзисторов представила компания Cerebras Systems Для создания Cerebras WSE-3 используется вся 300-миллиметровая полупроводниковая пластина

Массивная утечка Sony Xperia 1 VI проливает свет на камеры, аккумулятор и процессор Телефон будет работать на чипсете Snapdragon 8 Gen 3 и будет оснащен 16-мегапиксельной ультраширокой камерой, 24-мегапиксельным основным сенсором и камерой с телефото зумом 85-170 мм

В России построили первый завод по сжижению газа на полностью отечественном оборудовании В Октябрьском районе Ростовской области на Южном транспортном коридоре построили завод по сжижению природного газа (СПГ), на котором используется только отечественное оборудование. Его строительством занималась инвестиционная группа «ДББ», работающая в сфере...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Samsung планирует сделать Galaxy S24 ИИ-смартфоном Инсайдеры уверенно заявляют, что в январе компания Samsung официально представит флагманский смартфон Galaxy S24, который продемонстрирует пользователям то, куда двигается рынок современных смартфонов в целом. Всё дело в том, что инсайдеры заявляют, что новые смартфоны будут...

В драйверах чипсета AMD заметили внедрение поддержки процессоров Ryzen 9000 Возможно, это будущие Zen5 новинки.

Китаю не нужны "кастрированные" чипы Nvidia — решения от Huawei дешевле и эффективнее Цзян Тао, вице-президент iFLYTEK, занимающейся разработкой AI-решений, сообщил, что его компания использует для вычислений чипы Ascend 910B от Huawei. Он отметил, что этот процессор достиг "эталонного" уровня Nvidia A100

Процессор для дешёвого игрового ПК: i3−12100F сравнили с Ryzen 5 3600 На YouTube-канале Odin Hardware выяснили, производительность в играх у какого процессора лучше: Ryzen 3600 или i3−12100F.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

NVIDIA развеивает миф AMD о производительности H100 и MI300X и делится тестами NVIDIA сообщает, что "на недавнем мероприятии посвященном презентации, AMD говорила о производительности графического процессора H100 по сравнению с производительностью ее чипа MI300X. В представленных результатах не использовалось оптимизированное программное обеспечение, а...

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Apple представила MacBook Pro 14 и 16: процессоры M3, M3 Pro, M3 Max, новый черный цвет и 128 ГБ ОЗУ в топе Сегодня, 31 октября, Apple провела презентацию новых продуктов, на которую совершенно неожиданно разослала приглашения неделю назад. Посвящена она была процессорам M3, выполненным по 3 нм техпроцессу. Этот факт позволил не только увеличить производительность компьютеров App...

Компания AMD сумела увеличить свою долю на рынках компьютеров, ноутбуков и серверов. С новым поколением процессоров продажи значительно возросли по сравнению с прошлым годом

Micron построит заводы стоимостью 100 миллиардов долларов Сегодня появилась достаточно интересная информация о компании Micron Technology — она получила внушительное финансирование от Министерства торговли США в рамках Закона о микросхемах и науке, что позволит производителю существенно прокачать производственные мощности, но тольк...

MediaTek представит Dimensity 9400 уже в этом году Если верить информации инсайдеров, новый процессор Dimensity 9400 от MediaTek должен быть анонсирован уже в этом году, и похоже, что MediaTek планирует вести борьбу с Snapdragon 8 Gen 4 в плане производительности своего флагманского процессора нового поколения. Согласно инфо...

Samsung выпустит Galaxy S25 на собственных процессорах Западные журналисты поделились новостью о том, что Samsung планирует полностью отказаться от процессоров стороннего производства в своих флагманских устройствах в ближайшем будущем. Они считают, что вся линейка смартфонов Galaxy S25 будет использовать исключительно свои чипы...

Материнская плата Maxsun MS-H610M 666 WiFi6 на чипсете Intel H610: еще одна бюджетная модель формата microATX Материнская плата Maxsun MS-H610M 666 WiFi6 форм-фактора microATX предназначена для сборки бюджетных ПК и поддерживает работу с памятью DDR4. Ее стоимость на момент подготовки обзора начиналась от 8 тысяч рублей. У платы 9 USB-портов, включая 4 USB 3.2 Gen1, по одному слоту ...

Intel снова может всех запутать. Компания анонсировала процессоры Xeon 6, которые на самом деле не Xeon шестого поколения Xeon Scalable шестого поколения Компания Intel в конце прошлого года изменила принцип именования своих потребительских процессоров. Теперь же ребрендинг коснулся и серверных CPU.  Вчера Intel рассказала немного о новых процессорах Xeon, которые получили имя Xeon 6. К этой линейке относятся не вс...

В 2023 году Fort Telecom увеличил производство уличных коммутаторов на 40% Для удовлетворения растущего спроса компания сфокусировалась на выпуске моделей для крупных инфраструктурных проектов, а также вывела на рынок первый сертифицированный взрывозащищенный коммутатор.

Процессоры Intel Core i9-9900K и Core i9-14900K сравнили в ААА-играх В Testing Games выяснили, как изменилась производительность топовых процессоров Intel за 5 лет.

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Тайваньские компании не пострадают от ограничений на инвестиции в Китае У той же TSMC в КНР выпускаются лишь 16-нм чипы.

Тайваньская PSMC тоже жалуется на нехватку инженеров в Японии Это не опечатка, компания с таким названием существует.

Intel намерена догнать AMD по производительности iGPU благодаря процессорам Arrow Lake Новые графические ядра Alchemist в процессорах Arrow Lake должны сократить отставание Intel от AMD в производительности встроенной графики.

Консоль GPD Win 4 получит новый процессор Ryzen 7 8840U Обновленная версия выйдет в конце месяца, но замена процессора не повлияет на её производительность

AMD может готовить новый брэндинг для процессоров — в Сети замечен Ryzen AI 9 HX 170 Это 12-ядерный процессор с высокой производительностью в задачах ИИ.

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Redmagic 9 Pro вышел на мировой рынок У нас есть отличные новости — смартфон Redmagic 9 Pro официально выходит на мировой рынок, что на самом деле является отличной новостью, так как это один из самых производительных гаджетов на рынке. Дело в том, что смартфон Redmagic 9 Pro поставляется с процессором Qualcomm ...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Первый еще не готов, а TSMC уже готова строить второй завод в Японии Тайваньская TSMC расширяет свое присутствие в Японии, объявив о строительстве второй фабрики по производству чипов при поддержке Sony и Toyota. Этот проект стоимостью более 20 миллиардов долларов следует за строительством другого предприятия TSMC, которое должно открыться в ...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

AMD совершит очередной «квантовый скачок»? Производительность ядра Zen 5 может быть более чем на 40% выше, чем у Zen 4 Процессоры AMD на архитектуре Zen 5, возможно, принесут не просто большой, а огромный прирост производительности относительно предшественников.  Известный инсайдер Kepler утверждает, что ядро Zen 5 более чем на 40% производительнее, чем Zen 4. Правда, тут не очень...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

Производством раздаточных коробок для полноприводных грузовиков КамАЗ теперь занялся Тутаевский моторный завод Российский производитель грузовых автомобилей КамАЗ переносит производство раздаточных коробок, о чём сообщает инсайдерский телеграм-канал «Автопоток». КамАЗ переносит производство раздаточных коробок для полноприводных грузовиков. Теперь их выпуском будет з...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

АвтоВАЗ избавляется от комплектующих Renault АвтоВАЗ избавляется от комплектующих и деталей для автомобилей Renault, которые хранились на Опытно-промышленном производстве. Об этом пишет инсайдерский паблик Avtograd News. Изображение: Renault «Опытно-промышленное производство ВАЗа. Сейчас здесь производится ...

Десять ведущих компаний, занимающихся разработкой ИС, увеличили квартальную выручку на 17,8% Десять ведущих компаний, занимающихся разработкой ИС, на волне сезонного потребительского спроса и продолжающегося бума ИИ увеличили квартальную выручку на 17,8 % в 3Q23.

Россия увеличит производство гелия к 2030 году В пресс-службе Института нефтегазовой геологии и геофизики представили прогноз по добыче гелия в России. По данным специалистов, к 2030 году объем производства гелия в стране может достигнуть 75 млн кубических метров и сохраниться на этом уровне в течение до середины XXI век...

Asus обновила BIOS материнских плат на чипсетах AMD 600 для поддержки будущих AMD Ryzen 8000: список моделей Asus обеспечила совместимость своих материнских плат на чипсетах AMD серии 600 c будущими процессорами Ryzen 7000 и Ryzen 8000 — для этого была выпущена новая версия BIOS. Одновременно компания обновила приложение USB BIOS FlashBack, позволяющее прошить материнск...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Компания EK выпустила новую серию водоблоков под названием EK-Quantum Velocity² Edge Special Edition Водоблоки EK-Quantum Velocity² Edge D-RGB - AM5 Special Edition обладают охлаждающей пластиной из высококачественной меди

2-нм чипы появятся в технике Apple в 2025 году Тайваньская газета DigiTimes со ссылкой на отраслевые источники сообщает о том, что производство 2-нм чипов, предназначенных для устройств Apple, может начаться уже в следующем году.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также можете ознакомитьс...

Тайвань выделил более $500 000 на разработку и тестирование пуленепробиваемых плит для своей армии Тайвань планирует внедрение массового производства пуленепробиваемых плит для своих вооруженных сил в 2025 году. Управление вооружений страны выделило 16 млн тайваньских долларов на разработку и тестирование плит, способных выдержать пули калибра 5,56 мм.

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

MediaTek Dimensity 9400 получит новое производительное ядро Cortex-X5 В ноябре прошлого года компания MediaTek официально выпустила процессор Dimensity 9300, представив уникальный дизайн с четырьмя производительными ядрами Cortex-X4 — обычно производители используют всего одно такое ядро. Соответственно, в отличие от традиционных конфигураций ...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Boeing расширяет свой завод в Хантсвиле, чтобы увеличить производство ракет Patriot Компания Boeing объявила о расширении своего завода в Хантсвилле, штат Алабама, на котором производится ракетный комплекс Patriot PAC-3.

iQOO опубликовала характеристики Pad 2 и Pad 2Pro с 3D-системой охлаждения и технологией Ray Tracing В iQOO Pad 2 будет первым в мире планшетом на базе чипсета Snapdragon 8s Gen 3 v, а iQOO Pad 2 Pro будет первый планшет с чипсетом Dimensity 9300 Plus.

Тайваньские компании остро нуждаются в сотрудниках с учёными степенями И планируют активнее их импортировать.

Тайваньская Compal наладит в Европе выпуск автомобильной электроники Компания пытается отдалиться от Китая.

Производство индивидуальных эндопротезов СамГМУ прошло проверку Росздравнадзора Научно-исследовательский институт бионики и персонифицированной медицины Самарского государственного медицинского университета, занимающийся разработкой и производством индивидуальных и серийных эндопротезов с использованием технологий 3D-печати, прошел проверку Федеральной ...

Ubuntu превосходит Windows 11 на 20% в тестах на процессоре AMD Threadripper Pro 7995WX Ubuntu опережает Windows 11 на 20% по производительности на 96-ядерном процессоре AMD Ryzen Threadripper Pro 7995WX, подтверждая преимущество Linux в обработке задач на процессорах с большим количеством ядер

Colorful представила мини ПК на базе процессора Intel Core i5-12450H Оснащенный эффективным процессором Intel Core 12-го поколения в компактном корпусе, CMNH01-12450 предназначен для решения повседневных задач, связанных с производительностью и развлечениями.

Процессор Intel Lunar Lake оказался на 50% быстрее своего предшественника в многопотоке Как сообщается, процессоры Intel Lunar Lake обеспечат значительный прирост многопоточной производительности благодаря новой архитектуре P-Core и E-Core.

ONDA выпустила материнские платы AMD AM5 B650 по цене менее $70 Эти платы оснащены чипсетом B650 и поддерживают процессоры Ryzen 7000/8000 и Next-Gen 9000

ASRock представила новые материнские платы Phantom Gaming на базе чипсета Intel Z790 Две из них поставляются с Wi-Fi 7 модулями для процессоров Intel Core 14-го поколения.

Apple M4 перешел на архитектуру ARMv9 Apple представила процессор M4 на своем мероприятии "Let Loose", и отметила, что новый чипсет превосходит M3, M2, M3 Pro и Snapdragon X Elite.

Samsung Galaxy A55 замечен с чипсетом Exynos 1480 и графическим процессором Xclipse 530 Galaxy A55 имеет дизайн, знакомый по другим последним моделям Samsung серии Galaxy A.

Илон Маск заявил, что его компания по производству мозговых чипов добилась успехов в лечении слепоты Стартап Илона Маска Neuralink, занимающийся интерфейсом мозг-компьютер, работает над восстановлением зрения, и, по словам миллиардера, эта технология уже тестируется на обезьянах.

iPad Pro с М4 на 45% мощнее предшественника Два дня назад компания Apple официально представила новые iPad Pro и iPad Air — например, теперь Air доступен в диагоналях 11 и 13 дюймов, как и модели Pro-серии. Интересно также и то, что Air в некотором смысле является iPad Pro SE, как как новые модели 2024 года используют...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

Игровые ноутбуки отправятся на свалку после выхода iPad с процессором M3 iPad Pro и iPad Air M1 без проблем потянут современные игры. Изображение: tomsguide.com Apple уже достаточно давно позиционирует планшеты iPad как устройства для работы. Для них выпускаются различные клавиатуры и софт, а сама компания подсвечивает в рекламных роликах, что iP...

Игровые процессоры Ryzen 7000X3D упали в цене. 12-ядерный Ryzen 9 7900X3D подешевел сразу на 200 долларов Процессоры AMD Ryzen 7000X3D начинают дешеветь вслед за видеокартами Radeon RX 7000.  Без официального заявления со стороны AMD цены на такие CPU активно снизились и в США, и в Европе.  Восьмиядерный Ryzen 7 7800X3D при рекомендованной цене в 450 долларов теп...

На этот раз обойдётся без очередного подорожания чипов? Nvidia и TSMC говорят, что вчерашнее землетрясение на Тайване не повлияло на производство Вчера на Тайване произошло сильнейшее для острова за 25 лет землетрясение с магнитудой 7,2-7,4 балла. Кроме прочего, остановила свою работу одна из фабрик TSMC, что, как предполагалось, могло бы сильно повлиять на цену различных чипов. Однако, похоже, в этот раз обойдёт...

Слух: iPhone 16 получит усиленные антенны за счёт новой технологии Anokiwave Тайваньская компания UMC, производитель полупроводников, разработала и будет производить новые комплектующие для антенных модулей iPhone 16. Об этом со ссылкой на отчёт о цепочке поставок Apple сообщило издание GizmoChina.

Lada Niva Sport получит 120-сильный мотор от Lada Granta Sport Нынешняя Lada Niva Legend оснащается 1,7-литровым 83-сильным мотором, а будущая Niva Sport получит мотор меньшего объема, но большей мощности. Такой двигатель сейчас устанавливается на Lada Granta Sport. Об этом рассказал гендиректор «Лада Спорт» Владислав Н...

Модеры добавили RX 5600 XT 10Gb дополнительной памяти, увеличив производительность на 29% У стандартной версии карты 6Gb памяти.

Cities: Skylines 2 получила мод с поддержкой NVIDIA DLSS 2 Модификация с поддержкой NVIDIA DLSS 2 для Cities: Skylines 2 может увеличить производительность игры на 50%

iGPU AMD Radeon 780M на процессоре Ryzen 8700G, разогнанный до 3300 МГц AMD Ryzen 8000G оснащен самым быстрым интегрированным графическим процессором (iGPU) на планете - AMD Radeon 780M. Благодаря ручному разгону удалось повысить его производительность более чем на 60%.

14 популярных воздушных кулеров для вашего процессора Современные башенные воздушные кулеры различаются как строением радиатора, количеством тепловых трубок, материалами, наличием теплосъёмной пластины или её отсутствием, качеством изготовления, так и моделями используемых вентиляторов и даже особенностями монтажа в системе. ...

Интегрированный GPU в процессорах Intel Core Ultra стал быстрее в играх вплоть до 155%. Компания выпустила свежий драйвер в оптимизацией Компания Intel в очередной раз обычным обновлением драйвера серьёзно повысила производительность своих видеокарт в ряде игр. На сей раз это коснулось iGPU в процессорах Meteor Lake.  ПО Intel Graphics Driver 31.0.101.5333 повышает производительность таких интегрир...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Разработка Ростеха увеличила срок службы чип-резисторов в пять раз Холдинг «Росэлектроника» Госкорпорации Ростех создал новое поколение резистивной пасты для производства чип-резисторов. Она отличается высоким содержанием драгоценных материалов, что позволило увеличить срок службы электронных компонентов до 25 лет. Аналоги популярных зарубе...

Qualcomm анонсировала чипсет Snapdragon 7 Plus Gen 3 Qualcomm сообщила, что первыми смартфонами на базе нового чипсета станут устройства от OnePlus, Realme и Sharp

MediaTek объединилась с TSMC ради 3-нм чипсета Генеральный директор MediaTek рассказал о сотрудничестве с TSMC по созданию 3-нм чипсетов нового поколения.

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

Очередной показатель того, что для современных CPU Intel очень важен планировщик потоков. Компания выпустила патч для Linux, повышающий производительность Гетерогенные процессоры Intel сильно зависят от эффективности планировщиков потоков. Отличный тому пример — повышение производительности CPU Intel в Linux на 14% после выхода нового патча.  Фото: Intel Intel выпустила патч, который улучшает работу планировщи...

Snapdragon 8 Gen 4 получит впечатляющую игровую производительность в играх Новая утечка от инсайдера Digital Chat Station также говорит о целой волне устройств на новом чипсете уже в октябре

Как мы увеличили скорость обработки сервисных сообщений в 10 раз и еще 3 кейса про масштабирование проектов Сформировать 400 000 документов за рабочий день, одновременно загрузить информацию о тысячах доменов, в 10 раз увеличить скорость обработки данных сайта, обеспечить стабильность интернет-магазина при росте посещаемости — решать такие задачи помогает гориз...

Xiaomi официально представила смартфон Poco C65 с защитным стеклом Corning Gorilla Glass Данный смартфон оснащен чипсетом Helio G85 от MediaTek и графическим процессором Arm Mali-G52 MC2

OnePlus выпустила смарт-часы Watch 2 c двумя ОС и двумя чипсетами Умные часы OnePlus оснащены двумя процессорами и двумя ОС для расширенного функционала и длительной автономности.

Qualcomm анонсирует мобильный чипсет Snapdragon 7 Gen 3 с искусственным интеллектом Скоро новый процессор появится в смартфонах Honor и Vivo.

Процессоры Ryzen 9000 появились в драйверах чипсетов Упоминание о новых процессорах AMD появилось в новейших драйверах чипсетов версии 6

Intel завершает производство графического процессора Ponte Vecchio Теперь компания Intel сосредоточится на ускорителях Gaudi 2/3 и Falcon Shores.

Intel начала двигать Nvidia на рынке ИИ? Корейская компания Naver перешла с GPU Nvidia на CPU Intel для своего сервера с искусственным интеллектом За последний год компания Nvidia стала неоспоримым лидером на рынке поставщиков ускорителей для искусственного интеллекта. Однако на этом рынке присутствует не только она. Как сообщается, компания Naver заменила ускорители Nvidia на аналогичные решения Intel.  соз...

Десктопные Threadripper возвращаются с большой производительностью при цене от 1500 долларов Компания AMD ставит точку. Этим процессорам суждено стать одними из лучших, которых можно купить за деньги.

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

Microsoft заявляет, что ARM-ноутбуки на Windows превзойдут MacBook В следующем месяце на мероприятии в Сиэтле Microsoft представит новые модели Surface на базе процессора Qualcomm Snapdragon X Elite. Microsoft считает, что компьютеры Windows на базе ARM скоро превзойдут по производительности процессоры в MacBook. Сообщения источников…

Новый китайский процессор Zhaoxin KX-7000 уступает процессорам AMD и Intel 6-летней давности Однако , если сравнивать с предшественником, то его результаты выглядят очень хорошо, показывая удвоение производительности

MediaTek выпускает первый в мире мобильный 3-нм процессор В деталях графического процессора Dimensity 9400 также упоминается 20% прирост производительности и эффективности, а больший размер матрицы приведет к увеличению стоимости.

Новая функция в DirectX 12 устраняет «узкие места» процессора и повышает производительность игр Work Graphs позволяют графическому процессору самостоятельно планировать свою работу, не обращаясь к центральному процессору.

Dimensity 9300 может превзойти Snapdragon 8 Gen 3 по ... MediaTek вскоре представит новый флагманский чипсет Dimensity 9300, и Didgital Chat Station уже дал прогноз по мощности этих двух чипсетов

ASUS зарегистрировала в ЕЭК пару PRO WS плат на неанонсированном чипсете AMD X690E Возможно, это ответ на чипсет Intel W680.

Intel расщедрилась. Функция APO, которая значительно повышает производительность в играх, станет доступна и на процессорах Intel снова выпускает почти то же, что и год назад. Представлена оставшаяся часть линейки процессоров Raptor Lake Refresh Компания Intel всё-таки решила расширить поддержку функции Application Optimization (APO) на более старые процессоры.  Изначально компания зая...

Новый смартфон Xiaomi серии Civi будет оснащен процессором Snapdragon 8s Gen 3 Ожидается, что Snapdragon 8s Gen 3 с внутренним кодом «SM8635» будет обеспечивать производительность на том же уровне, что и прошлогодний Snapdragon 8 Gen 2.

Спрос на электромобили оказался не таким, как ожидали в Ford. Компания резко сократила масштабы нового завода и численность персонала Компания Ford сообщила, что новый завод по производству аккумуляторов в Маршалле, штат Мичиган, будет значительно меньше, чем было объявлено первоначально. Ранее в этом году Ford объявил о планах строительства BlueOval Battery Park в Мичигане, завод должен был начать пр...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Вместо Mercedes российский завод будет собирать премиальные Chery и Exeed Компания Chery может начать производство своих автомобилей на бывшем заводе Mercedes-Benz в подмосковном Есипово, о чем пишет «Авто.Mail.ru» со ссылкой на два источника, знакомых с ходом переговоров. По данным источников, именно Chery стала главным претенден...

Вот это апгрейд. Новейшие процессоры AMD Ryzen 7 8700G и Ryzen 5 8600G оказались на 50-67% быстрее предшественников В Сети появились результаты тестов процессоров AMD Hawk Point в Geekbench, и они демонстрируют значительный прирост производительности. Для начала напомним характеристики новых CPU. AMD Ryzen 7 8700G – это топовая модель линейки. У него 8 ядер, 16 МБ кэш-памяти т...

Энтузиаст перепрошил SSD Crucial BX500 из QLC в SLC, повысив его ресурс в 3000 раз А также увеличив производительность и снизив задержки

Как увеличить производительность в играх, отключив слежку через видеокарту Сбор телеметрии происходит через драйвер видеокарты.

Как разогнать видеокарту и повысить производительность в играх с помощью ASUS GPU Tweak III В этом руководстве мы рассмотрим, как разогнать видеокарту и увеличить производительность в играх с помощью утилиты ASUS GPU Tweak III.

Серию Huawei P70 протестировали в GeekBench: чипсет Kirin и спутниковые функции Серия Huawei P70 становится все ближе к запуску, а новые утечки позволяют взглянуть на ее производительность и потенциальные функции. Бенчмарки, замеченные на Geekbench, рисуют картину «внутренностей».

80 000 автомобилей в год. Chery построит новый завод в Таиланде Нарит Тердстирасукди, генеральный секретарь Совета по продвижению инвестиций Таиланда, заявил, что компания Chery Automobile получила одобрение на строительство завода по производству электромобилей в Районге, Таиланд. Ожидается, что завод будет запущен в 2025 году, а г...

Самые кошачьи ноутбуки? Colorful готовит мобильные ПК MEOW с процессорами AMD Компания Colorful готовится выпустить ноутбуки семейства MEOW с процессорами AMD.  Полноценный анонс запланирован на 23 февраля, но ноутбуки уже проходят сертификацию. Известно о двух моделях: MEOW R15 24 и MEOW R16 24, оснащённых экранами диагональю 15 и 16 дюймо...

Стартовало производство отечественных ноутбуков «Аквариус» с высоким разрешением экрана Компания «Аквариус» сообщила о запуске производства улучшенной версии отечественных ноутбуков с высоким разрешением экрана. Ноутбук Aquarius Cmp NS616 разработан в собственном R&D-центре компании, системная плата изготовлена на производстве в Шуе, а сбор...

Alphacool представила новую пластину Core Distro Plate для Lian Li O11 Dynamic Evo/XL Эта Distro Plate может быть легко прикреплена к боковой панели корпуса O11 Dynamic Evo/XL.

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Новое обновление Cyberpunk 2077 позволяет установить приоритеты для p-ядер в гибридных процессорах Данная настройка может улучшить стабильность и общую производительность процессора в игре

Apple MacBook Air M3 представлен с процессором M3 и поддержкой двух внешних дисплеев Утверждается, что ноутбук обеспечивает на 60 процентов большую производительность по сравнению с моделью M1,а также в 13 раз быстрее, чем самые быстрые MacBook на базе процессоров Intel.

Почему между процессорами AMD и Intel нет никакой разницы, а важна только конечная цена игрового ПК Результаты тестирования доказывают, что в одном и том же ценовом сегменте процессоры AMD и Intel почти не отличаются по производительности в играх

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

В России запустили серийное производство материнской платы для процессоров «Байкал» и «Элвис» О запуске производства «матерей» для российских процессоров заявил ресурс Moscowseasons.

В драйверах чипсета Asus появилось упоминание процессоров AMD Ryzen 9000 Zen 5 Очередное свидетельство грядущего появления нового поколения процессоров AMD

Смартфон Vivo S19 прошёл тестирование на Geekbench с восьмиъядерным процессором под названием «Сrow» Скорее всего, данное кодовое имя относится к чипсету Qualcomm Snapdragon 7 Gen 3.

Почему Galaxy S24 Ultra мощнее любого другого Android-смартфона на Snapdragon 8 Gen 3 Компания Samsung открыла 2024 год выпуском новых смартфонов Galaxy S24. На фоне других Android-флагманов, представленными на рынке, главной фишкой корейских устройств стал всеобъемлющий искусственный интеллект. Но есть и еще одна уникальная особенность. Старшая модель Galax...

Предстоящий Intel Core i5-14450HX показал свои способности в бенчмарке Производительность i5−14450HX соответствует производительности настольного Core i5−13 400, если верить недавним результатам бенчмарка GeekBench, пишет TomsHardware. Это позволяет предположить, что пределы энергопотребления этих двух процессоров схожи: i5−14450HX может работа...

Qualcomm: Snapdragon X Elite значительно превосходит Apple M3 Компания Qualcomm решила напомнить о своём флагманском процессоре для персональных компьютеров Snapdragon X Elite, предоставив порталу Digital Trends новые данные о его производительности

Процессоры Apple M3 на частоте 4,05 ГГц конкурируют в Geekbench с чипами AMD и Intel Конечно Geekbench не является показателем реальной производительности в приложениях, но вполне дает понять, чего стоит ожидать от новинок компании Apple

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)